JP6226917B2 - 垂直3dメモリデバイス、並びにその製造方法 - Google Patents

垂直3dメモリデバイス、並びにその製造方法 Download PDF

Info

Publication number
JP6226917B2
JP6226917B2 JP2015123078A JP2015123078A JP6226917B2 JP 6226917 B2 JP6226917 B2 JP 6226917B2 JP 2015123078 A JP2015123078 A JP 2015123078A JP 2015123078 A JP2015123078 A JP 2015123078A JP 6226917 B2 JP6226917 B2 JP 6226917B2
Authority
JP
Japan
Prior art keywords
holes
array
layer
memory
active
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015123078A
Other languages
English (en)
Other versions
JP2016100596A (ja
Inventor
ホン シン−ピン
ホン シン−ピン
Original Assignee
マクロニクス インターナショナル カンパニー リミテッド
マクロニクス インターナショナル カンパニー リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マクロニクス インターナショナル カンパニー リミテッド, マクロニクス インターナショナル カンパニー リミテッド filed Critical マクロニクス インターナショナル カンパニー リミテッド
Publication of JP2016100596A publication Critical patent/JP2016100596A/ja
Application granted granted Critical
Publication of JP6226917B2 publication Critical patent/JP6226917B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND

Description

本発明は高密度集積回路デバイスに関する。特に、本発明に係る実施形態は、高密度デバイスの製造方法及び高密度デバイスの構造を提供する。
集積回路内のデバイスの限界寸法が通常のメモリセル技術の限界まで小型化する中で、設計者はメモリセルの多重面を積重してより大きな容量を達成し、より低いビット当たりのコストを達成する技術を開発してきた。例えば、Lai他、「A Multi-Layer Stackable Thin-Film Transistor (TFT) NAND-Type Flash Memory」IEEE Int'l Electron Devices Meeting, 11-13 Dec. 2006や、Jung他、「Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30nm Node」IEEE Int'l Electron Devices Meeting, 11-13 Dec. 2006では、薄膜トランジスタ技術が電荷トラッピングメモリ技術に適用されている。
いくつかの構成では、3Dメモリデバイスは複数の隆線形状(ridge-shaped)スタックを、絶縁材料によって分離された半導体材料の多重ストリップの形態で備えている。半導体材料のストリップは、例えばNANDストリングにおけるメモリセルの水平チャネルである。3D垂直ゲート(3DVG)アーキテクチャーと呼ばれるこうした特徴部を備える1つの構成を、図1を参照して以下で説明する。2013年8月6日に発行された、発明者Shih-Hung Chen及びHang-Ting Lueによる「Memory Architecture of 3D Array with Alternating Memory String Orientation and String Select Structures」という発明の名称の米国特許第8,503,213号を参照されたい。
電荷トラッピングメモリ技術において垂直チャネル、NANDセルを提供する別の構造が、Katsumata他、「Pipe-shaped BiCS Flash Memory with 16 Stacked Layers and Multi-Level-Cell Operation for Ultra High Density Storage Devices」2009 Symposium on VLSI Technology Digest of Technical Papers, 2009において記載されている。この文献に記載されている構造は、各ゲート/垂直チャネルの界面に記憶サイト(storage site)を生成するシリコン−酸化物−窒化物−酸化物−シリコンSONOS電荷トラッピング技術を用いた垂直チャネル、水平ゲートNANDを含む。このメモリ構造は、NANDゲートのための垂直チャネルとして構成された半導体材料のカラムに基づくものであり、基板に隣接した下側選択ゲートと最上部の上側選択ゲートとを有する。複数の水平ワード線がそれらのカラムと交差する平面ワード線層を用いて形成され、各層において垂直チャネル・ゲートオールアラウンド(gate-all-around)GAAセルを形成している。
他の実施形態では、垂直チャネル(又はNANDビット線)は垂直NANDストリング構成のためのストリップの間に配置することが可能である。例えば、2013年1月29日に公開された(2011年1月19日に出願された)、発明者Hang-Ting Lue及びShi-Hung Chenによる「Memory Device, Manufacturing Method And Operating Method Of The Same」という発明の名称の米国特許第8,363,476号を参照されたい。この文献は、引用することによりその全体が本明細書の一部をなす。
2013年7月3日に出願された、発明者Chia-Jung Chiu及びGuanru Leeによる「Damascene Conductor for a 3D Device」という発明の名称の米国特許出願第13/935,375号、及び2013年9月17日に出願された、発明者Yen-Hao Shih及びHang-Ting Lueによる「Conductor with a Plurality of Vertical Extensions for a 3D Device」という発明の名称の米国特許出願第14/029,305号において開示されているように、3Dアレイの構造及びそれらを作る工程を改良するために多くの技術が求められてきた。これらの文献は、引用することによりその全体が本明細書の一部をなす。
3DVGアーキテクチャー、垂直NANDアーキテクチャー、及び他の高密度構造において用いられる導電線等の、高アスペクト比トレンチにおける突起(ridges)間の垂直カラムを含む導電線の形成は複雑なパターニング技術を必要とする場合がある。トレンチ技術を用いて形成された隆線形状(ridge-like)スタックは非常に狭くすることが可能である。しかしながら、隆線形状スタックは製造工程中に曲がるか、又は波状(wiggle)になる可能性がある。これらの問題及び高密度スタックの形成に関連付けられる他の問題は、歩留まりを低下させる可能性がある。
複雑な3D構造において用いることが可能なタイプのメモリセル技術、並びにメモリセルにアクセスするためのワード線及びビット線の形成のための技術を提供することが望ましい。
水平アクティブ線の複数のスタックと、水平アクティブ線によって貫通され、水平アクティブ線を取り囲む複数の垂直スライスと、複数のスタックの水平アクティブ線と複数の垂直スライスのうちの垂直スライスとの間のメモリ膜と、を含むメモリセルのブロックを含むメモリデバイスが記載される。
誘電体電荷トラッピングメモリセルが記載される。これは、そのようなセルの3D NANDアレイのブロック構造において実装することができる。メモリセルは水平チャネル線と、水平チャネル線を取り囲む誘電体電荷トラッピング構造と、多層誘電体電荷トラッピング構造及び水平チャネル線を取り囲む垂直GAA構造とを含むことができる。
メモリを製造する方法が記載される。本方法はバットレス(buttress)工程を含み、以下のステップを任意の実用的な順序で含むことができる。
(1)犠牲層とアクティブ層との交互のスタックを形成することであり、アクティブ層はアレイに形成されるメモリセルのチャネル線として用いられる半導体材料とすることができる。
(2)スタックを貫通して延在する第1の穴のアレイを形成することであり、アレイは穴の行及び列に配列されてパターニングされたアクティブ層を形成し、第1の穴のアレイはアレイに形成されるメモリセルのチャネル長の決定要因とすることができる。
(3)穴のアレイのうちの穴において露出する犠牲層の材料を、パターニングされたアクティブ層の間に延在する支柱(post)のアレイを結果として形成することになる量だけ除去することであり、支柱のアレイはパターニングされたアクティブ層と組み合わさってバットレス構造を提供する。
(4)バットレス構造におけるパターニングされたアクティブ層の全て又は少なくとも一部分に、メモリ膜を用いてライニングを施すことであり、メモリ膜はアレイに形成されるメモリセルの多層誘電体電荷蓄積構造とすることができる。
(5)上記ライニングを施すことの後に前記バットレス構造をアクティブ材料(活性材料)で充填することであり、アクティブ材料は、アレイに形成されるメモリセルのためのワード線として用いることが可能な、ドープされた半導体又は他の導電性材料とすることができる。
(6)第1のアレイからずらして第2の穴のアレイを形成し、これにより、ライニングを施されたアクティブ層の第1の穴のアレイのうちの穴の間のワード線方向のエクステンションを分断して、第1の方向に延在するライニングを施された水平アクティブ線を形成し、アクティブ材料を、これらのライニングを施された水平アクティブ線によって貫通される垂直スライスに分離すること。水平アクティブ線はアレイに形成されるメモリセルの水平チャネル(又はNANDストリングビット線)とすることができる。アクティブ材料の垂直スライスは水平アクティブ線を取り囲むGAAワード線とすることができる。水平チャネルGAAフラッシュメモリセルの3Dアレイは本明細書に記載される工程を用いて作製することができる。
より包括的に、任意のタイプの層の集積回路構造を製造する方法が記載され、本方法は、アクティブ層間に犠牲層を含むスタックを形成することと、そのスタックを貫通して延在する複数の穴を形成することとを含み、その複数の穴が、結果としてパターニングされたアクティブ層をもたらす。次に、穴において露出する犠牲層は、パターニングされたアクティブ層と、パターニングされたアクティブ層間に延在する犠牲層の残っている部分によって形成された支持体のアレイとを含むバットレス構造を結果として形成することになる量だけ、穴のアレイにおいて除去される。穴は様々な形状を有することができ、規則的なアレイ又は規則的でないアレイ等の様々なパターンに配列することができる。形状及び配列は所望のアクティブ層のパターニング、及び所望の支持体の形状に依拠する。したがって、複数の穴のうちの穴は円形、矩形、楕円形であってもよいし、又は、他の形状を有していてもよい。また、複数の穴は異なる形状を有する穴を含むこともできる。次に、バットレス構造を形成した後、1又は複数の材料を、バットレス構造の支持体の回りのアクティブ層の間に堆積することができる。
本発明の他の態様及び利点は以下の図面、詳細な説明及び特許請求の範囲を参照することによって理解できる。
従来技術による3D垂直ゲートNAND構造の斜視図である。 本明細書に記載する製造工程における中間組立体として形成された3Dバットレス構造の斜視図である。 本明細書に記載する製造工程の第1の代表的な段階における組立体の斜視図である。 本明細書に記載する製造工程の第2の代表的な段階における組立体の斜視図である。 本明細書に記載する製造工程の第3の代表的な段階における組立体の斜視図である。 図5に示される組立体のレイアウト図である。 本明細書に記載する製造工程の代替的な第3の代表的な段階における組立体の斜視図である。 図7に示される組立体のレイアウト図である。 第1の穴のアレイためのマスクパターンのレイアウト図である。 図5及び図7に示すような支柱のアレイの形成につながる、犠牲層の除去に用いられるエッチング工程を論ずるために参照される図である。 本明細書に記載する製造工程の第4の代表的な段階における組立体の斜視図である。 図11に示すアクティブ層の縁部を丸めるための或る工程に従って形成された組立体の斜視図である。 図11に示すアクティブ層の縁部を丸めるための別の工程に従って形成された組立体の斜視図である。 図11に示すアクティブ層の縁部を丸めるための別の工程に従って形成された組立体の斜視図である。 図11に示すアクティブ層の縁部を丸めるための更に別の工程に従って形成された組立体の斜視図である。 本明細書に記載する製造工程の第5の代表的な段階における組立体の斜視図である。 本明細書に記載する製造工程の第6の代表的な段階における組立体の斜視図である。 本明細書に記載する製造工程の第7の代表的な段階における組立体の斜視図である。 或る特定の特徴を示す目的でメモリ膜を取り除いた構造の斜視図である。 メモリ膜が取り除かれた状態の図18に示す構造のレイアウト図である。 ワード線ストリップが取り除かれた状態の図19に示す構造のレイアウト図である。 図19Aに示されているレイアウトの線A−A’において取られた断面図である。 図19Aに示されているレイアウトの線B−B’において取られた断面図である。 垂直スライスワード線を貫通しているゲートオールアラウンドメモリセルのアレイを示す、X−Z平面における断面図である。 上述した第1の穴のアレイの代替的なレイアウトパターンを示す図である。 上述した第1の穴のアレイの代替的なレイアウトパターンを示す図である。 上述した第1の穴のアレイの代替的なレイアウトパターンを示す図である。 上述した第1の穴のアレイの代替的なレイアウトパターンを示す図である。 上述した第1の穴のアレイの代替的なレイアウトパターンを示す図である。 本明細書に記載するGAAメモリセルの複数の断面形状を示す図である。 垂直な側壁を有しない穴タイプのエッチングによって影響を受け得る、メモリセルのチャネル幅及びチャネル高の態様を示す図である。 垂直な側壁を有しない穴タイプのエッチングによって影響を受け得る、メモリセルのチャネル幅及びチャネル高の態様を示す図である。 構造の異なる層において異なる形状を有するメモリセルのアレイのプログラム特性を示す図である。 構造の異なる層において異なる形状を有するメモリセルのアレイの消去特性を示す図である。 本明細書に記載する技術によって達成することができる望ましいプログラム特性を示す図である。 本明細書に記載する技術によって達成することができる望ましい消去特性を示す図である。 本明細書に記載する一実施形態に係るゲートオールアラウンドメモリセルのチャネルの断面形状を示す図である。 本明細書に記載するGAA水平チャネルNANDフラッシュメモリを組み込んだ集積回路メモリの簡易ブロック図である。 本明細書に記載するバットレス構造に基づく製造工程のフローチャートである。
本発明の実施形態の詳細な説明を図1〜図32を参照して提供する。
図1は、米国特許第8,503,213号に記載された従来技術の3D垂直ゲート(3DVG)NANDフラッシュメモリアレイ構造の斜視図である。この構造は、NANDストリングビット線として構成される複数層の水平半導体ストリップと、そのストリップと交差する複数のパターニングされた導電線であって、ワード線として機能する導電線とを、層におけるストリップのスタック間の垂直エクステンションとともに有する。図1では、絶縁材料は図面からは取り除かれていて、更なる構造が露出するようにしている。例えば、絶縁層は隆線形状のスタックの半導体ストリップ間において取り除かれており、半導体ストリップの隆線形状のスタック間においても取り除かれている。
多層アレイは、絶縁層上に形成されており、複数の隆線形状スタックに対して整合性を有する複数のワード線125−1、…、125−N−1、125−Nを提供するパターニングされたポリシリコン層を含む。複数の隆線形状スタックは、半導体ストリップ112、113、114、115を含む。同一平面における半導体ストリップ同士は、階段構造によって電気的に結合されている。
階段構造112A、113A、114A、115Aは、半導体ストリップ112、113、114、115等の半導体ストリップを終端させる。図示されているように、これらの階段構造112A、113A、114A、115Aは、アレイにおける平面を選択するためのデコード回路に接続するために、異なるビット線に電気的に接続されている。これらの階段構造112A、113A、114A、115Aは、複数の隆線形状スタックが画定されるのと同時にパターニングすることができる。
階段コンタクト構造を有するビット線パッド102B、103B、104B、105Bは、半導体ストリップ102、103、104、105等の半導体ストリップを終端させる。図示されているように、これらのビット線パッド102B、103B、104B、105Bは、アレイにおける平面を選択するためのデコード回路に接続するために、異なるビット線に電気的に接続されている。これらのビット線パッド102B、103B、104B、105Bは、複数の隆線形状スタックが画定されるのと同時にパターニングすることができる。
この構成では、半導体ストリップの任意のスタックは、ビット線パッド112A、113A、114A、115A、又はビット線パッド102B、103B、104B、105Bのいずれか一方に結合されるが、双方には結合されない。半導体ストリップのスタックは、ビット線端部からソース線端部への向き、又はソース線端部からビット線端部への向きの2つの逆の向きのうちの一方を有する。例えば、半導体ストリップ112、113、114、115のスタックは、ビット線端部からソース線端部への向きを有する。半導体ストリップ102、103、104、105のスタックは、ソース線端部からビット線端部への向きを有する。
階段構造112A、113A、114A、115Aによって一方の端部で終端される半導体ストリップ112、113、114、115のスタックは、SSLゲート構造119、ゲート選択線GSL126、ワード線125−1 WL〜125−N WL、ゲート選択線GSL127を通過して、対応するソース線によって他方の端部で終端される。半導体ストリップ112、113、114、115のスタックは、階段構造102B、103B、104B、105Bに達しない。
ビット線パッド102B、103B、104B、105Bによって一方の端部で終端される半導体ストリップ102、103、104、105のスタックは、SSLゲート構造109、ゲート選択線GSL127、ワード線125−N WL〜ワード線125−1 WL、ゲート選択線GSL126を通過して、ソース線(図の他の部分によって見え難くなっている)によって他方の端部で終端される。半導体ストリップ102、103、104、105のスタックは、ビット線パッド112A、113A、114A、115Aに達しない。
メモリ材料の層は、ワード線125−1〜125−Nを、半導体ストリップ112〜115及び102〜105から隔絶する。グラウンド選択線GSL126及びGSL127は、ワード線と同様に複数の隆線形状スタックに対して整合性を有する。
ビット線及びストリング選択線は、金属層ML1、ML2、及びML3において形成される。
トランジスタが、ビット線パッド112A、113A、114Aとワード線125−1との間に形成される。トランジスタでは、半導体ストリップ(例えば、113)がデバイスのチャネル領域として機能する。SSLゲート構造(例えば、119、109)は、ワード線125−1〜125−Nが画定されるのと同じステップ中にパターニングされる。シリサイドの層を、ワード線、グラウンド選択線の上の表面に沿って、またゲート構造109、119の上に形成することができる。メモリ材料の層は、トランジスタのゲート誘電体として機能することができる。これらのトランジスタは、アレイにおける特定の隆線形状スタックを選択するためにデコード回路に結合されるストリング選択ゲートとして機能する。
第1の金属層ML1は、半導体材料ストリップに対して平行な縦方向の向きを有するストリング選択線を含む。これらのML1ストリング選択線は、層間コネクタによって、異なるSSLゲート構造(例えば、109、119)に接続されている。
第2の金属層ML2は、ワード線に対して平行な横方向の向きを有するストリング選択線を含む。これらのML2ストリング選択線は、層間コネクタによって、異なるML1ストリング選択線に接続されている。
これらのML1ストリング選択線及びML2ストリング選択線は組み合わさって、ストリング選択線信号が半導体ストリップの特定のスタックを選択することを可能にする。
第1の金属層ML1は、ワード線に対して平行な横方向の向きを有する2つのソース線も含む。
第3の金属層ML3は、半導体材料ストリップに対して平行な縦方向の向きを有するビット線を含む。異なるビット線は、層間コネクタによって、ビット線パッド112A、113A、114A、115A及びビット線パッド102B、103B、104B、105Bに接続される階段構造のうちの異なる段に電気的に接続される。これらのML3ビット線は、ビット線信号が半導体ストリップの特定の水平面を選択することを可能にする。
周辺回路をドライバー、センスアンプ、デコーダー、電圧供給生成器等のようなメモリアレイに接続するための、第4の金属層(図示されていないが、ML4と称することができる)が含められてもよい。
パターニングされた層の間のビアにおける層間コネクタ(図示されているが符号は付されていない)が、複数のパターニングされた導体層のノード及び導体と、デバイス上の他の構成要素との間を接続させるために設けられる。図1に示されている構造において、垂直ゲート構造は各ストリップの両側に配置され、結果としてチャネルストリップの2つの側部にゲートを有するダブルゲートセルとして特徴付けることができるメモリセルとなる。
図1に示されている複数の隆線形状のスタックは線タイプのエッチングパターンを用いて実装することができ、このエッチングパターンにおいて、長いトレンチを材料の最初のスタックまでエッチングすることによってスタックが形成される。他の工程において、スタックは穴タイプのエッチングパターンを用いて形成することができ、このパターンにおいては、穴のパターンが材料の最初のスタックまでエッチングされる。双方の手法とも利点を有する。2013年9月17日に出願された「Conductor with a Plurality of Vertical Extensions for a 3D Device」という発明の名称の米国特許出願第14/029,305号を参照されたい。この出願は、引用することによりその全体が本明細書の一部をなす。
チャネルストリップを取り囲む垂直ゲート構造を形成するのに用いることができるバットレス工程を本明細書において説明する。チャネルストリップを取り囲むゲート構造は、結果としてゲート構造がチャネルストリップを取り囲むゲートオールアラウンドGAAセルとして特徴付けることができるメモリセルとなる。バットレス工程はGAAセルを含まない場合がある構造を作ることにも適用可能である。
図2は、バットレス工程を利用した3D水平チャネルGAA NAND構造の製造における中間段階での組立体(assembly)の斜視図である。
図2を含む多くの図面では、基準としてX軸、Y軸、及びZ軸が特定されている。全ての図面では、X軸はブロックにおけるワード線構造の方向を示し、Y軸はブロックにおけるビット線構造(NANDストリングのチャネルストリップ)の方向を示し、Z軸はブロックにおけるメモリセルの階層(levels)又は層(layers)に直交する線(名目上の垂直方向)を示す。
図2は半導体層200−1〜200−8のスタック及び上に重なるハードマスク層201を示す。ハードマスク層201を通り抜けて、半導体層200−1〜200−8のスタックを通り抜ける穴のパターンが示されている。半導体層200−1〜200−8はメモリセルのアクティブ素子を形成するのに用いるので、本明細書においてパターニングされたアクティブ層(活性層)とも呼ばれる。3DVG NANDのケースでは、半導体層は、ときにNANDストリングビット線と呼ばれるNANDストリングのチャネルストリップを形成するのに用いられる。アクティブ層は、他の半導体材料若しくは導電性材料、又は形成される構造の目的(ワード線及びビット線としての使用を含む)に従って電流を搬送するのに使用することができるという意味においてアクティブ(活性的)な材料の組合せを含むことができる。アクティブ層に対して単独で又は組合せで用いることができる代表的な材料にはポリシリコンと、ドープされたポリシリコンと、単結晶シリコンと、金属シリサイドと、Ti、W、Ta、PT等の金属と、TiN、WN、TaN等の金属窒化物とが含まれる。
支柱のアレイ(例えば、202a、202b、202c、202d)は半導体層200−1〜200−8の間に配置される。支柱の各々(例えば、202a、202b、202c、202d)は、アクティブ層間のステー(stay)又は支持体(support)として直立する姿勢(Z軸上)で固定される。支柱のアレイのうちの支柱は絶縁材料を含むか、アクティブ層間の電流をブロックするように構成することができる。支柱に対して単独で又は組合せで用いることができる代表的な材料にはシリコン酸化物、窒化シリコン、シリコン酸窒化物、シリコンオキシカーバイド(silicon oxycarbide:SiOC)、シリコンオキシフッ化物(silicon oxyfluoride:SiOF),及び層間誘電体に用いられる他の材料等の絶縁材料が含まれる。
図2に示されるような、結果としての支柱の組立体は、製造されるメモリ構造のビット線、メモリ層、及びワード線の形成中に、パターニングされたアクティブ層を支持するのに用いることができるバットレス構造として機能する。図2に示されるようなバットレス構造の製造方法、及びバットレス構造を使用したメモリ構造を完成させる方法を以下の図面を参照して説明する。
図3〜図20は、図2に示すようなバットレス構造を用いたメモリデバイスを製造するのに用いることができる製造工程の態様を示している。図3〜図20では、図面を簡略化するために、2つの層及び2つ又は4つの垂直穴のみが描かれている。多くの層、ある例では16層、32層又は64層の半導体層などを利用するメモリデバイスは、この方法で形成することができる。
図3は、交互に並ぶ犠牲層203−1、203−2と半導体層200−1、200−2とのスタックを示している。これらの層は標準的な堆積技術及び層形成技術によって形成することができる。この例では、半導体層200−1及び200−2は、形成されるメモリセルのチャネルとしての使用に適した非ドープ又は低濃度ドープのシリコンを用いて形成される。代表的な構造に関しては、アクティブ層は化学蒸着CVD工程を用いて堆積された、20nm〜40nmオーダーの厚さを有するポリシリコンの層を含むことができる。犠牲層はCVD工程を用いて堆積された50nm〜70nmオーダーの厚さを有するシリコン酸化物の層からなることができる。この例では、犠牲層203−1、203−2は二酸化ケイ素を用いて形成される。この二酸化ケイ素は、以下で説明するように、アクティブ層との適合性があり、アクティブ層の材料に優先して選択的にエッチングされる能力があることから選択される。したがって、犠牲層として、例えば、半導体層がシリコンである場合の窒化シリコン等、他の材料を利用することもできる。犠牲層として用いられる材料の選択は、アクティブ層に用いられる材料に基づくことがあり得る。犠牲層は、導電性ではなく、アクティブ層間の絶縁支柱として機能することができる材料を用いて実装されることが望ましい。
図4は、スタックを貫通して延在する穴204−1、204−2のアレイの形成後の製造段階における構造を示している。構造のより広範な部分においては、穴のアレイが穴の行と列の形に配置され、行はワード線方向(X軸)に延在するとみなすことができ、列はビット線方向(Y軸)に延在するとみなすことができ、ワード線方向に互いに対してずらされている。この穴のアレイの構成は、図2を参照することにより、より容易に観察することができる。穴のアレイを形成するのに用いられるエッチングは、最初にハードマスク層を形成することと、リソグラフィーステップを用いてハードマスクをパターニングすることと、その後、ハードマスクを用いて高アスペクト比の穴のエッチングを施すこととを含むことができる。シリコンを含む半導体層及びシリコン酸化物を含む犠牲層に対して、窒化シリコン層をハードマスク形成のためのエッチング止め(etch stop)として、スタックの上に形成することができる。穴エッチングは、高アスペクト比の穴のパターンを達成するために、パルス無線周波数RF放射(pulsed radio frequency RF radiation)によってエネルギーを与えられた高異方性ドライエッチング工程(highly anisotropic dry etch process:主たるエッチングガスとして例えばNF3及び/又はSF6等のフッ素ソースガスを、付加的なガスとして例えばCH2F2、CH4等の炭素ソースガスを用いたエッチングプラズマ)等のパルスプラズマエッチング工程を用いて実行することができる。
図5は、犠牲部材に選択的に作用するエッチング工程を施すことによって形成される、図2に示すバットレス構造と同様のバットレス構造の斜視図であり、図6はその平面図である。このエッチング工程は、穴のアレイにわたって施され、スタックにおける全ての犠牲層に作用する。犠牲部材がシリコン酸化物であり、アクティブ層がシリコンである場合に犠牲部材を除去し、一方で支柱を残すためのエッチングは、原子層エッチング(ALE)又はALE類似の工程とすることができ、例えば、いわゆる「SiCoNi」工程(例えば、引用することによりその全体が本明細書の一部をなす米国特許第8,501,629号を参照されたい)、又はいわゆる「Certas」工程(例えば、引用することによりその全体が本明細書の一部をなす米国特許第8,058,179号を参照されたい)である。また、DHF又は緩衝酸化物エッチング(BOE)のような他のウェットエッチング工程を用いることもできる。用いられている材料、ターゲットとなる構造の寸法、及び他の要因に応じて、他の選択的なエッチング工程を利用することもできる。
このエッチング工程は、穴のアレイによって画定される行方向の線に沿って、及び列方向の線に沿って、犠牲部材の全てが除去され、一方でアレイにおける4つの隣接する穴の縁の間の最短の線によって画定される対角線上に位置する領域における支柱(例えば、206a、206b)は残すように、時間によって又はその他の方法によって制御される。このパターンについて、以下でより詳細に説明する。図5及び図6に示されている例では、支柱は4つの辺を有する形状(図6の206a、206bを参照)を有し、これは概ね、4点を有する星形の形状であり、これは、犠牲部材を除去して行及び列方向に通路を形成した直後にエッチング工程を停止した結果として得ることができる。
図7は、同様に、犠牲部材に選択的に作用するエッチング工程を施すことによって形成される、図2並びに図5及び図6に示すバットレス構造と同様のバットレス構造の斜視図であり、図8はその平面図である。このエッチング工程は、穴のアレイにわたって施され、スタックにおける全ての犠牲層に作用する。このエッチング工程は、図5を参照して上記で説明したように、時間によって又はその他の方法によって制御される。図7及び図8に示す例では、支柱は円形の形状(207a、207b及び図8を参照)を有する。この構造は、図5及び図6の工程に比してオーバーエッチングするエッチング工程の結果として生じ得る。支柱のために選択されるパターンは、特定の製造工程及び形成される構造の必要性に合うよう決定することができる。図7及び図8の実施形態はバットレス構造に材料を形成するためのより広い空間を提供し、一方で、図5及び図6の実施形態は、製造工程中により良好な構造的支えを提供することができる。
図6及び図8を参照して、この例における各半導体層(例えば、層200−1)の構造の特徴を述べる。半導体層は4つのエクステンションを有するバットレスエリアに支柱(206a、207a)を有する。説明を簡略化するために、双方の図面でエクステンションに対して同じ参照符号が与えられているが、それらの特定の構造は異なっていてもよいと理解される。支柱206a又は207aのバットレスエリアからの4つのエクステンションには、矢印260によって表されるようなビット線方向の線に沿って0度及び180度に延在しているエクステンション250及び251が含まれる。支柱206a又は207aのバットレスエリアからの4つのエクステンションには、図6で矢印261によって表されるようなワード線方向の線に沿って90度及び270度に延在しているエクステンション252及び253が含まれる。ビット線方向のエクステンション250及び251は、これらのエクステンション上のメモリセルにおけるチャネル領域として機能するように構成される。これらのエクステンションは、ともにビット線方向に沿って、3Dフラッシュ構造におけるNANDストリングビット線又はチャネルとして機能することが可能な半導体ストリップを形成する。ワード線方向に沿ったエクステンション252及び253は1又は複数の後続の工程ステップにおいて切断される。
図5〜図8に示す支柱を形成するのに用いられるエッチング工程のパラメーターを説明するために、図9及び図10を参照する。図9はハードマスク層201における穴のアレイのレイアウト図であり、穴間の間隔と形成される構造のビット線ピッチとを示している。穴210、211、212を含むアレイのうちの穴は、この例では等しい半径「r」を有する円である。ワード線方向(X軸)の穴間の距離「d」はビット線方向(Y軸)の穴間の距離「d」と同じである。穴210と穴212との中心間に引かれる対角線は、穴の円周上の最も近接する点間の線でもあり、半径「r」の2倍に距離「x」を加算した長さを有し、この距離「x」は穴210と穴212との円周上の最も近接する点間の距離に等しい。距離「x」は、少なくとも、形成される支柱の対角線に沿った幅の分だけ距離「d」より長くなければならない。
このようにして、図10に示すように、犠牲部材を、穴の円周の接線に対して垂直に距離PBだけ除去するために、エッチング工程を施すことができる。この距離PBは、結果として、図示の例においてはオーバーエッチングOEとなり、形成される構造の必要性に応じて、ワード線方向の広い通路とビット線方向の広い通路とを形成するのに十分である。しかしながら、距離PBは、図9に関して示されている距離「x」の2分の1より小さい。これにより、結果として、(x−2*PB)に等しい対角線上の幅215dを有する領域215が得られ、この領域に支柱が形成される。図8に関して上述したように、更なるオーバーエッチングの結果として、支柱の輪郭は丸くなり、支柱の径が減少し得る。
エッチング工程の結果として、図5及び図7に示すようなバットレス構造を形成することができる。
上述したように、この例においては、メモリ構造におけるチャネルストリップ又はNANDストリップビット線を形成するために、半導体層200−1及び200−2が使用される。いくつかのタイプのメモリ材料にとって、半導体層200−1及び200−2の縁部、少なくとも穴のアレイによって露出する縁部を丸める工程を施すことが望ましい場合がある。図11、図12、図13A、図13B、及び図14を、縁部を丸める様々な技術を示す目的で参照する。
図11は図9の構造の縁部を丸めた後の構造を示している。図7に対して適用されている参照符号は図11の対応する構造にも与えられている。しかし、半導体層の縁部を選択的に丸める丸め工程の後、穴間の材料のエクステンションのチャネルの断面(例えば、チャネル290において見られるような)は丸められる。この結果として、形成されるメモリセルのチャネルの断面は丸められた輪郭を有し、それにより読み出し処理、プログラム処理、及び消去処理中の電界分布を改善することができる。
図12では、丸められた縁部を形成する技術が示されている。この技術において、図4に示す段階における構造は酸化雰囲気中に曝露され、それによって半導体層を酸化する。半導体層がシリコンを含み、犠牲層が二酸化シリコンを含むこの例では、半導体層の酸化された曝露領域(例えば、211)もシリコン酸化物を含む。このケースでは、犠牲層を除去するエッチング工程中に酸化物層211も除去され、図11に示すような丸められた縁部が残る。
図13A及び図13Bは縁部を丸めるための別の工程を示している。図13A及び図13Bの工程は、図4に示すような構造から開始し、エッチング工程を施し犠牲層を僅かにエッチバックし、半導体層200−1、200−2において露出した縁部(例えば、213)を残す。次に、図13Bに示すように、半導体層200−1、200−2において露出した縁部及びレッジ(ledge)213を酸化して酸化物層214を形成する工程が施される。半導体層がシリコンを含み、犠牲層がシリコン酸化物を含むこの例においては、半導体層(例えば、211)の酸化物層214もシリコン酸化物を含む。このケースでは、犠牲層を除去するエッチング工程中に酸化物層214も除去され、図11に示すような丸められた縁部を残す。
図14は縁部を丸めるための更に別の工程を示している。図14に示す例では、図13Aに示すような構造から開始して、半導体層200−1、200−2において露出したレッジ(例えば、213)を残し、犠牲層の存在下で半導体層を選択的にエッチングする工程が施される。シリコン層を選択的に丸めることができる工程の一例は、シリコンのHNAシステム(HF:Nitric:Acetic)等方性ウェットエッチングであり、これは、酢酸(acetic acid)又はフッ化アンモニウム(ammonium fluoride)を緩衝剤として用いた、硝酸(nitric acid)とフッ化水素酸(hydrofluoric acid)との組合せである。この結果として縁部を直接丸めることができ、これにより、パターニングされた半導体層のチャネル290が丸められる。他の手法においては、構造は、僅かな量の犠牲層を除去する何回かの繰り返しのサイクルに曝露することができ、その後各サイクルにおいて等方性のウェットエッチングによって露出した半導体が少しずつ丸められる。
図2並びに図5及び図7を再度参照すると、犠牲部材を除去し、一方で支柱のアレイを残すことは、結果として、行に配列された穴の間を連通する行通路(row passage)をアクティブ層間に形成し、列に配列された穴の間を連通する列通路(column passage)をアクティブ層間に形成することになる。犠牲部材が完全に除去されたとき、又は後続のステップにおいて導電体材料若しくは半導体材料の堆積を成し遂げることができる開口が穴の間の線に沿って残される程度まで除去されたとき、通路は穴の間を連通していると言える。
図15は、少なくともメモリセルが実装されている領域(例えば、図6、図8の250、251)の表面上で、バットレス構造にライニングを施す工程において、メモリ膜216を施した後の工程段階を示している。メモリ膜216の形成の結果として、少なくとも半導体層のチャネル領域上の情報記憶構造が得られる。図示されている例において、メモリ膜216は、各半導体層の上部側及び下部側の表面と、バットレス支柱の表面と、チャネルストリップとして用いられる表面とを含むバットレス構造の全ての表面にライニングを施す。図面を簡略化するために、図15ではメモリ膜216が単一の層として描かれている。フラッシュメモリの実施形態では、メモリ膜216は、トンネル層、電荷トラッピング層、及び阻止層を含む多層誘電体構造である。BE−SONOSとして知られているいくつかの例では、誘電体電荷蓄積層におけるトンネル層は約2nm未満の厚さのシリコン酸化物の第1の層と、約3nm未満の厚さの窒化シリコンの層と、約3nm未満の厚さのシリコン酸化物の第2の層とを含むことができる。電荷トラッピング層は約5nm〜7nmの厚さを有する窒化シリコンの層を含むことができる。阻止層は約5nm〜8nmの厚さを有するシリコン酸化物の層から構成することができる。代表的な実施形態では、例えば、組合せた厚さで15nm〜25nm、より具体的には約20nmの厚さを有するBE−SONOS電荷トラッピング構造が利用される。少なくとも、形成されるメモリセルのチャネル領域に均一なメモリ層が築かれるように、BE−SONOS電荷トラッピング構造を十分同じ形(conformal)で形成するために、原子層堆積技術を用いることができる。1つの例示的な工程はプラズマ励起原子層堆積(PEALD)であり、これは表面形状についての改善された適用可能範囲(improved topography coverage)とすぐれた厚さ制御をもたらしつつ、通常のPECVDプロセスガス及び装置を利用する。例えば、PEALD SiN工程は、Siウェハーを、Nプラズマ曝露、ガスパージ、非プラズマ活性化SiH曝露及びガスパージからなるシーケンスの繰返しに晒すことから構成される。1つの例では、ONO電荷トラッピング構造が実装される。ONO構造は、トンネル層酸化物を形成するための半導体層の第1の酸化と、電荷トラッピング層を形成するためのトンネル層にわたる窒化シリコンの原子層堆積技術を用いる堆積と、阻止層を形成するための窒化シリコン層の酸化とによって実施することができる。
代替的な実施形態では、トンネル層はシリコン酸化物の単一の層から構成されてもよい。また、代替的な実施形態では、阻止層はタンタル酸化物の層、アルミニウム酸化物の層若しくは他の材料から構成されてもよく、又、材料の組合せを用いることもできる。
幅広い種類の電荷トラッピング構造がメモリ材料として機能することができる。また、他のタイプのメモリ材料を用いることもできる。例えば、実施形態ではメモリ膜216として遷移金属酸化物又は他のプログラマブル抵抗材料を用いることができる。
垂直方向に整列した穴のアレイのうちの穴204−1、204−2の部分的充填の結果として穴214−1、214−2が残り、ワード線方向のバットレス構造を通る通路(例えば、270)が完全には閉じていないが、3D NANDのためのワード線構造を提供するのに適した材料等のアクティブ材料を堆積させるための十分な空間を残すように、メモリ膜216の層は十分に薄くなければならない。用いることができる代表的なアクティブ材料には、ドープされたポリシリコン、金属、金属窒化物、及び金属シリサイド、並びに、シリコン、シリサイド、及び金属の組合せが含まれる。いくつかの実施形態では、ビット線方向の(例えば、領域271を通る)通路も少なくとも部分的に開通した状態のままである。通路の寸法は、穴のアレイのうちの穴204−1、204−2の形状、ビット線方向及びワード線方向の双方における穴間の間隔、メモリ膜216の厚さ、半導体材料の層間の距離に変換される犠牲層の厚さ、半導体材料の層の厚さ等を含む様々な要因によって決まる。
図16は、アクティブ材料218を図15に示す穴(例えば、214−1、214−2)及び通路(例えば、270、271)へと堆積した後の工程段階を示している。この例では、アクティブ材料は高アスペクト比の穴の充填をサポートする工程を用いて堆積させたポリシリコン等のワード線材料を含むことができる。代表的な工程は水素ラジカル励起ALD又はプラズマ励起ALD等の原子層堆積技術とすることができる。アクティブ材料218を堆積させた結果として、バットレス構造は垂直穴(例えば、214−1、214−2)を介し、又メモリ膜216の堆積後に残る水平通路(例えば、270、271)を介して接続されるアクティブ材料の3次元マトリックスによって充填される。
図17は、充填されたバットレス構造を通りぬけて第2の穴のアレイ(220−1、220−2、220−3、220−4)にパターンエッチングを実行した後の工程段階を示している。充填されたバットレス構造における材料には、アクティブ材料218(例えば、ワード線のためのポリシリコン)、メモリ膜216(例えば、ONO)、及び半導体材料の層の材料(例えば、チャネルのためのポリシリコン)が含まれる。図6及び図8を参照すると、第2の穴のアレイはY軸に平行な線上の第2の穴のアレイからずらされ、半導体材料の層のエクステンション252、253等の、ワード線方向のパターニングされた半導体層のエクステンションを分断するように構成される。また、第2の穴のアレイは、アクティブ材料218のマトリックスを、図示の座標系のX−Z平面内の個々の垂直スライスの組に分割するように構成され、その個々の垂直スライスは、間に配置されるメモリ膜とともに半導体層のビット線方向のエクステンション(例えば、図6及び図8の250、251)によって貫通される。その結果として、構造は、アクティブ材料の垂直スライスと、ビット線方向の半導体層のエクステンションとの交点においてメモリセル(例えば、280)となる。図17に示すように、メモリセル280は水平チャネル上のゲートオールアラウンドGAA構造を有し、この構造では、メモリ膜216は半導体層のチャネル290を取り囲み、ワード線材料の垂直スライスはメモリ膜を取り囲む。
図18及び図19は、NANDビット線ストリップ及びワード線スライスをより観察しやすくすることができるように、メモリ膜216が取り除かれた構造の斜視図を提供している。3つのワード線スライス310、311、312が描かれており、スライス310及び312は断面の形を示すために中央部でカットされている。図示されるように、パターニングされたアクティブ層のチャネル線320は垂直スライス312を貫通している。図19はバットレス支柱(例えば、322)が、露出したバットレス領域を含むビット線ストリップのバットレス領域321の上に配置されている構造を平面図にて示している。穴304−1、304−2、304−3、304−4は図17の穴220−1、220−2、220−3、220−4に対応し、上記で述べたように、半導体材料の層のワード線方向のエクステンションを分断し、ワード線材料のエクステンションをビット線方向に分断する。結果として、半導体層の残っている部分は、NANDストリングとして構成することが可能な半導体ストリップの複数のスタックを含む。アクティブ材料の残りの部分はワード線材料の複数のスライスを含み、そこを通りぬけて半導体ストリップが延在し、そのスライスが3次元アレイの半導体ストリップに形成されたメモリセルのチャネル領域を取り囲む。
図19Aは図19のレイアウト図を取り出したものであり、ワード線スライス310、311、312を取り除き、結果としてビット線ストリップ(例えば、ストリップ320)の上から見た形を示している。この図では、ワード線ストリップ311が領域311aから取り除かれている。ビット線ストリップ320は領域311aにおいてチャネル領域320xを含む。図示する例において、ビット線ストリップの側部はチャネル領域(例えば、320x)において直線状ではなく、弧状としてもよく、形成時に用いられた穴形の結果としての他の切抜き型を有していてもよい。この形の結果として、ビット線ストリップは波打ち形状の側部を有し、ワード線ストリップ領域311aの中央部近くの断面A−A’において、ワード線ストリップ領域311の端部近くの断面B−B’よりも狭くなっている。図19Bは線A−A’においてとられたビット線ストリップ320の断面320Aを示しており、この断面は、メモリ膜216及びワード線ストリップ311によって囲まれている。図示されているように、ワード線ストリップ領域の中央部近くの断面320Aにおけるビット線ストリップの幅はWと符号付けされている。図19Cは線B−B’においてとられたビット線ストリップ320の断面320Bを示しており、この断面は、メモリ膜216及びワード線ストリップ311によって囲まれている。図示されているように、ワード線ストリップ領域の端部近くの断面320Bにおけるビット線ストリップの幅はWと符号付けされている。幅Wは幅Wより大幅に狭い。また、図19B及び図19Cに示されるワード線ストリップ311は、断面320A及び断面320Bの双方においてメモリ膜の外側表面を取り囲み、かつその外側表面に整合しており、ゲートオールアラウンドGAAセルを成立させている。
図20は、基板の絶縁層420の上に形成され、ワード線スライス400を通るメモリセルの8層を有する3DアレイのX−Z平面で取り出した、図17に示すX−Z面に対応する断面図である。この図では、メモリセルは第1のスタックの第1及び第2の階層のセル401、402と、第2のスタックの第4の階層のセル403と、第3のスタックの第6の階層のセル404と、第4のスタックの第8の階層のセル405とを含む。セルは半導体ストリップのチャネル領域及びメモリ膜を含み、メモリ膜はスライス400のワード線材料によって取り囲まれている。セル401を参照すると、チャネル領域410は円形として示されており、メモリ材料411は円形のチャネル領域410を取り囲む。簡略化するために、この図では全てのセルの寸法が同じであるとして示されている。バットレス構造は、多くの階層を有する高密度のゲートオールアラウンドメモリ構造の形成に使用できることが示されている。
図21〜図25は図4の穴204−1、204−2に対応する第1の穴のアレイの様々な構成を示している。バットレスを用いて実装されるデバイスにおけるメモリセル構造及び他の構造や、バットレス構造における支柱の形は、第1の穴のアレイのうちの穴の構成によって決めることができる。各図面は穴の形を画定するマスク500を示している。図21では、穴501は楕円形である。寸法「L2」は穴の中心から穴の周縁までのビット線方向における距離を規定する。寸法「L1」は穴の中心から穴の周縁までのワード線方向における距離を規定する。寸法「d1」はアレイのうちの穴の周縁間のワード線方向における距離を規定する。寸法「d2」はアレイのうちの穴の周縁間のビット線方向における距離を規定する。寸法「x」はアレイのうちの穴の周縁間の対角線方向における距離を規定し、これらの穴はビット線方向に1行隣で、ワード線方向に1列上の間隔をあけられている。寸法「L1」及び「L2」は、アレイ構造におけるチャネル長及びワード線幅を決定するために設定することができる。寸法「d1」及び「d2」は特定の実装に適合させるように、等しい値又は異なる値に設定することができる。これらの寸法は、バットレス構造の支柱を形成するのに必要とされるエッチング時間の量に影響を及ぼす傾向がある。寸法「x」は、少なくとも、「d1」と「d2」との和より、形成される支柱の直径の分だけ大きくなければならない。図21〜図25に示す各例では、これらの同じ寸法が符号付けされている。
図22では、穴502は正方形又はひし形であり、その寸法は特定の実装に適合させるように調節することができる。図23の穴503は星形であり、その寸法は調節することができる。図24の穴504は六角形であり、その寸法はメモリセル構造やアレイのその他の側面の調整のために、同様に調節することができる。図25の穴505は回転された多角形である。寸法及び回転量はメモリセル構造やアレイのその他の側面の調整のために調節することができる。
バットレス構造は均一な寸法を有する第1の穴のアレイを含み、このことはセルの動作を可能な限り均一にすることができるので、メモリセルアレイにとって望ましい。メモリセルブロックにおける他の構造も、図1に示すブロックと同様に、バットレス構造を用いて形成することができる。
例えば、図1に示すGSL線127、126はバットレス構造を用いて形成されたGSLスライスによって置き換えることができる。結果としてできるGSLトランジスタがメモリセルより長いチャネル長を有することができるよう、GSLスライスはワード線スライスより厚くすることができる。より厚いスライスは、例えば、GSLスライスの位置において、第1の穴のアレイにおけるチャネル長寸法(channel length dimension)(Y軸)において長く伸びた穴を用いることによって実装することができる。
同様に、例えば、図1に示すSSLゲート構造119、109はバットレス構造を用いて形成されたSSLスライスによって置き換えることができ、第2の穴エッチングを用いて、又は分割パターンエッチング(separate pattern etch)を用いて個々のゲート構造に分割することができる。結果としてできるSSLトランジスタがメモリセルより長いチャネル長を有することができるよう、SSLスライスはワード線スライスより厚くすることができる。より厚いスライスは、例えば、SSLスライスの位置において、第1の穴のアレイのうちの長く伸ばした穴を用いることによって実装することができる。図1に示すソースライン構造は、特定の実装に適した分割パターン工程及びコンタクト形成工程を用いて実装することができる。
ビット線パッド(例えば、図1の102B、103B、104B、105B)は半導体層を用い形成することができ、必要に応じて第1の穴エッチング及び第2の穴エッチングの最中に層間導体に対して階段工程(stairstep processes)を用いてパターニングすることができる。
図21〜図25を参照して検討した第1の穴のアレイの形状は、形成されるメモリセルの形状及びアレイブロックにおける他の構造を制御する1つの要因である。別の要因は半導体層の厚さと、適用される丸め工程とに関連する。図17を参照すると、メモリセルの断面280の形状は、ブロックにおいて垂直軸(Z軸)に楕円の長軸を有する楕円とすることができる。
図26はメモリセルの様々な断面形状を示している。形状601は図17に示すものと同様である。これは、ワード線方向の穴間の距離を半導体層の厚さに対して相対的に小さく設定し、メモリ層を堆積する前に丸め工程を施すことによって形成することができる。形状602も垂直方向に向けられた楕円であるが、形状601より僅かに幅広である。これは、ワード線方向の穴間の距離を、形状601を形成するのに用いられるものより相対的に大きいが依然として半導体層の厚さより小さく設定することによって形成することができる。また、丸め工程はより短時間施すことができる。形状603及び604は同じ穴間距離を用いて形成することができるが、形状603に対しては丸めの度合いがより大きく、形状604に対しては丸めの度合いがより小さい。形状605は円形の断面を示しており、穴間の距離と半導体層の厚さとが略等しく、丸め工程を施した結果として円形の形状となっている。形状606は形状605を形成するのに用いるものと同じ穴の構成を用いて作製することができるが、丸みを帯びた矩形の断面が形成されるよう、より小さい度合いの丸めを施している。形状607〜610は穴間の距離が半導体層の厚さより長い実施形態を図示しており、その結果として、水平方向に引き伸ばされた断面の形状となっている。形状607は比較的大きく丸みを帯びた楕円形である。形状608は、形状607に対して用いるものとおそらく同じ開始条件を用いるが、丸めはより少なくして形成された、丸みを帯びた矩形である。形状609はアクティブ層の厚さを穴間の距離より著しく薄く設定することにより形成することができ、丸められて楕円形を形成することができる。形状610は形状607及び608と同様であるが、構造の縁部のうち、より小さい割合にのみ効果を及ぼす度合いの丸めを用いている。
図26に示すようなメモリセルの断面形状は、メモリ材料のタイプ、並びに利用されるプログラム動作及び消去動作に応じて調整することができる。例えば、断面形状によって制御されるメモリ構造の1つの特徴は、ワード線とメモリ層の外側表面との間の界面及びメモリ層の内側表面とチャネルとの間の界面における電界の相対的な強度である。ゲートオールアラウンドワード線構造とセルのチャネル領域との間にバイアスを印加することの結果として生じる電界は、断面の直線部分よりも、丸められた部分におけるチャネル表面に近いところでより強度が高い。また、相対的な差は、チャネルの直径がメモリ層の外径に対してより小さい構造ほど大きい。
図27Aは半導体層のスタックの簡略化した図であり、層Aと、層Bと、層Cと、層Dとを含み、それらを通って穴451、452が形成されており、これらの穴が上記で検討した第1の穴のアレイに対応する。穴は完全に垂直な側壁を有することが望ましい。しかしながら、深い高アスペクト比の構造に関しては、図に示すように側壁は僅かに角度を有する場合がある。この結果、CD_A、CD_B、CD_C、CD_Dと符号付けされた、各階層の穴間の半導体ストリップの幅は僅かずつ異なっている。穴の底部における幅CD_Dは最上部における幅CD_Aより僅かに広く、それらの間でも僅かずつ変化している。上記で説明したバットレス工程を適用し、半導体層を丸めることによって、結果として図27Bに示すような断面を得ることができる。図示されているように、メモリセル(層Aの421、層Bの422、層Cの423及び層Dの424)は異なる断面形状及び異なる幅を有する。しかしながら、メモリセルの高さは略同じである。メモリセルの構造におけるこれらの差によって、結果としてプログラム動作及び消去動作中の性能の差が生じ得る。
図示されてはいないが、チャネルストリップに沿ったメモリセルの構造は、より広いチャネル幅が実装されることになる行に沿って、より小さな直径の穴を用いること等により第1の穴のアレイの穴の間の間隔を調節することによって、チャネル幅寸法を調節することができる。例えば、構造の或る階層の水平チャネルストリングに沿ったNANDセルは、ストリングのGSL端部に近いところでより広いチャネル幅を、ストリングのSSL端部に近いところでより狭いチャネル幅を有するように、又はその反対に、実装することができる。
例えば図28Aは、セルが比較的近接した分布を有する初期の低スレッショルド(低Vt)状態から、層D、層C、層B及び層Aが段々と高くなるスレッショルド電圧分布を有する高スレッショルド(高Vt)状態へのプログラム動作に対するスレッショルド電圧の分布を示している。図28Bは、セルが比較的近接した分布を有する初期の高スレッショルド状態から、層D、層C、層B及び層Aが段々と低くなるスレッショルド電圧分布を有する低スレッショルド状態への消去動作に対するスレッショルド電圧の分布を示している。プログラム性能及び消去性能におけるこれらの変動は、アレイに対してデータ記憶の余地を制限するとともに、スレッショルド分布を等化するためにより複雑なプログラムアルゴリズム及び消去アルゴリズムを要求する可能性がある。
図29A及び図29Bは、プログラム動作及び消去動作に対する、より望ましい特性を示している。図29Aに示すように、全ての層におけるセルに対する、スレッショルドをより低い初期状態からより高い状態へと増加させるプログラム動作の結果として、図に示すようなより密集した分布が得られることが望ましい。同様に、図29Bは、消去動作又は他のより低いスレッショルドへの動作に対する、より望ましい特性を示しており、この結果としてより密集した分布が得られる。
図30は、メモリセルの動作特性を調整し、図29A及び図29Bに示す特性を達成するのを助けるために、どのようにしてバットレス構造を使用することができるかを示している。図30では、メモリセルのスタックをワード線スライス510を通る断面で示している。メモリセルのスタックは層Aにメモリセル511、層Bにメモリセル512、層Cにメモリセル513、層Dにメモリセル514を含む。メモリセルの動作及び構造は半導体層の厚さを変化させることによって調整されている。この例では、半導体層の厚さが上部層Aから下部層Dへと向かうにつれ少しずつ増加している。図27A及び図27Bを参照して上記で検討したように、メモリセル514は、穴タイプのエッチングの傾斜の結果として、セル513、512、511の幅より広い幅を有している。一方、メモリセル514は、それより上方にあるセル513、512、511のうちの少なくとも1つ又は全ての深さより小さい深さを有している。これが、図29A及び図29Bに示すプログラム動作及び消去動作を達成するのに用いることが可能なメモリセルの電気的特性の調整につながる。半導体層又は他のタイプのアクティブ層の厚さの変化量は、例えば、経験的に、又はシミュレーション工程を実行することにより決定することができる。
したがって、本技術の実施形態は、垂直方向における異なる厚さ(高さ)及び水平方向における異なる厚さ(幅)を有するメモリセルを含む。それらの差は、通常の処理のばらつきによって発生するものより大きく、メモリセルに適用されるプログラム動作及び消去動作のうちの1つ又は双方について、そのメモリセルの電気的特性を、アレイにおけるデータ記憶の余地又はプログラム速度若しくは消去速度が改善する分だけ、正常化する傾向がある。
図31は、ゲートオールアラウンドGAA・水平チャネル・NANDフラッシュメモリからなるフラッシュメモリアレイ650を含む集積回路625の簡略化したブロック図である。いくつかの実施形態では、アレイ650は3Dメモリであり、複数の階層のセルを備える。ローデコーダー(row decoder)611はメモリアレイ650の複数のワード線、ストリング選択線、及びグランド選択線(612)と結合されている。この例では、ブロック613の階層/カラムデコーダー(level/column decoder)は、データバス617を介して1組のページバッファー616と結合され、グローバルビット線及びソース線614と結合されている。アドレスはバス615上において階層/カラムデコーダー(ブロック613)及びローデコーダー(ブロック611)に与えられる。データは、データ入力線623を介して、汎用プロセッサ若しくは用途特定回路等の集積回路の他の回路624(例えば、入力/出力ポートを含む)、又はアレイ650によってサポートされるシステムオンチップ機能を提供するモジュールの組合せから与えられる。データは、データ入力線623を介して入力/出力ポートへ、又は集積回路625の内部若しくは外部の他のデータ宛先へと与えられる。
この例ではステートマシン619として実装されているコントローラーは、消去、プログラム、及び読み出しを含む様々な動作を実行するために、電圧供給回路を通じて生成又は提供されるバイアス構成供給電圧の印加を制御する信号を供給する。コントローラーは、当該技術分野で既知の専用ロジック回路を用いて実装することができる。代替の実施形態では、コントローラーは汎用プロセッサを含み、当該汎用プロセッサは同じ集積回路上で実施することができ、デバイスの動作を制御するコンピュータープログラムを実行する。更に別の実施形態では、専用ロジック回路と汎用プロセッサとの組合せをコントローラーの実装に利用することができる。
図32は3D・水平チャネル・GAAメモリブロックを形成する基本的なステップのフローチャートである。フローチャートの第1のブロック(701)では、半導体と犠牲層との交互のスタックが基板上に形成される。半導体層の厚さは、例えば、約20nmとすることができる。高密度デバイスに関しては、ゲートオールアラウンド構造からのより強い電界増強を利用するために、厚さが約10nm等、比較的薄いことが好ましい場合がある。したがって、いくつかの実施形態では、半導体層を10nmのオーダーとすることができる。いくつかのケースでは、半導体ストリップの抵抗値を低くする(セル電流を高くする)ため、またゲートオールアラウンド構造からの過大な電界増強による読み出しディスターブ(read disturb)を減少させるために、厚さが約30nm等、比較的厚いことが好ましいことがある。したがって、いくつかの実施形態では、半導体層を30nm以上のオーダーとすることができる。最適な半導体の厚さは、最終的なデバイス性能に依存する。なぜなら、これら全ての素因(デバイス材料、デバイス寸法、動作状態)が最終的なデバイス性能に影響を及ぼすであろうからである。更なる他の実施形態では、層の厚さはデバイス性能を調整するために変化する。例えば、下部層は10nmの厚さを有することができる一方、上部層は20nmの厚さを有することができる。
犠牲部材の層は、デバイスの形成のための余地を与えるため、形成されるメモリ材料の厚さの2倍より厚くするべきである。したがって、1つの例では、犠牲部材は約50nmの厚さを有するシリコン酸化物を含むことができる。
フローチャートの第2のブロック(702)では、第1の穴のアレイがパターニングされる。穴の直径及び形状は、上記で検討したように、形成される特定の構造の必要に応じて調整することができる。1つの例では、穴は円形とすることができ、60nm〜80nmの範囲の直径を有することができる。他の実施形態では、穴のアレイは任意の適切な形状の穴を有し、同じアレイに円形、楕円形、偏菱形等を含む異なる形状を有する穴を含むことができる。穴間の間隔はメモリセルのチャネル幅の決定要因と成り得ることができ、例えば10nm〜30nmの範囲内とすることができる。穴のアレイに対するエッチングの輪郭(etching profile)は、より均一なメモリセル構造を提供するために、可能な限り垂直であるべきである。ゲートオールアラウンドメモリセルのチャネルサイズ(NANDストリングビット線サイズ)は穴間の間隔及び半導体層の厚さによって決定される。
フローチャートの第3のブロック(703)では、上記で詳細に説明したように、底部のパターニングされたアクティブ層の下のバットレス支柱を含むバットレス支柱を残しつつ、犠牲部材を除去するための選択的エッチングが穴を介して実行される。結果としてのバットレス構造は、支柱の間において、穴を垂直に通過し、かつ、ビット線方向及びワード線方向と水平な通路であって、その中にワード線材料を堆積することが可能な通路を含む。
フローチャートの第4のブロック(704)では、半導体層の縁部を、特にメモリセルチャネルの領域において、丸める工程を実行することができる。この丸めを実施する様々な工程が上記で説明されている。メモリセルチャネルを丸めることは、メモリセルアレイに対する電界増強特性に重大な影響を及ぼす可能性がある。
フローチャートの第5のブロック(705)では、メモリ材料がバットレス構造に形成される。NANDフラッシュの場合、メモリ材料はBE−SONOS構造、ONO構造、MANOS構造、又は他の電荷トラッピング技術等の多層誘電体電荷トラッピング構造を含むことができる。1つの例では、BE−SONOS構造は通常約20nmの厚さを有するように形成される。
フローチャートの第6のブロック(706)では、ドープされたポリシリコン又は他のアクティブ材料等のワード線材料がメモリ膜の形成後にバットレス構造に残された通路の中の穴に充填される。この段階で、ワード線材料はバットレス構造を通ってワード線方向及びビット線方向の双方に延在する通路を充填することができ、パターニングされた半導体層上のメモリ膜を完全に取り囲むことができる。
フローチャートの第7のブロック(707)では、第2の穴のアレイが、第1の穴のアレイからビット線方向に平行な線の上でずらされてパターニングされ、ビット線方向に延在している通路におけるワード線材料を分断し、これにより、ゲートオールアラウンドワード線として動作し、この例ではNANDストリングビット線である水平のアクティブ線によって貫通される垂直スライス又は壁を形成する。また、第2の穴のアレイはワード線方向に向かう半導体層のエクステンションも分断し、これにより、各層のNANDストリングに沿った半導体材料の個々のストリップが分離される。この工程例において、バットレス構造の支柱は、NANDストリングのチャネル線として動作する半導体材料のストリップに沿って分布した状態のまま残り、メモリセル間のブロック構造の部分の、隣接する階層の半導体材料の個々のストリップの間に延在する。
図示する例では、第2のアレイの穴タイプのエッチングの直径は、例えば、40nm〜60nmのオーダーとすることができて、メモリ実施形態におけるビット線及びワード線を分離する。第2のアレイの穴のサイズは、少なくともメモリセル領域においては、ビット線の寸法及びゲートオールアラウンドワード線のサイズに依存する。第2の穴のアレイは、任意の適切な形状の穴を有することができ、同じアレイで円形、楕円形、偏菱形等を含んだ異なる形状の穴を有することができる。いくつかの実施形態では、第2の穴のアレイにわたって再酸化、ワード線又はチャネルのポリシリコンのトリミング、メモリ材料のトリミング等の追加の処置を所望とあれば実行することができる。
フローチャートの第8のブロック(708)では、SSL構造、GSL構造、ソース線コンタクト、及びビット線パッドを含む、メモリセルブロックのための他の構造を完成するのに必要なステップが実行される。また、周辺回路を完成するのに必要なステップについても述べておく。当然のことながら、ブロックにおける他の構造及び周辺回路を完成させるためのそれらの追加のステップを実行する順序は特定の製造シーケンスに応じて選択することができる。
フローチャートの第9のブロック(709)では、バックエンドオブラインBEOLステップが明らかにされる。上に重なるパターニングされた金属層及び集積回路を完成させるのに必要な他の構造を提供するために、これらのステップが実行される。
本明細書における技術は、水平チャネル及び垂直ゲートを有する垂直メモリデバイスのビット線構造及びワード線構造の双方を画定するために、アクティブ層(例えば、半導体)と犠牲層(例えば、絶縁体)の交互のスタックにわたって2つの穴のパターニング工程を用いる工程を含む。第1の穴パターニングステップは、線の曲がり又は波状になる問題点に煩わされる可能性がある高アスペクト比の線タイプのエッチングを避けて、各セルの「メモリセルサイズ」、又はチャネル長及びチャネル幅を画定することができる。
本明細書において記載される技術は、GAA構造を形成する後続の工程の間、アクティブ層とともに構造を維持するバットレスを形成する支柱のアレイを残しつつ、犠牲層の一部分を除去する高選択性等方性エッチングを用いる工程を含む。
丸め工程は絶縁バットレスの形成の前又は後のいずれかに実行することができ、メモリセルチャネルが丸みを帯びた形状を形成するのを可能にする。この丸みを帯びた形状を形成することはデバイス性能に関する重大な要因と成り得る。
次に、メモリ材料(例えば、ONO)及びワード線を形成する導電性材料(例えば、ポリシリコン)を第1の穴パターンを通じて充填してGAA構造を形成する。
第2の穴パターニングによって、ビット線と、ワード線を形成するワード線導電材料とを接続するアクティブ層のエクステンションを分離する。結果として水平チャネルGAA NANDフラッシュセルのアレイを形成することができる。また、上記で検討したように、様々なアレイタイプを形成するために他のタイプのメモリ材料を用いることもできる。
この2回の穴パターニングに基づき、コンパクトな4Fのフットプリントでメモリセルを実装することができる。
水平チャネル・ゲートオールアラウンドフラッシュメモリセルが記載される。このメモリセル構造は単一の2Dアレイにおいて実装するか、又は3Dメモリ構造の基礎として動作させることができる。2Dアレイ及び3Dメモリ構造は水平チャネル・ゲートオールアラウンドNANDアレイを含むことができる。製造方法及びアレイ構造は、例えば、誘電体電荷トラッピングメモリ材料をプログラマブル抵抗メモリ材料と置き換えることによって、他のタイプのメモリ技術に適用することができる。
本発明は、上記で詳述した好ましい実施形態及び例を参照することによって開示されているが、これらの例については、限定的な意味ではなく例示的な意味を意図していることを理解されたい。本発明の趣旨及び添付の特許請求の範囲の範囲内に入る変更形態及び組合せが当業者には容易に思い浮かぶことが想定される。

Claims (13)

  1. 複数の階層において材料の層の一部を有する水平アクティブ線の複数のスタックであって、前記材料の層の一部は、行及び列で配置されて該材料の層を貫通する第1の穴のアレイと第2の穴のアレイによって画定される側部を有する水平アクティブ線を形成し、前記第2の穴のアレイは前記第1の穴のアレイから第1方向にずらされることでワード線方向の前記水平アクティブ線を分断し、かつ、前記水平アクティブ線は前記第1方向に延在する、スタックと、
    前記複数のスタックにおける前記水平アクティブ線の間に垂直に延在する複数の絶縁支柱を含むバットレス構造であって、前記複数の絶縁支柱中の各絶縁支柱は、前記水平アクティブ線間の支持体として垂直に固定され、前記複数の絶縁支柱中の各絶縁支柱は、前記アレイの2つの列及び2つの行において隣接する穴の周縁の間で、かつ、前記隣接する穴の周縁から間隔をあけて設けられる、バットレス構造と、
    前記第1の穴のアレイ中の穴の行の間に設けられる前記水平アクティブ線によって貫通され、前記水平アクティブ線を取り囲む複数の垂直スライスであって、前記第2の穴のアレイ中の穴の行と列によって分断される前記垂直スライスは、垂直方向と前記ワード線方向の両方に延在することでワード線として動作する、複数の垂直スライスと、
    前記水平アクティブ線を取り囲み、かつ、前記複数のスタックにおける前記水平アクティブ線と前記複数の垂直スライスのうちの前記垂直スライスとの間に設けられるメモリ膜と、
    を含むメモリデバイス。
  2. 前記水平アクティブ線は半導体チャネル線を含む、請求項1に記載のメモリデバイス。
  3. 前記メモリ膜は多層誘電体電荷トラッピング構造を含み、前記水平アクティブ線は半導体を含み、前記垂直スライスは導電性材料を含む、
    請求項1に記載のメモリデバイス。
  4. 前記水平アクティブ線のうちの少なくとも1つは、同じスタックにおける前記水平アクティブ線のうちの他の少なくとも1つの垂直方向の厚さと異なる垂直方向の厚さを有する、
    請求項1に記載のメモリデバイス。
  5. 前記水平アクティブ線は、波形形状の対向する側部を有する、
    請求項1に記載のメモリデバイス。
  6. 前記複数の水平アクティブ線は丸められた表面を有し、前記複数の水平アクティブ線は前記丸められた表面で前記複数の垂直スライスを貫通する、
    請求項1に記載のメモリデバイス。
  7. 前記水平アクティブ線は水平NANDビット線ストリップを含み、前記メモリ膜は誘電体電荷トラッピング構造を含む、
    請求項1に記載のメモリデバイス。
  8. 犠牲層とアクティブ層との交互のスタックを形成することと、
    前記スタックを貫通して延在する第1の穴のアレイを形成することであって、前記アレイは穴の行及び列に配列されてパターニングされたアクティブ層を形成することと、
    前記穴のアレイのうちの前記穴において露出する前記犠牲層の材料を、前記パターニングされたアクティブ層と前記パターニングされたアクティブ層の間に延在する支柱のアレイとを含むバットレス構造を結果として形成することになる量だけ除去することと、
    前記バットレス構造の前記パターニングされたアクティブ層の少なくとも一部分に、メモリ膜を用いてライニングを施すことと、
    前記ライニングを施すことの後に前記バットレス構造をアクティブ材料で充填することと、
    前記第1の穴のアレイからずらして第2の穴のアレイを形成し、これにより、ライニングを施された前記アクティブ層の前記第1の穴のアレイのうちの穴の間のワード線方向のエクステンションを分断して、第1の方向に延在するライニングを施された水平アクティブ線を形成し、前記アクティブ材料を、前記ライニングを施された水平アクティブ線によって貫通される垂直スライスに分離することと、
    を含むメモリの製造方法。
  9. 前記ライニングを施すことの前に、前記パターニングされたアクティブ層の縁部を丸めることを含む、
    請求項8に記載の方法。
  10. 前記メモリ膜は多層誘電体電荷トラッピング構造を含み、前記水平アクティブ線は半導体を含み、前記垂直スライスは半導体を含む、
    請求項8に記載の方法。
  11. 前記アクティブ層のうちの少なくとも1つは、前記スタックの前記アクティブ層のうちの他の少なくとも1つの厚さと異なる厚さを有する、
    請求項8に記載の方法。
  12. 前記水平アクティブ線は、波形形状の対向する側部を有する、
    請求項8に記載の方法。
  13. 前記第1の穴のアレイのうちの穴は円形、矩形、又は楕円形である、
    請求項8に記載の方法。
JP2015123078A 2014-11-19 2015-06-18 垂直3dメモリデバイス、並びにその製造方法 Active JP6226917B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/548,252 US9589979B2 (en) 2014-11-19 2014-11-19 Vertical and 3D memory devices and methods of manufacturing the same
US14/548,252 2014-11-19

Publications (2)

Publication Number Publication Date
JP2016100596A JP2016100596A (ja) 2016-05-30
JP6226917B2 true JP6226917B2 (ja) 2017-11-08

Family

ID=53433092

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015123078A Active JP6226917B2 (ja) 2014-11-19 2015-06-18 垂直3dメモリデバイス、並びにその製造方法

Country Status (6)

Country Link
US (1) US9589979B2 (ja)
EP (1) EP3024027B1 (ja)
JP (1) JP6226917B2 (ja)
KR (1) KR101644424B1 (ja)
CN (1) CN105845683B (ja)
TW (1) TWI557849B (ja)

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US9818848B2 (en) * 2015-04-29 2017-11-14 Yale University Three-dimensional ferroelectric FET-based structures
CN106469731B (zh) * 2015-08-11 2020-02-04 旺宏电子股份有限公司 存储元件及其制造方法
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
WO2017053329A1 (en) 2015-09-21 2017-03-30 Monolithic 3D Inc 3d semiconductor device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US9837431B2 (en) * 2015-11-20 2017-12-05 Sandisk Technologies Llc 3D semicircular vertical NAND string with recessed inactive semiconductor channel sections
TWI613761B (zh) * 2016-07-12 2018-02-01 旺宏電子股份有限公司 三維非揮發性記憶體及其製造方法
KR102637644B1 (ko) * 2016-07-14 2024-02-19 삼성전자주식회사 메모리 장치
JP6817752B2 (ja) 2016-09-09 2021-01-20 株式会社日立ハイテク エッチング方法およびエッチング装置
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
KR102633025B1 (ko) * 2016-11-09 2024-02-05 에스케이하이닉스 주식회사 반도체 메모리 소자
CN106847821B (zh) * 2017-03-07 2018-09-14 长江存储科技有限责任公司 半导体结构及其形成方法
TWI656601B (zh) * 2017-03-23 2019-04-11 旺宏電子股份有限公司 非對稱階梯結構及其製造方法
KR101985590B1 (ko) * 2017-07-28 2019-06-03 한양대학교 산학협력단 집적도를 개선시킨 3차원 플래시 메모리 및 그 제조 방법
KR101983452B1 (ko) * 2017-07-31 2019-09-10 한양대학교 산학협력단 에어 갭을 포함하는 3차원 소자 및 그 제조 방법
KR102414511B1 (ko) * 2017-08-02 2022-06-30 삼성전자주식회사 3차원 반도체 소자
JP2019165114A (ja) 2018-03-20 2019-09-26 東芝メモリ株式会社 抵抗変化型記憶装置
US10950663B2 (en) 2018-04-24 2021-03-16 Micron Technology, Inc. Cross-point memory array and related fabrication techniques
US10825867B2 (en) * 2018-04-24 2020-11-03 Micron Technology, Inc. Cross-point memory array and related fabrication techniques
US10818729B2 (en) 2018-05-17 2020-10-27 Macronix International Co., Ltd. Bit cost scalable 3D phase change cross-point memory
US10950786B2 (en) 2018-05-17 2021-03-16 Macronix International Co., Ltd. Layer cost scalable 3D phase change cross-point memory
US10840254B2 (en) 2018-05-22 2020-11-17 Macronix International Co., Ltd. Pitch scalable 3D NAND
JP2020038911A (ja) * 2018-09-05 2020-03-12 キオクシア株式会社 半導体記憶装置および半導体記憶装置の製造方法
US11211390B2 (en) * 2018-10-11 2021-12-28 International Business Machines Corporation Staircase patterning for 3D NAND devices
CN109643717B (zh) * 2018-11-22 2019-11-26 长江存储科技有限责任公司 三维存储器件及其制造方法
KR20200061253A (ko) * 2018-11-23 2020-06-02 에스케이하이닉스 주식회사 메모리 장치 및 이의 동작 방법
KR102554712B1 (ko) * 2019-01-11 2023-07-14 삼성전자주식회사 반도체 소자
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
KR20210002775A (ko) * 2019-06-27 2021-01-11 삼성전자주식회사 반도체 메모리 소자
JP2021048298A (ja) * 2019-09-19 2021-03-25 キオクシア株式会社 半導体記憶装置及び半導体記憶装置の製造方法
CN110785844B (zh) 2019-09-20 2021-01-29 长江存储科技有限责任公司 三维存储器件及其制造方法
WO2021163831A1 (en) * 2020-02-17 2021-08-26 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabrication methods thereof
KR20210106670A (ko) * 2020-02-21 2021-08-31 삼성전자주식회사 수직형 메모리 장치
JP2021141283A (ja) * 2020-03-09 2021-09-16 キオクシア株式会社 半導体記憶装置
WO2021189189A1 (en) * 2020-03-23 2021-09-30 Yangtze Memory Technologies Co., Ltd. Staircase structure in three-dimensional memory device and method for forming the same
JP2021150564A (ja) 2020-03-23 2021-09-27 キオクシア株式会社 半導体記憶装置
WO2021192051A1 (ja) * 2020-03-24 2021-09-30 キオクシア株式会社 半導体記憶装置
CN111244095B (zh) * 2020-03-25 2023-06-30 长江存储科技有限责任公司 三维存储器及其制备方法
US11489043B2 (en) 2020-04-27 2022-11-01 Sandisk Technologies Llc Three-dimensional memory device employing thinned insulating layers and methods for forming the same
US11398496B2 (en) * 2020-04-27 2022-07-26 Sandisk Technologies Llc Three-dimensional memory device employing thinned insulating layers and methods for forming the same
US20220197131A1 (en) * 2020-12-22 2022-06-23 Nano-Master, Inc. Mask and Reticle Protection with Atomic Layer Deposition (ALD)
US11737274B2 (en) 2021-02-08 2023-08-22 Macronix International Co., Ltd. Curved channel 3D memory device
US11335411B1 (en) 2021-03-03 2022-05-17 Sandisk Technologies Llc Erase operation for memory device with staircase word line voltage during erase pulse
US11916011B2 (en) 2021-04-14 2024-02-27 Macronix International Co., Ltd. 3D virtual ground memory and manufacturing methods for same
US11710519B2 (en) 2021-07-06 2023-07-25 Macronix International Co., Ltd. High density memory with reference memory using grouped cells and corresponding operations
JP2023027661A (ja) * 2021-08-17 2023-03-02 キオクシア株式会社 半導体装置
TW202318635A (zh) * 2021-08-23 2023-05-01 美商應用材料股份有限公司 用於三維動態隨機存取記憶體的半導體隔離橋
TWI817319B (zh) * 2022-01-13 2023-10-01 旺宏電子股份有限公司 三維and快閃記憶體元件及其製造方法

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7052941B2 (en) * 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
US6906940B1 (en) 2004-02-12 2005-06-14 Macronix International Co., Ltd. Plane decoding method and device for three dimensional memories
KR100528486B1 (ko) 2004-04-12 2005-11-15 삼성전자주식회사 불휘발성 메모리 소자 및 그 형성 방법
US7378702B2 (en) * 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
US7315474B2 (en) 2005-01-03 2008-01-01 Macronix International Co., Ltd Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US7420242B2 (en) 2005-08-31 2008-09-02 Macronix International Co., Ltd. Stacked bit line dual word line nonvolatile memory
JP4822841B2 (ja) 2005-12-28 2011-11-24 株式会社東芝 半導体記憶装置及びその製造方法
JP5016832B2 (ja) 2006-03-27 2012-09-05 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
EP2013900A1 (en) * 2006-04-26 2009-01-14 Koninklijke Philips Electronics N.V. Non-volatile memory device
JP2008078404A (ja) * 2006-09-21 2008-04-03 Toshiba Corp 半導体メモリ及びその製造方法
JP4945248B2 (ja) 2007-01-05 2012-06-06 株式会社東芝 メモリシステム、半導体記憶装置及びその駆動方法
JP5091491B2 (ja) 2007-01-23 2012-12-05 株式会社東芝 不揮発性半導体記憶装置
KR20090037690A (ko) 2007-10-12 2009-04-16 삼성전자주식회사 비휘발성 메모리 소자, 그 동작 방법 및 그 제조 방법
US8394683B2 (en) * 2008-01-15 2013-03-12 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of forming NAND unit cells
KR20090079694A (ko) 2008-01-18 2009-07-22 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
JP2009238874A (ja) 2008-03-26 2009-10-15 Toshiba Corp 半導体メモリ及びその製造方法
JP2009295694A (ja) 2008-06-03 2009-12-17 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
FR2933802B1 (fr) * 2008-07-10 2010-10-15 Commissariat Energie Atomique Structure et procede de realisation d'un dispositif microelectronique de memoire 3d de type flash nand.
KR101471858B1 (ko) * 2008-09-05 2014-12-12 삼성전자주식회사 바 타입의 액티브 패턴을 구비하는 반도체 장치 및 그 제조방법
KR101498676B1 (ko) 2008-09-30 2015-03-09 삼성전자주식회사 3차원 반도체 장치
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
TWI433302B (zh) * 2009-03-03 2014-04-01 Macronix Int Co Ltd 積體電路自對準三度空間記憶陣列及其製作方法
JP2010205904A (ja) * 2009-03-03 2010-09-16 Toshiba Corp 不揮発性半導体記憶装置の製造方法及び不揮発性半導体記憶装置
US8829646B2 (en) 2009-04-27 2014-09-09 Macronix International Co., Ltd. Integrated circuit 3D memory array and manufacturing method
KR101036155B1 (ko) * 2009-07-09 2011-05-23 서울대학교산학협력단 스타 구조를 갖는 낸드 플래시 메모리 어레이 및 그 제조방법
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8482051B2 (en) * 2010-01-11 2013-07-09 Hynix Semiconductor Inc. 3D nonvolatile memory device including a plurality of channel contacts coupled to a plurality of channel layers and a plurality of section lines coupled to the plurality of channel contacts and method for fabricating the same
US8890233B2 (en) * 2010-07-06 2014-11-18 Macronix International Co., Ltd. 3D memory array with improved SSL and BL contact layout
US8659944B2 (en) * 2010-09-01 2014-02-25 Macronix International Co., Ltd. Memory architecture of 3D array with diode in memory string
JP5651415B2 (ja) * 2010-09-21 2015-01-14 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
KR101787041B1 (ko) * 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
TWI497496B (zh) * 2011-01-19 2015-08-21 Macronix Int Co Ltd 三維記憶體陣列架構
US8503213B2 (en) 2011-01-19 2013-08-06 Macronix International Co., Ltd. Memory architecture of 3D array with alternating memory string orientation and string select structures
US9018692B2 (en) * 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
US8486791B2 (en) * 2011-01-19 2013-07-16 Macronix International Co., Ltd. Mufti-layer single crystal 3D stackable memory
US8860117B2 (en) * 2011-04-28 2014-10-14 Micron Technology, Inc. Semiconductor apparatus with multiple tiers of memory cells with peripheral transistors, and methods
JP2013026289A (ja) * 2011-07-15 2013-02-04 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP5674579B2 (ja) * 2011-07-15 2015-02-25 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
KR20130045047A (ko) * 2011-10-25 2013-05-03 에스케이하이닉스 주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조 방법
US9082656B2 (en) * 2011-11-11 2015-07-14 Macronix International Co., Ltd. NAND flash with non-trapping switch transistors
KR20130072076A (ko) * 2011-12-21 2013-07-01 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
US8951862B2 (en) * 2012-01-10 2015-02-10 Macronix International Co., Ltd. Damascene word line
US8501609B2 (en) 2012-02-02 2013-08-06 Tower Semiconductor Ltd. Method for generating a three-dimensional NAND memory with mono-crystalline channels using sacrificial material
JP5624567B2 (ja) * 2012-02-03 2014-11-12 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
KR101325492B1 (ko) 2012-02-24 2013-11-07 서울대학교산학협력단 3차원 스타구조를 갖는 낸드 플래시 메모리 어레이 및 그 동작방법
JP2013239622A (ja) * 2012-05-16 2013-11-28 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US8987098B2 (en) * 2012-06-19 2015-03-24 Macronix International Co., Ltd. Damascene word line
KR101910500B1 (ko) * 2012-07-04 2018-10-22 에스케이하이닉스 주식회사 수직채널트랜지스터를 구비한 반도체장치 및 그 제조 방법
US9196315B2 (en) * 2012-11-19 2015-11-24 Macronix International Co., Ltd. Three dimensional gate structures with horizontal extensions
KR20140068627A (ko) * 2012-11-28 2014-06-09 삼성전자주식회사 가변저항막을 갖는 저항 메모리 소자 및 그 제조방법
KR102059196B1 (ko) * 2013-01-11 2019-12-24 에프아이오 세미컨덕터 테크놀로지스, 엘엘씨 3차원 반도체 장치 및 그 제조 방법
US8759899B1 (en) 2013-01-11 2014-06-24 Macronix International Co., Ltd. Integration of 3D stacked IC device with peripheral circuits
US9379126B2 (en) 2013-03-14 2016-06-28 Macronix International Co., Ltd. Damascene conductor for a 3D device
JP2015015287A (ja) * 2013-07-03 2015-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US9041077B2 (en) * 2013-09-03 2015-05-26 Macronix International Co., Ltd. Semiconductor device and manufacturing method of the same
US9099538B2 (en) 2013-09-17 2015-08-04 Macronix International Co., Ltd. Conductor with a plurality of vertical extensions for a 3D device
US20150214239A1 (en) * 2013-12-05 2015-07-30 Conversant Intellectual Property Management Inc. Three dimensional non-volatile memory with charge storage node isolation
CN203760476U (zh) * 2014-02-26 2014-08-06 唐棕 半导体器件
US9520485B2 (en) * 2014-05-21 2016-12-13 Macronix International Co., Ltd. 3D independent double gate flash memory on bounded conductor layer
US9397110B2 (en) * 2014-05-21 2016-07-19 Macronix International Co., Ltd. 3D independent double gate flash memory

Also Published As

Publication number Publication date
US20160141299A1 (en) 2016-05-19
JP2016100596A (ja) 2016-05-30
KR101644424B1 (ko) 2016-08-01
US9589979B2 (en) 2017-03-07
KR20160059930A (ko) 2016-05-27
CN105845683A (zh) 2016-08-10
EP3024027B1 (en) 2021-07-21
TW201620077A (zh) 2016-06-01
EP3024027A1 (en) 2016-05-25
TWI557849B (zh) 2016-11-11
CN105845683B (zh) 2019-04-12

Similar Documents

Publication Publication Date Title
JP6226917B2 (ja) 垂直3dメモリデバイス、並びにその製造方法
US10818728B2 (en) Three-dimensional semiconductor device
US11910599B2 (en) Contact structures for three-dimensional memory device
US10886288B2 (en) Vertical semiconductor memory device structures including vertical channel structures and vertical dummy structures
JP6084246B2 (ja) 3d独立二重ゲートフラッシュメモリ
US9520485B2 (en) 3D independent double gate flash memory on bounded conductor layer
US9171729B2 (en) Methods of manufacturing vertical semiconductor devices
TWI543297B (zh) 用以形成具有在基板中不同深度的接觸著陸區的裝置的方 法,和使用其所製造出的3-d結構
US20180047739A1 (en) Methods Of Forming An Array Of Elevationally-Extending Strings Of Memory Cells Comprising A Programmable Charge Storage Transistor And Arrays Of Elevationally-Extending Strings Of Memory Cells Comprising A Programmable Charge Storage Transistor
WO2020014655A1 (en) Fabrication method for a 3-dimensional nor memory array
CN109192735B (zh) 3d存储器件及其制造方法
TWI582936B (zh) 垂直記憶體裝置及其製造方法
US9960046B2 (en) Methods of manufacturing semiconductor device having a blocking insulation layer
TW202207431A (zh) 記憶體元件及其製造方法
CN112534576A (zh) 用于三维存储设备中的中心阶梯结构的底部选择栅极触点
TWI750678B (zh) 半導體記憶體結構及其製造方法
TWI728877B (zh) 記憶元件及其製造方法
US11825654B2 (en) Memory device
TW202109847A (zh) 記憶元件及其製造方法
KR101926048B1 (ko) 3차원 반도체 장치의 제조 방법
WO2022015629A1 (en) Integrated circuitry, memory arrays comprising strings of memory cells, methods used in forming integrated circuitry, and methods used in forming a memory array comprising strings of memory cells
CN114725120A (zh) 具有竖直地位于分层层组之间的源区的微电子装置,以及相关方法和系统

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150904

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170703

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170919

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170920

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171003

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171010

R150 Certificate of patent or registration of utility model

Ref document number: 6226917

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250