JP5836419B2 - プラズマエッチング方法 - Google Patents

プラズマエッチング方法 Download PDF

Info

Publication number
JP5836419B2
JP5836419B2 JP2014071719A JP2014071719A JP5836419B2 JP 5836419 B2 JP5836419 B2 JP 5836419B2 JP 2014071719 A JP2014071719 A JP 2014071719A JP 2014071719 A JP2014071719 A JP 2014071719A JP 5836419 B2 JP5836419 B2 JP 5836419B2
Authority
JP
Japan
Prior art keywords
plasma
electrode
period
μsec
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014071719A
Other languages
English (en)
Other versions
JP2014135512A (ja
Inventor
龍夫 松土
龍夫 松土
慎司 檜森
慎司 檜森
範章 今井
範章 今井
剛 大瀬
剛 大瀬
阿部 淳
淳 阿部
隆幸 勝沼
隆幸 勝沼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2014071719A priority Critical patent/JP5836419B2/ja
Publication of JP2014135512A publication Critical patent/JP2014135512A/ja
Application granted granted Critical
Publication of JP5836419B2 publication Critical patent/JP5836419B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、被処理体にプラズマ処理を施す技術に係わり、特に容量結合型のプラズマ処理装置を用いたプラズマエッチング方法に関する。
半導体デバイスやFPD(Flat Panel Display)の製造プロセスにおけるエッチング、堆積、酸化、スパッタリング等の処理では、処理ガスに比較的低温で良好な反応を行わせるためにプラズマが多く利用されている。従来より、枚葉式のプラズマ処理装置、特にプラズマエッチング装置の中では、容量結合型のプラズマ処理装置が主流となっている。
一般に、容量結合型プラズマ処理装置は、真空チャンバとして構成される処理容器内に上部電極と下部電極とを平行に配置し、下部電極の上に被処理基板(半導体ウエハ、ガラス基板等)を載置し、両電極間に高周波電圧を印加する。この高周波電圧によって両電極間に形成される電界により電子が加速され、電子と処理ガスとの衝突電離によってプラズマが発生し、プラズマ中のラジカルやイオンによって基板表面に所望の微細加工たとえばエッチング加工が施される。
ところで、近年、半導体デバイス等の製造プロセスにおけるデザインルールが益々微細化し、特にプラズマエッチングでは、より高い寸法精度が求められており、エッチングにおけるマスクや下地に対する選択比や面内均一性をより高くすることが求められている。そのため、チャンバ内のプロセス領域の低圧力化、低イオンエネルギー化が指向され、そのために40MHz以上といった従来よりも格段に高い周波数の高周波が用いられつつある。
しかしながら、このように低圧力化および低イオンエネルギー化が進んだことにより、従来は問題とならなかったチャージングダメージの影響を無視することができなくなりつつある。つまり、イオンエネルギーの高い従来の装置ではプラズマ電位が面内でばらついたとしても大きな問題は生じないが、より低圧でイオンエネルギーが低くなると、プラズマ電位の面内不均一がゲート酸化膜のチャージングダメージを引き起こしやすくなるといった問題が生じる。
また、40MHz以上といった高い周波数の高周波を用いるプラズマプロセスにおいては、一般的に、ウエハ中心部のエッチングレートが高く、ウエハ周縁部のエッチングレートが低くなる傾向があり、この点も問題となっている。
この問題に関して、特許文献1では、ウエハに印加される高周波バイアスによる高周波電流経路のうち、ウエハの外周付近における電流経路部分を対向電極のウエハ対向面に向かうように矯正する電流経路矯正手段を設けること、または高周波バイアスから見たアースまでのインピーダンスがウエハ面内で略均一となるようにするインピーダンス調整手段を設けることを開示している。それにより、高周波バイアスを印加した際に発生する自己バイアスのウエハ面内での均一性が高まり、マクロダメージを抑制できるとしている。
特開2001−185542号公報
しかしながら、特許文献1に記載の技術は、電流経路矯正手段やインピーダンス調整手段を設ける必要があり装置構成が複雑化することや、プラズマ処理の面内均一性に対しては必ずしも十分とはいえない等の問題がある。
また、プラズマプロセスにおいては、ウエハ面内でイオンと電子のバランスがくずれることに起因する局所的な電場によってゲート酸化膜のチャージアップが誘起されて絶縁破壊に至るような形態のチャージングダメージもやっかいである。たとえば、プラズマエッチングにおいては、ウエハの主面に対してイオンは垂直に入射するが電子は斜め方向からも入射するので、局所的に電荷のバランスがくずれてチャージアップを誘発する箇所がランダムに発生しやすい。このようなチャージングダメージは、自己バイアスの面内不均一性だけでなくエッチングパターンのプロファイル等にも依存し、発生する箇所が不定であり、特許文献1に記載の技術では有効に解消することはできない。
本発明はかかる従来技術の問題点に鑑みてなされたもので、チャージングダメージの発生を効果的に防止して、プラズマ処理の安定性および信頼性の向上を実現するとともに、プラズマ処理の面内均一性の向上を実現する半導体基板上のポリシリコン膜のプラズマエッチング方法を提供することを目的とする。
上記の目的を達成するために、本発明の第1の観点におけるプラズマエッチング方法は、真空排気可能な処理容器と、前記処理容器内で半導体基板を載置する第1電極と、前記処理容器内で前記第1電極と平行に向かい合い、接地されている第2電極と、前記第1電極と前記第2電極との間の処理空間にO2ガスを含む処理ガスを供給する処理ガス供給部と、前記第1電極に30MHz以上の周波数を有する第1の高周波を印加する第1高周波給電部とを有するプラズマエッチング装置を用い、前記処理空間に前記処理ガスのプラズマを生成して前記半導体基板上に形成されたゲート酸化膜上のポリシリコン膜をエッチングするプラズマエッチング方法であって、第1の期間と第2の期間とが周期的に繰り返されることにより、前記第1電極上の前記半導体基板に前記O 2 ガスを含む処理ガスのプラズマによるエッチング処理が施され、前記第1の期間中に、前記第1高周波給電部からの高周波電力は前記処理ガスからプラズマを生成する第1の振幅で前記第1電極に印加され、前記第2の期間中に、前記高周波電力はプラズマを生成しない第2の振幅で前記第1電極に印加され、前記第1の期間の長さは2μsec〜50μsecであり、前記第2の期間の長さは2μsec以上であり、前記周期の長さは4μsec〜200μsecである。
本発明の第2の観点におけるプラズマエッチング方法は、真空排気可能な処理容器と、前記処理容器内で半導体基板を載置する第1電極と、前記処理容器内で前記第1電極と平行に向かい合い、接地されている第2電極と、前記第1電極と前記第2電極との間の処理空間にO2ガスを含む処理ガスを供給する処理ガス供給部と、前記第1電極に30MHz以上の周波数を有する第1の高周波を印加する第1高周波給電部と、前記第1電極にイオンの引き込みに適した周波数を有する第2の高周波を印加する第2高周波給電部とを有するプラズマエッチング装置を用い、前記処理空間に前記処理ガスのプラズマを生成して、前記半導体基板上に形成されたゲート酸化膜上のポリシリコン膜をエッチングするプラズマエッチング方法であって、第1の期間と第2の期間とが周期的に繰り返されることにより、前記第1電極上の前記半導体基板に前記O 2 ガスを含む処理ガスのプラズマによるエッチング処理が施され、前記第1の期間中に、前記第1高周波給電部からの高周波電力は前記処理ガスからプラズマを生成する第1の振幅で前記第1電極に印加され、前記第2の期間中に、前記高周波電力はプラズマを生成しない第2の振幅で前記第1電極に印加され、前記第1の期間の長さは2μsec〜50μsecであり、前記第2の期間の長さは2μsec以上であり、前記周期の長さは4μsec〜200μsecである。
一般に、半導体基板上のポリシリコン膜を被エッチング材とするプラズマプロセスにおけるチャージングダメージ(絶縁破壊)は、プラズマから半導体基板(特に被エッチング材であるポリシリコン膜つまりゲート電極)に流入または蓄積する電荷の量によって左右され、流入電荷量または蓄積電荷量がある閾値を越えると、指数関数的にゲート酸化膜の劣化ないし破壊が進んでしまう。また、ゲート酸化膜のチャージアップは、半導体基板(ゲート電極)に入射または流入するイオンと電子のバランスがくずれることによって発生し、局所的な電場を作る。プラズマ生成中は時間に比例してチャージアップの電荷量および局所電場の強度が増大し、それがある閾値を超えるとゲート酸化膜のダメージ(損傷ないし破壊)に至る。
上記の方法においては、第1の高周波がプラズマを生成させるための第1の振幅を有している第1の期間(フェーズ)中に被処理体の任意の箇所で流入電荷量または蓄積電荷量が過分になっても、プラズマ生成を中断する第2の期間(フェーズ)中に過分の電荷が分散してチャージバランスが回復するので、ゲート酸化膜のダメージが効果的に防止される。これによって、プラズマプロセスの信頼性が大幅に改善される。
本発明の上記第1の観点におけるプラズマエッチング方法の好適な一態様によれば、前記第1の期間中に、前記プラズマから前記ポリシリコン膜(ゲート電極)に流入する電荷量はしきい値を超えず、前記しきい値は前記ゲート酸化膜を損傷させない最大電荷蓄積量である。また、前記第2の振幅はゼロよりも大きくてもよい。これにより、第2の期間においてチャージバランスを回復させる時間を可及的に短くし、ひいてはプラズマ処理時間を短くすることができる。
本発明の第3の観点におけるプラズマエッチング方法は、真空排気可能な処理容器と、前記処理容器内で半導体基板を載置する第1電極と、前記処理容器内で前記第1電極と平行に向かい合い、接地されている第2電極と、前記第1電極と前記第2電極との間の処理空間にO2ガスを含む処理ガスを供給する処理ガス供給部と、前記第1電極に30MHz以上の周波数を有する第1の高周波を印加する第1高周波給電部とを有するプラズマエッチング装置を用い、前記処理空間に前記処理ガスのプラズマを生成して、前記半導体基板上に形成されたゲート酸化膜上のポリシリコン膜をエッチングするプラズマエッチング方法であって、第1の期間と第2の期間とが周期的に繰り返されることにより、前記第1電極上の前記半導体基板に前記O 2 ガスを含む処理ガスのプラズマによるエッチング処理が施され、前記第1の期間に、前記処理容器内で前記処理ガスからプラズマが持続的に生成され、前記第2の期間に、プラズマが生成されず、前記第1の期間の長さは2μsec〜50μsecであり、前記第2の期間の長さは2μsec以上であり、前記周期の長さは4μsec〜200μsecである。
本発明の第4の観点におけるプラズマエッチング方法は、真空排気可能な処理容器と、前記処理容器内で半導体基板を載置する第1電極と、前記処理容器内で前記第1電極と平行に向かい合い、接地されている第2電極と、前記第1電極と前記第2電極との間の処理空間にO2ガスを含む処理ガスを供給する処理ガス供給部と、前記第1電極に30MHz以上の周波数を有する第1の高周波を印加する第1高周波給電部と、前記第1電極にイオンの引き込みに適した周波数を有する第2の高周波を印加する第2高周波給電部とを有するプラズマエッチング装置を用い、前記処理空間に前記処理ガスのプラズマを生成して、前記半導体基板上に形成されたゲート酸化膜上のポリシリコン膜をエッチングするプラズマエッチング方法であって、第1の期間と第2の期間とが周期的に繰り返されることにより、前記第1電極上の前記半導体基板に前記O 2 ガスを含む処理ガスのプラズマによるエッチング処理が施され、前記第1の期間に、前記処理容器内で前記処理ガスからプラズマが持続的に生成され、前記第2の期間に、プラズマが生成されず、前記第1の期間の長さは2μsec〜50μsecであり、前記第2の期間の長さは2μsec以上であり、前記周期の長さは4μsec〜200μsecである。
上記の方法においては、半導体基板上のポリシリコン膜を被エッチング材とするプラズマプロセスの実行中に、処理ガスのプラズマ生成状態と、プラズマ非生成状態(プラズマを生成していない状態)とが所定周期で交互に繰り返されるので、プラズマ処理の開始から終了までプラズマを生成し続ける通常のプラズマ処理に比べて、プラズマが連続して生成している時間がまさに短くなる。このため、プラズマから半導体基板(ゲート電極)に一度に流入する電荷の量あるいは半導体基板の表面部(ゲート酸化膜)に電荷が累積的に蓄積する量が減ることになるので、チャージングダメージは生じ難くなり、安定したプラズマ処理の実現およびプラズマプロセスの信頼性向上が可能となる。
本発明の上記第3の観点におけるプラズマエッチング方法の好適な一態様によれば、前記第1の期間中に、前記プラズマから前記ポリシリコン膜(ゲート電極)に流入する電荷量はしきい値を超えず、前記しきい値は前記ゲート酸化膜を損傷させない最大電荷蓄積量である。また、前記第2の振幅はゼロよりも大きくてもよい。これにより、第2の期間においてチャージバランスを回復させる時間を可及的に短くし、ひいてはプラズマ処理時間を短くすることができる。
本発明のプラズマエッチング方法によれば、上記のような構成および作用により、チャージングダメージの発生を効果的に防止して、プラズマプロセスの安定性および信頼性の向上を実現するとともに、プラズマ処理の面内均一性の向上を実現することができる。
本発明の一実施形態におけるプラズマ処理装置の構成を示す断面図である。 パルスプラズマの時間−高周波パワー特性を示す図である。 図2のパルスプラズマにおける第1の高周波の波形を示す図である。 プラズマ生成期間A、プラズマ非生成期間Bの最適範囲を示す図である。 チャージングダメージ耐性を試験する素子構造を示す模式図である。 チャージングダメージの発生頻度を従来技術と本発明とで比較して示す図である。 チャージングダメージの発生頻度を従来技術と本発明とで比較して示す図である。
以下、添付図を参照して本発明の好適な実施の形態を説明する。
図1に、本発明の一実施形態によるプラズマ処理装置の構成を示す。このプラズマ処理装置は、RF下部2周波印加方式の容量結合型(平行平板型)プラズマエッチング装置として構成されており、たとえばアルミニウムまたはステンレス鋼等の金属製の円筒型チャンバ(処理容器)10を有している。チャンバ10は保安接地されている。
チャンバ10内には、被処理体(被処理基板)としてたとえば半導体ウエハWを載置する円板状の下部電極またはサセプタ12が設けられている。このサセプタ12は、たとえばアルミニウムからなり、絶縁性の筒状保持部14を介してチャンバ10の底から垂直上方に延びる筒状支持部16に支持されている。筒状保持部14の上面には、サセプタ12の上面を環状に囲むたとえば石英やシリコンからなるフォーカスリング18が配置されている。
チャンバ10の側壁と筒状支持部16との間には排気路20が形成され、この排気路20の入口または途中に環状のバッフル板22が取り付けられるとともに底部に排気口24が設けられている。この排気口24に排気管26を介して排気装置28が接続されている。排気装置28は、真空ポンプを有しており、チャンバ10内の処理空間を所定の真空度まで減圧することができる。チャンバ10の側壁には、半導体ウエハWの搬入出口を開閉するゲートバルブ30が取り付けられている。
サセプタ12には、プラズマ生成用の第1高周波電源32が第1整合器34および給電棒36を介して電気的に接続されている。この第1高周波電源32は、所定の周波数たとえば100MHzの第1の高周波を下部電極つまりサセプタ12に印加する。なお、チャンバ10の天井部には、後述するシャワーヘッド38が接地電位の上部電極として設けられている。したがって、第1高周波電源32からの第1の高周波はサセプタ12とシャワーヘッド38との間に容量的に印加される。
また、サセプタ12には、イオン引き込み用の第2高周波電源70が第2整合器72および給電棒36を介して電気的に接続されている。この第2高周波電源70は、所定の周波数たとえば3.2MHzの第2の高周波をサセプタ12に印加する。
サセプタ12の上面には半導体ウエハWを静電吸着力で保持するための静電チャック40が設けられている。この静電チャック40は導電膜からなる電極40aを一対の絶縁膜40b,40cの間に挟み込んだものであり、電極40aには直流電源42がスイッチ43を介して電気的に接続されている。直流電源42からの直流電圧により、クーロン力で半導体ウエハWをチャック上に吸着保持することができる。
サセプタ12の内部には、たとえば円周方向に延在する冷媒室44が設けられている。この冷媒室44には、チラーユニット46より配管48、50を介して所定温度の冷媒たとえば冷却水が循環供給される。冷媒の温度によって静電チャック40上の半導体ウエハWの処理温度を制御できる。さらに、伝熱ガス供給部52からの伝熱ガスたとえばHeガスが、ガス供給ライン54を介して静電チャック40の上面と半導体ウエハWの裏面との間に供給される。
天井部のシャワーヘッド38は、多数のガス通気孔56aを有する下面の電極板56と、この電極板56を着脱可能に支持する電極支持体58とを有する。電極支持体58の内部にバッファ室60が設けられ、このバッファ室60のガス導入口60aには処理ガス供給部62からのガス供給配管64が接続されている。
チャンバ10の周囲には、環状または同心状に延在する磁石66が配置されている。チャンバ10内において、シャワーヘッド38とサセプタ12との間の空間には、第1高周波電源32により鉛直方向のRF電界が形成される。第1の高周波の放電により、サセプタ12の表面近傍に高密度のプラズマを生成することができる。
制御部68は、このプラズマエッチング装置内の各部たとえば排気装置28、第1高周波電源32、第1整合器34、静電チャック用のスイッチ43、チラーユニット46、伝熱ガス供給部52、処理ガス供給部62、第2高周波電源70および第2整合器72等の動作を制御するもので、ホストコンピュータ(図示せず)等とも接続されている。
このプラズマエッチング装置において、エッチングを行うには、先ずゲートバルブ30を開状態にして加工対象の半導体ウエハWをチャンバ10内に搬入して、静電チャック40の上に載置する。そして、処理ガス供給部62よりエッチングガス(一般に混合ガス)を所定の流量および流量比でチャンバ10内に導入し、排気装置28によりチャンバ10内の圧力を設定値にする。さらに、第1高周波電源32より所定のパワーで第1の高周波をサセプタ12に供給すると同時に、第2高周波電源70からも所定のパワーで第2の高周波をサセプタ12に供給する。また、直流電源42より直流電圧を静電チャック40の電極40aに印加して、半導体ウエハWを静電チャック40上に固定する。シャワーヘッド38より吐出されたエッチングガスは両電極12,38間で第1の高周波の放電によってプラズマ化し、このプラズマで生成されるラジカルやイオンによって半導体ウエハWの主面がエッチングされる。
このプラズマエッチング装置では、第1高周波電源32からサセプタ(下部電極)12に対して従来よりも高い周波数領域(30MHz以上)の第1の高周波を印加することにより、プラズマを好ましい解離状態で高密度化し、より低圧の条件下でも高密度プラズマを形成することができる。
プラズマ密度を高くすると、低イオンエネルギー化すなわち半導体ウエハW上のシース電位が小さくなる(低バイアス化)が、このように低バイアス化が従来と比べて進んだことにより、チャージングダメージ(絶縁破壊)の影響を無視することができなくなりつつある。チャージングダメージは、プラズマから半導体ウエハW(ゲート電極)に流入する電荷量がある閾値を越えたときに生ずる。この流入電荷量は、ウエハW面内におけるシース電位の相対的な差異と相関がある。
従来の低い周波数を用いたプラズマエッチング装置では、シース電位が数百ボルトと大きいため、プラズマ中の電位(プラズマ電位)に面内不均一が生じたとしても、シース電位の変化はウエハ面内において相対的に小さく、半導体ウエハWのゲート電極へ流入する電荷量は閾値を超えることはない。
しかし、本実施形態のような高密度プラズマでは、シース電位が数十ボルト程度と小さいため、プラズマ電位に面内不均一が生じた場合のシース電位の変化は相対的に大きく、ゲート電極への多量の電子流入が起こりやすく、基板表面がプラズマに連続的に曝される時間の長さに依存したチャージングダメージが生じやすい。
また、プラズマプロセスにおいては、プラズマ電位の面内不均一性あるいは回路パターンのプロファイル等が関係して局所的にイオンと電子のバランスがくずれることに起因して基板上の絶縁膜(たとえばゲート酸化膜)にチャージアップが発生することがある。チャージアップの発生した絶縁膜には、蓄積電荷の量に比例した電位勾配または電場がかかる。このようなチャージアップの状態が累積増大してある閾値を越えれば、当該箇所で絶縁膜が損傷し、あるいは破壊してしまう。
本実施形態では、ゲート電極への流入電荷量が閾値を超えないように、あるいはチャージアップによって絶縁膜に蓄積する電荷の量が閾値を越えないように、プラズマ生成状態とプラズマ非生成状態(プラズマを生成していない状態)とが所定周期で交互に繰り返されるようにする。すなわち、連続したプラズマ生成の時間を流入電荷量あるいはチャージアップ電荷量が閾値を超えない程度の短い時間として、その後プラズマが生成しない状態を作り出し、それを断続的に繰り返すのである。プラズマ生成状態の期間中にウエハW上の任意の箇所で過分な流入電荷あるいはチャージアップが発生してもプラズマ非生成状態の期間中に余分な電荷または蓄積電荷を周囲に分散させて中和性を回復させるので、流入電荷あるいは蓄積電荷の累積増大を阻止し、絶縁膜のダメージを効果的に防止することができる。これによって、プラズマプロセスの信頼性を大きく改善することができる。
プラズマエッチング中にプラズマ生成状態とプラズマ非生成状態とを交互に繰り返すために、本実施形態では、第1の高周波がプラズマを生成させる第1の振幅または波高値を有する(つまり実効的なパワー)を有する第1の期間と、第1の高周波がプラズマを生成させない第2の振幅または波高値を有する(つまり実効的なパワーを有していない)第2の期間とが所定の周期で交互に繰り返されるように、制御部68が第1の高周波電源32および第1の整合器34を制御する。なお本発明では、第2の振幅がゼロ(すなわち第1の高周波を印加しないこと)を含む。
より具体的には、第1高周波電源32より出力する第1の高周波のパワーを変調させて、サセプタ12に印加する。パワー変調の典型的な例としては、図2に示すようなパルス状の変調を挙げることができる。
図2においては、プラズマ生成状態が期間Aであり、プラズマ非生成状態が期間Bである。プラズマ生成期間Aでは第1の高周波を電力換算で500Wの第1の振幅で高周波電極12に印加し、プラズマ非生成期間Bでは第1の高周波を電力換算で0W(第2の振幅)にする。すなわち第1の高周波のパワーのON、OFFを繰り返して、チャンバ10内でいわゆるパルスプラズマを生成させる。この場合の第1の高周波の波形は、図3のようになる。
なお、プラズマ非生成状態の第2の振幅を0Wとしなくてもよい。プラズマが実質的に生成しないパワー値であれば、これに限られない。また、プラズマ生成時の第1の振幅を電力換算で500Wとしたが、これに限られない。プロセス条件にもよるが、電力換算で100W〜2000Wの範囲に設定することができる。
第1の振幅、第2の振幅を規定することは重要であるが、ゲート電極への流入電荷量あるいはゲート酸化膜上の蓄積電荷量が閾値を越えないように、プラズマ生成期間Aおよびプラズマ非生成期間Bの持続時間を規定することもより重要である。図4は、図2のように第1の高周波をパルス印加した(第1の振幅を電力換算で500W、第2の振幅を電力換算で0W)場合の、プラズマ生成期間A、プラズマ非生成期間Bの好適な範囲を、図示化したものである。
図4によると、プラズマ生成期間Aは2μsec以上100μsec以下であり、プラズマ非生成期間Bは2μsec以上であればよい。なお、図4のプラズマ生成期間Aは、2μsec以上50μsec以下の方がより好ましい。プラズマ生成期間Aが100μsecより長いと、流入電荷量あるいは蓄積電荷量が閾値を超えて、チャージングダメージが生じてしまう。また、プラズマ生成期間Aが2μsecより短いと、プラズマが生成しても安定した状態にならず、プラズマ処理の効率が低下する。一方、プラズマ非生成期間Bが2μsecより短いと、プラズマを消火しきれないので、プラズマ生成期間Aのみが連続的に続く場合、つまりプラズマを長時間に亘り連続的に生成する通常のプラズマ処理と同様な状況になり、チャージングダメージが生じやすくなる。なお、プラズマ非生成期間Bを長くしたとしても、エッチング結果には影響を及ぼさない。
第1の高周波をパルス状に印加したパルスプラズマは、プラズマ生成期間Aとプラズマ非生成期間Bが所定周期で繰り返されるため、プラズマ生成状態を維持し続けてエッチングを行う場合に比べて、エッチング処理の開始から終了までのトータルなエッチング時間が長くなってしまう。エッチング効率またはスループットを上げるためには、パルスプラズマのデューティー比(プラズマ生成期間A/(プラズマ生成期間A+プラズマ非生成期間B))を大きくすることが必要である。よって、上記のようにプラズマ非生成期間Bの上限は、エッチング結果からは特に規定されないのであるが、エッチング効率を上げるためには、短い方が好ましい。
図2からプラズマ生成期間Aの最大は100μsec、プラズマ非生成期間Bの最小は2μsecであるから、最もエッチング効率がよい最大デューティー比は約98%となる。一方、最小デューティー比は、エッチング効率を考慮して50%程度、すなわちプラズマ生成期間Aとプラズマ非生成期間Bを同じ時間とすることが好ましい。
なお、最大デューティー比98%に設定するということは、言い換えれば、プロセスの開始から終了までプラズマ生成状態を維持し続けてエッチングを行う場合に比して、エッチング時間はわずか2%の違いでしかないことになる。このように、通常のプラズマ処理とほとんど変わらないエッチング効率(スループット)で、チャージングダメージを確実に防止することができる。
また、パワー変調の周波数、すなわちパルスプラズマの周波数を考慮すると、5〜250kHzが好ましい。これは、図4の例によると、プラズマ生成期間Aとプラズマ非生成期間Bの最小時間は2μsecであるから、最も短い周期は4μsecとなり、これにより250kHzが規定される。また、プラズマ生成期間Aとプラズマ非生成期間Bの最大時間は100μsecであるから、最も長い周期は200μsecとなり、これにより5kHzが規定される。
次に、テストウエハを用いて、パルスプラズマのチャージングダメージ耐性を試験した結果を説明する。試験には、図5に示す構造の素子を用いた。すなわち、Si基板74上に、厚さ4nmのゲート酸化膜相当部分76aおよび厚さ500nmの素子分離領域76bを有するSiO2 膜76を形成し、さらにその上にポリシリコン膜78を形成し、このような素子をウエハ上にマトリクスのセル状に多数形成した。素子分離領域76bの面積Cをゲート酸化膜相当部分76aの面積Dの1万倍または10万倍と通常の素子よりも大きく設定して、通常のストレス試験と同様にチャージングダメージが発生しやすい構造とした。
ウエハとしては300mmウエハを用いた。プラズマ処理は図1に示す装置を用いて行い、チャンバ内圧力:20mTorr、処理ガス:O2 ガス、流量:200sccm、第1の高周波の周波数:100MHz、高周波電力:500W、処理時間:10秒の条件でプラズマにウエハを晒した。なお、第2高周波電源70より出力される第2の高周波は使用(印加)していない。この際の各素子のリーク電流を測定し、リーク電流が1×10 -9 A/μm2 以上の場合を絶縁破壊が生じたものとし、それよりも小さい値の場合には絶縁破壊なしとした。
この試験結果を図6、図7に示す。図6は、ウエハの素子分離領域76bの面積Cがゲート酸化膜相当部分76aの面積Dの10万倍の場合であり、図7は1万倍の場合である。図6(a)、図7(a)は通常の連続プラズマ、図6(b)、図7(b)はパルスプラズマで、プラズマ生成期間Aが40μsec、デューティー比が20%、変調周波数(パルス周波数)が5kHzの場合、図6(c)、図7(c)は同じくパルスプラズマで、プラズマ生成期間Aが5μsec、デューティー比が50%、変調周波数が100kHzの場合である。白は絶縁破壊が生じなかった部分を示し、黒は絶縁破壊が生じた部分を示している。
図6に示すように、面積比(C/D)が10万倍の場合には、通常の連続プラズマを用いた場合には、絶縁破壊が多数の素子で生じ絶縁破壊なしのものは11%にすぎなかったが、パルスプラズマを用いた場合には、プラズマ生成期間Aが40μsecで歩留まり99%、プラズマ生成期間Aが5μsecで歩留まり96%と、チャージングダメージが激減した。また、図7に示すように、面積比(C/D)が1万倍の場合には、通常の連続プラズマを用いた場合には、絶縁破壊なしのものは歩留まり87%に対して、パルスプラズマを用いた場合には、プラズマ生成期間Aが40μsec、5μsecのいずれの場合においても歩留まり100%であり、ウエハ面内のいかなる箇所でもチャージングダメージが生じなかった。
実施形態によれば、デューティー比50%でも、アンテナ比100kまでほぼ100%の歩留まりが得られている。
上記実施形態において、チャージングダメージの発生を防止できるという効果について説明してきたが、プラズマ生成状態とプラズマ非生成状態とを所定周期で交互に繰り返すことで、さらにプラズマ処理速度(エッチングレート)の均一性を向上することができるという効果もある。
40MHz以上という高い周波数の高周波を用い、かつプラズマ生成状態を常に維持しながらエッチングを行う従来のプラズマエッチング装置では、ウエハ中心部のエッチングレートが高く、ウエハ周縁部のエッチングレートが低くなるという傾向が一般的にあり、問題となっていた。すなわち、第1高周波電源32により出力される第1の高周波のパワーで生成されるプラズマ密度の分布が、ウエハ中心部で高く、ウエハ周縁部で低くなってしまっていた。
しかし、プラズマ生成状態とプラズマ非生成状態とを所定周期で交互に繰り返すことで、ウエハ中心部でのエッチングレートの上昇を抑制することができ、その結果、ウエハ面内におけるエッチングレートを均一にすることができる。
ここで、パルスプラズマによるエッチング(パルスエッチング)と、プラズマ生成状態を維持し続ける従来のエッチング(従来エッチング)とを行った際の、ウエハ各箇所におけるエッチングレートの比較測定結果を説明する。
ウエハとしては300mm口径の半導体ウエハを用い、ウエハ表面の有機膜をエッチングした。詳細には、プラズマ処理は図1に示す装置を用いて行い、チャンバ内圧力:10mTorr、処理ガス:N2/O2/CO、流量:120/60/100sccm、第1の高周波の周波数:100MHz、第2の高周波の周波数:3.2MHz、第2の高周波の電力:200W、処理時間:30秒の条件で、プラズマエッチングを行った。パルスエッチングでは、第1の高周波のプラズマ生成期間Aをここでは便宜的に500μsecとし、プラズマ生成期間Aにおける第1の高周波の高周波電力の値を1500W、プラズマ非生成期間Bにおける第1の高周波の高周波電力の値を0W、デューティー比を50%、変調周波数(パルスプラズマ)を便宜的に1kHzとした。一方、従来エッチングでは、第1の高周波の高周波電力の値を1500Wとした。
その結果、従来エッチングでは面内均一性が13.8%であったものが、パルスエッチングでは面内均一性が9.5%と、大幅に向上した。このように、プラズマ生成状態とプラズマ非生成状態とを所定周期で交互に繰り返すことで、ウエハ面内におけるエッチングレートを均一にできることが、実験的に証明された。なお、この比較測定でのプラズマ生成期間A(500μsec)と変調周波数の周波数(1kHz)は、上記で説明したチャージングダメージの防止に最適なプラズマ生成期間A(2μsec以上100μsec以下、好ましくは2μsec以上50μsec以下)と変調周波数の周波数(5kHz〜250kHz)と異なる。ただ、チャージングダメージ防止に最適なプラズマ生成期間Aおよび変調周波数であれば、エッチングレートの面内均一性の向上も図れることが容易に予想される。
なお、上記実施形態では、パルスプラズマについて説明したが、パルスプラズマでなくても、プラズマ生成状態と実質的にプラズマ非生成の状態が所定周期で交互に繰り返されるものであれば、本発明の一態様であることは言うまでもない。
また、上記実施形態のプラズマ処理装置は、主としてプラズマ生成用の第1の高周波と主としてイオン引き込み用の第2の高周波とをサセプタ12に印加するRF下部二周波印加型であった。しかし、別の実施形態として、図示省略するが、下部電極にプラズマ生成用の高周波を1つ印加するタイプの装置であってもよい。また、プラズマ生成用の高周波の周波数範囲は、30MHz〜300MHzが好ましい。
10 チャンバ(処理容器)
12 サセプタ(下部電極)
28 排気装置
32 第1高周波電源
34 第1整合器
36 給電棒
38 シャワーヘッド(上部電極)
62 処理ガス供給部
68 制御部
70 第2高周波電源
72 第2整合器

Claims (8)

  1. 真空排気可能な処理容器と、前記処理容器内で半導体基板を載置する第1電極と、前記処理容器内で前記第1電極と平行に向かい合い、接地されている第2電極と、前記第1電極と前記第2電極との間の処理空間にO2ガスを含む処理ガスを供給する処理ガス供給部と、前記第1電極に30MHz以上の周波数を有する第1の高周波を印加する第1高周波給電部とを有するプラズマエッチング装置を用い、前記処理空間に前記処理ガスのプラズマを生成して前記半導体基板上に形成されたゲート酸化膜上のポリシリコン膜をエッチングするプラズマエッチング方法であって、
    第1の期間と第2の期間とが周期的に繰り返されることにより、前記第1電極上の前記半導体基板に前記O 2 ガスを含む処理ガスのプラズマによるエッチング処理が施され、
    前記第1の期間中に、前記第1高周波給電部からの高周波電力は前記処理ガスからプラズマを生成する第1の振幅で前記第1電極に印加され、前記第2の期間中に、前記高周波電力はプラズマを生成しない第2の振幅で前記第1電極に印加され、
    前記第1の期間の長さは2μsec〜50μsecであり、前記第2の期間の長さは2μsec以上であり、前記周期の長さは4μsec〜200μsecである、
    半導体基板上のポリシリコン膜のプラズマエッチング方法。
  2. 前記第1の期間中に、前記プラズマから前記ポリシリコン膜に流入する電荷量はしきい値を超えず、
    前記しきい値は、前記ゲート酸化膜を損傷させない最大電荷蓄積量である、
    請求項1に記載のポリシリコン膜のプラズマエッチング方法。
  3. 前記第2の振幅はゼロより大きい、請求項1に記載のポリシリコン膜のプラズマエッチング方法。
  4. 真空排気可能な処理容器と、前記処理容器内で半導体基板を載置する第1電極と、前記処理容器内で前記第1電極と平行に向かい合い、接地されている第2電極と、前記第1電極と前記第2電極との間の処理空間にO2ガスを含む処理ガスを供給する処理ガス供給部と、前記第1電極に30MHz以上の周波数を有する第1の高周波を印加する第1高周波給電部と、前記第1電極にイオンの引き込みに適した周波数を有する第2の高周波を印加する第2高周波給電部とを有するプラズマエッチング装置を用い、前記処理空間に前記処理ガスのプラズマを生成して、前記半導体基板上に形成されたゲート酸化膜上のポリシリコン膜をエッチングするプラズマエッチング方法であって、
    第1の期間と第2の期間とが周期的に繰り返されることにより、前記第1電極上の前記半導体基板に前記O 2 ガスを含む処理ガスのプラズマによるエッチング処理が施され、
    前記第1の期間中に、前記第1高周波給電部からの高周波電力は前記処理ガスからプラズマを生成する第1の振幅で前記第1電極に印加され、前記第2の期間中に、前記高周波電力はプラズマを生成しない第2の振幅で前記第1電極に印加され、
    前記第1の期間の長さは2μsec〜50μsecであり、前記第2の期間の長さは2μsec以上であり、前記周期の長さは4μsec〜200μsecである、
    半導体基板上のポリシリコン膜のプラズマエッチング方法。
  5. 真空排気可能な処理容器と、前記処理容器内で半導体基板を載置する第1電極と、前記処理容器内で前記第1電極と平行に向かい合い、接地されている第2電極と、前記第1電極と前記第2電極との間の処理空間にO2ガスを含む処理ガスを供給する処理ガス供給部と、前記第1電極に30MHz以上の周波数を有する第1の高周波を印加する第1高周波給電部とを有するプラズマエッチング装置を用い、前記処理空間に前記処理ガスのプラズマを生成して、前記半導体基板上に形成されたゲート酸化膜上のポリシリコン膜をエッチングするプラズマエッチング方法であって、
    第1の期間と第2の期間とが周期的に繰り返されることにより、前記第1電極上の前記半導体基板に前記O 2 ガスを含む処理ガスのプラズマによるエッチング処理が施され、
    前記第1の期間に、前記処理容器内で前記処理ガスからプラズマが持続的に生成され、前記第2の期間に、プラズマが生成されず、
    前記第1の期間の長さは2μsec〜50μsecであり、前記第2の期間の長さは2μsec以上であり、前記周期の長さは4μsec〜200μsecである、
    半導体基板上のポリシリコン膜のプラズマエッチング方法。
  6. 前記第1の期間中に、前記プラズマから前記ポリシリコン膜に流入する電荷量はしきい値を超えず、
    前記しきい値は、前記ゲート酸化膜を損傷させない最大電荷蓄積量である、
    請求項5に記載のポリシリコン膜のプラズマエッチング方法。
  7. 前記第2の期間中に前記第1の高周波の振幅はゼロより大きい、請求項5に記載のポリシリコン膜のプラズマエッチング方法。
  8. 真空排気可能な処理容器と、前記処理容器内で半導体基板を載置する第1電極と、前記処理容器内で前記第1電極と平行に向かい合い、接地されている第2電極と、前記第1電極と前記第2電極との間の処理空間にO2ガスを含む処理ガスを供給する処理ガス供給部と、前記第1電極に30MHz以上の周波数を有する第1の高周波を印加する第1高周波給電部と、前記第1電極にイオンの引き込みに適した周波数を有する第2の高周波を印加する第2高周波給電部とを有するプラズマエッチング装置を用い、前記処理空間に前記処理ガスのプラズマを生成して、前記半導体基板上に形成されたゲート酸化膜上のポリシリコン膜をエッチングするプラズマエッチング方法であって、
    第1の期間と第2の期間とが周期的に繰り返されることにより、前記第1電極上の前記半導体基板に前記O 2 ガスを含む処理ガスのプラズマによるエッチング処理が施され、
    前記第1の期間に、前記処理容器内で前記処理ガスからプラズマが持続的に生成され、前記第2の期間に、プラズマが生成されず、
    前記第1の期間の長さは2μsec〜50μsecであり、前記第2の期間の長さは2μsec以上であり、前記周期の長さは4μsec〜200μsecである、
    半導体基板上のポリシリコン膜のプラズマエッチング方法。
JP2014071719A 2007-08-17 2014-03-31 プラズマエッチング方法 Active JP5836419B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2014071719A JP5836419B2 (ja) 2007-08-17 2014-03-31 プラズマエッチング方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007213170 2007-08-17
JP2007213170 2007-08-17
JP2014071719A JP5836419B2 (ja) 2007-08-17 2014-03-31 プラズマエッチング方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008207948A Division JP5514413B2 (ja) 2007-08-17 2008-08-12 プラズマエッチング方法

Publications (2)

Publication Number Publication Date
JP2014135512A JP2014135512A (ja) 2014-07-24
JP5836419B2 true JP5836419B2 (ja) 2015-12-24

Family

ID=40111040

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008207948A Active JP5514413B2 (ja) 2007-08-17 2008-08-12 プラズマエッチング方法
JP2014071719A Active JP5836419B2 (ja) 2007-08-17 2014-03-31 プラズマエッチング方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008207948A Active JP5514413B2 (ja) 2007-08-17 2008-08-12 プラズマエッチング方法

Country Status (6)

Country Link
US (2) US20090047795A1 (ja)
EP (1) EP2026374B1 (ja)
JP (2) JP5514413B2 (ja)
KR (2) KR101181023B1 (ja)
CN (2) CN101370349A (ja)
TW (1) TWI460786B (ja)

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101322539B1 (ko) * 2009-08-07 2013-10-28 가부시끼가이샤교산세이사꾸쇼 펄스 변조 고주파 전력 제어 방법 및 펄스 변조 고주파 전원 장치
KR101123004B1 (ko) * 2009-09-18 2012-03-12 주성엔지니어링(주) 플라즈마 처리 장치
JP5558224B2 (ja) * 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
JP6018757B2 (ja) 2012-01-18 2016-11-02 東京エレクトロン株式会社 基板処理装置
JP6009171B2 (ja) 2012-02-14 2016-10-19 東京エレクトロン株式会社 基板処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6410592B2 (ja) * 2014-12-18 2018-10-24 東京エレクトロン株式会社 プラズマエッチング方法
US10672616B2 (en) * 2014-12-25 2020-06-02 Tokyo Electon Limited Plasma processing apparatus and plasma processing method
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JPWO2017018078A1 (ja) * 2015-07-24 2018-06-14 株式会社ユーテック スパッタリング装置及び絶縁膜の製造方法
WO2017018077A1 (ja) * 2015-07-24 2017-02-02 株式会社ユーテック スパッタリング装置、膜の製造方法、SrRuO3-σ膜、強誘電体セラミックス及びその製造方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6498152B2 (ja) * 2015-12-18 2019-04-10 東京エレクトロン株式会社 エッチング方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6770868B2 (ja) 2016-10-26 2020-10-21 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6697372B2 (ja) 2016-11-21 2020-05-20 キオクシア株式会社 ドライエッチング方法及び半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP2019073743A (ja) * 2017-10-12 2019-05-16 アドバンストマテリアルテクノロジーズ株式会社 成膜装置及び成膜方法
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
JP7041269B2 (ja) * 2017-12-13 2022-03-23 アプライド マテリアルズ インコーポレイテッド 電荷損傷を防止するためのパルス状プラズマによる空間的原子層堆積チャンバ
CN110004424B (zh) * 2018-01-05 2020-12-22 友威科技股份有限公司 连续式的镀膜装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7175239B2 (ja) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5947733A (ja) * 1982-09-13 1984-03-17 Hitachi Ltd プラズマプロセス方法および装置
JP2598274B2 (ja) * 1987-09-14 1997-04-09 三菱電機株式会社 プラズマ応用装置
JPH0793292B2 (ja) * 1988-03-07 1995-10-09 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
JP2851765B2 (ja) * 1992-03-31 1999-01-27 松下電器産業株式会社 プラズマ発生方法およびその装置
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
TW299559B (ja) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
JP3201576B2 (ja) * 1995-02-17 2001-08-20 シャープ株式会社 半導体薄膜の製造方法及びその製造方法を用いたプラズマcvd装置
US5618758A (en) * 1995-02-17 1997-04-08 Sharp Kabushiki Kaisha Method for forming a thin semiconductor film and a plasma CVD apparatus to be used in the method
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3700278B2 (ja) * 1996-08-23 2005-09-28 ソニー株式会社 デュアルゲート構造を有する半導体装置の製造方法
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP4013271B2 (ja) * 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
JP3629705B2 (ja) * 1997-06-06 2005-03-16 東京エレクトロン株式会社 プラズマ処理装置
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP2000269198A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
JP2000269196A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
JP3533105B2 (ja) * 1999-04-07 2004-05-31 Necエレクトロニクス株式会社 半導体装置の製造方法と製造装置
JP2001185542A (ja) 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
JP2001313284A (ja) * 2000-02-21 2001-11-09 Hitachi Ltd プラズマ処理方法および装置
JP2001244250A (ja) * 2000-03-01 2001-09-07 Hitachi Ltd 表面処理方法および装置
JP2001358129A (ja) * 2000-06-16 2001-12-26 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP4112821B2 (ja) * 2001-06-01 2008-07-02 松下電器産業株式会社 プラズマ処理方法およびプラズマ処理装置
WO2003021002A1 (en) * 2001-08-29 2003-03-13 Tokyo Electron Limited Apparatus and method for plasma processing
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
JP3960792B2 (ja) * 2001-12-21 2007-08-15 シャープ株式会社 プラズマcvd装置、非晶質シリコン系薄膜の製造方法
JP3927464B2 (ja) 2002-04-26 2007-06-06 株式会社日立ハイテクノロジーズ プラズマ処理方法
US6700090B2 (en) * 2002-04-26 2004-03-02 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
US6872666B2 (en) * 2002-11-06 2005-03-29 Intel Corporation Method for making a dual damascene interconnect using a dual hard mask
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100968571B1 (ko) * 2003-06-12 2010-07-08 삼성전자주식회사 플라즈마 챔버
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US20050224980A1 (en) * 2004-03-31 2005-10-13 Jihperng Leu Interconnect adapted for reduced electron scattering
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20050285269A1 (en) * 2004-06-29 2005-12-29 Yang Cao Substantially void free interconnect formation
US7767055B2 (en) * 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
CN100539000C (zh) * 2004-12-03 2009-09-09 东京毅力科创株式会社 电容耦合型等离子体处理装置
JP5323303B2 (ja) 2004-12-03 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP2006196034A (ja) * 2005-01-11 2006-07-27 Hitachi Global Storage Technologies Netherlands Bv 磁気ヘッドの製造方法
US7678529B2 (en) * 2005-11-21 2010-03-16 Shin-Etsu Chemical Co., Ltd. Silicon-containing film forming composition, silicon-containing film serving as etching mask, substrate processing intermediate, and substrate processing method
JP2007165512A (ja) * 2005-12-13 2007-06-28 Hitachi High-Technologies Corp プラズマ処理装置
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US7883632B2 (en) * 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
US7754615B2 (en) * 2006-07-31 2010-07-13 Tokyo Electron Limited Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法

Also Published As

Publication number Publication date
CN101370349A (zh) 2009-02-18
KR101434015B1 (ko) 2014-08-25
JP2009071292A (ja) 2009-04-02
US20090047795A1 (en) 2009-02-19
KR20120042775A (ko) 2012-05-03
EP2026374B1 (en) 2017-04-05
KR20090018582A (ko) 2009-02-20
EP2026374A3 (en) 2010-08-25
US8703002B2 (en) 2014-04-22
US20130122714A1 (en) 2013-05-16
JP5514413B2 (ja) 2014-06-04
TWI460786B (zh) 2014-11-11
CN104810272A (zh) 2015-07-29
JP2014135512A (ja) 2014-07-24
TW200913056A (en) 2009-03-16
EP2026374A2 (en) 2009-02-18
KR101181023B1 (ko) 2012-09-07

Similar Documents

Publication Publication Date Title
JP5836419B2 (ja) プラズマエッチング方法
JP5264231B2 (ja) プラズマ処理装置
TWI553729B (zh) Plasma processing method
US6576860B2 (en) Plasma processing method and apparatus for eliminating damages in a plasma process of a substrate
US8323414B2 (en) Particle removal apparatus and method and plasma processing apparatus
EP1840937B1 (en) Plasma processing apparatus and plasma processing method
JP4995907B2 (ja) プラズマを閉じ込めるための装置、プラズマ処理装置及び半導体基板の処理方法
US8440050B2 (en) Plasma processing apparatus and method, and storage medium
US8138445B2 (en) Plasma processing apparatus and plasma processing method
US20090242127A1 (en) Plasma etching apparatus and method, and computer-readable storage medium
JP2016506592A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
US8157953B2 (en) Plasma processing apparatus
KR20170028849A (ko) 포커스 링 및 기판 처리 장치
US20070227666A1 (en) Plasma processing apparatus
JP2016522539A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
CN111095498B (zh) 载置台、基板处理装置以及边缘环
JP2019176032A (ja) プラズマ処理装置
JP7175160B2 (ja) 基板処理装置
US11984306B2 (en) Plasma chamber and chamber component cleaning methods
JP2020077659A (ja) 被処理体の処理方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150312

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150722

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150729

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151020

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151102

R150 Certificate of patent or registration of utility model

Ref document number: 5836419

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250