KR20120042775A - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR20120042775A
KR20120042775A KR1020120011804A KR20120011804A KR20120042775A KR 20120042775 A KR20120042775 A KR 20120042775A KR 1020120011804 A KR1020120011804 A KR 1020120011804A KR 20120011804 A KR20120011804 A KR 20120011804A KR 20120042775 A KR20120042775 A KR 20120042775A
Authority
KR
South Korea
Prior art keywords
plasma
high frequency
electrode
period
processing
Prior art date
Application number
KR1020120011804A
Other languages
English (en)
Other versions
KR101434015B1 (ko
Inventor
다츠오 마츠도
신지 히모리
노리아키 이마이
다케시 오세
준 아베
다카유키 가츠누마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20120042775A publication Critical patent/KR20120042775A/ko
Application granted granted Critical
Publication of KR101434015B1 publication Critical patent/KR101434015B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 과제는, 플라즈마 처리 장치에 있어서, 차징 대미지의 발생을 대폭 개선하고, 플라즈마 처리의 안정성 및 신뢰성의 향상을 실현하는 것이다.
본 발명의 플라즈마 처리 장치에 따르면, 진공배기 가능한 처리용기(10)내에 상부 전극(38)과 하부 전극(12)과가 대향해서 평행으로 배치되어, 하부 전극(12)에는 제 1 고주파 전원(32)보다 제 1정합기(34)을 거쳐서 제 1 고주파가 인가된다. 제어부(68)은, 플라즈마 생성에 기여하는 제 1 고주파가, 플라즈마를 생성시키는 제 1의 진폭을 가지는 제 1의 기간과, 플라즈마를 실질적으로 생성시키지 않는 제 2의 진폭을 가지는 제 2의 기간을 소정의 주기로 교대로 반복되도록, 제 1 고주파 전원(32)을 제어한다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은, 피처리체에 플라즈마 처리를 실시하는 기술에 관해, 특히 용량 결합형의 플라즈마 처리 장치, 플라즈마 처리 방법, 및 그 플라즈마 처리 방법을 실행하는 제어 프로그램을 가지는 기억 매체에 관한 것이다.
반도체 디바이스나 FPD(Flat Panel Display)의 제조 프로세스에 있어서의 에칭, 퇴적, 산화, 스퍼터링 등의 처리에서는, 처리 가스에 비교적 저온에서 양호한 반응을 시키기 위해 플라즈마가 많이 이용되어 있다. 종래로부터, 낱장식의 플라즈마 처리 장치, 특히 플라즈마 에칭 장치 중에서는, 용량 결합형의 플라즈마 처리 장치가 주류로 되어 있다.
일반적으로, 용량 결합형 플라즈마 처리 장치는, 진공 챔버로서 구성되는 처리용기 내에 상부 전극과 하부 전극을 평행으로 배치하고, 하부 전극 위에 피 처리 기판(반도체 웨이퍼, 유리 기판등)을 탑재하고, 양쪽 전극간에 고주파 전압을 인가한다. 이 고주파 전압에 의해 양쪽 전극간에 형성되는 전기장에 의해 전자가 가속되어, 전자와 처리 가스와의 충돌 전리에 의해 플라즈마가 발생하고, 플라즈마 중의 래디컬이나 이온에 의해 기판 표면에 소망하는 미세가공 예를 들면 에칭 가공이 실시된다.
여기서, 최근, 반도체 등의 제조 프로세스에 있어서의 디자인 룰이 점점 미세화하고, 특히 플라즈마 에칭에서는, 보다 높은 치수 정밀도가 요구되고 있어, 에칭에 있어서의 마스크나 하지에 대한 선택비나 면내 균일성을 보다 높게 하는 것이 요구되고 있다. 이를 위해, 챔버내의 프로세스 영역의 저압력화, 저이온에너지화가 지향되고, 그 때문에 40MHz 이상의 종래보다도 특히 높은 주파수의 고주파가 이용되고 있다.
그러나, 이렇게 저압력화 및 저이온에너지화가 진행함으로써, 종래에는 문제되지 않았던 차징 대미지의 영향을 무시 할 수가 없게 되고 있다. 즉, 이온에너지가 높은 종래의 장치로는 플라즈마 전위가 면내에서 흩어져도 큰 문제는 발생하지 않지만, 보다 저압에서 이온에너지가 낮아지면, 플라즈마 전위의 면내 불균일이 게이트 산화막의 차징 대미지를 야기하기 쉬워진다는 문제가 발생한다.
또한, 40MHz 이상이라고 하는 높은 주파수의 고주파를 이용하는 플라즈마 프로세스에 있어서는, 일반적으로, 웨이퍼중심부의 에칭레이트가 높고, 위에퍼주연부의 에칭레이트가 낮게 되는 경향이 있어, 문제로 되어 있다.
이 문제에 관해서, 특허문헌 1에서는, 웨이퍼에 인가되는 고주파 바이어스에 의한 고주파 전류 경로 중, 웨이퍼의 외주부근에 있어서의 전류 경로 부분을 대향 전극의 웨이퍼 대향면을 향하도록 교정하는 전류 경로교정 수단을 마련하는 점, 또는 고주파 바이어스에서 본 어스까지의 임피던스가 웨이퍼면내에서 거의 균일하게 되도록 하는 임피던스 조정 수단을 마련하는 점을 개시하고 있다. 이에 의해, 고주파 바이어스를 인가했을 때에 발생하는 자기 바이어스의 웨이퍼 면내에서의 균일성이 높아지고, 매크로 대미지를 억제 할 수 있다고 한다.
그러나, 특허문헌 1에 기재된 기술은, 전류 경로교정 수단이나 임피던스 조정 수단을 마련할 필요가 있어 장치구성이 복잡화 하는 것이나, 플라즈마 처리의 면내 균일성에 대하여는 반드시 충분하다고는 말할 수 없다는 등의 문제가 있다.
또한, 플라즈마 프로세스에 있어서는, 웨이퍼면내에서 이온과 전자의 밸런스가 허물어지는 것에 기인하는 국소적인 전장에 의해 게이트 산화막의 차지 업(charge-up)이 유기되어서 절연 파괴에 이르는 것 같은 형태의 차징 대미지도 골치아프다. 예를 들면, 플라즈마 에칭에 있어서는, 웨이퍼의 주면에 대하여 이온은 수직으로 입사하지만 전자는 경사 방향으로부터도 입사하므로, 국소적으로 전하의 밸런스가 허물어져서 차지 업을 유발하는 개소가 랜덤으로 발생하기 쉽다. 이러한 차징 대미지는, 자기 바이어스의 면내 불균일성뿐만아니라 에칭 패턴의 프로파일 등에도 의존하고, 발생하는 개소가 일정하지 않아서, 특허문헌 1에 기재된 기술에서는 유효하게 해소할 수 없다.
[특허문헌 1] 일본 특허공개 2001-185542호 공보
본 발명은 관련된 종래 기술의 문제점에 비추어 보아서 이루어진 것으로, 차징 대미지의 발생을 효과적으로 방지하고, 플라즈마 처리의 안정성 및 신뢰성의 향상을 실현함과 함께, 플라즈마 처리의 면내균일성의 향상을 실현하는 플라즈마 처리 장치, 플라즈마 처리 방법, 및 그 플라즈마 처리 방법을 실행하는 제어 프로그램을 가지는 기억 매체를 제공하는 것을 목적으로 한다.
상기의 목적을 달성하기 위해서, 본 발명의 제 1의 관점에 있어서의 플라즈마 처리 장치는, 진공배기 가능한 처리용기와, 상기 처리용기 내에서 피처리체를 탑재하는 제 1 전극과, 상기 처리용기 내에서 상기 제 1 전극과 평행으로 마주보는 제 2 전극과, 상기 제 1 전극과 상기 제 2 전극과의 사이의 처리공간에 소망하는 처리 가스를 공급하는 처리 가스 공급부와, 상기 제 1 전극 및 상기 제 2 전극의 적어도 한쪽에, 상기 처리 가스의 플라즈마 생성에 기여하는 제 1 고주파를 인가하는 제 1 고주파급전부와, 상기 제 1 고주파가 플라즈마를 생성시키는 제 1의 진폭을 가지는 제 1의 기간과, 상기 제 1 고주파가 플라즈마를 실질적으로 생성시키지 않는 제 2의 진폭을 가지는 제 2의 기간이 소정의 주기로 교대로 반복되도록, 상기 제 1 고주파급전부를 제어하는 제어부를 가진다.
일반적으로, 플라즈마 프로세스에 있어서의 차징 대미지(절연 파괴)는, 플라즈마로부터 피처리체에 유입 또는 축적하는 전하의 양에 의해 좌우되어, 유입 전하량 또는 축적전하량이 어느 임계값을 넘으면, 지수함수적으로 절연막의 열화 또는 파괴가 진행해버린다. 또한, 절연막의 차지 업은, 피처리체에 입사 또는 유입하는 이온과 전자의 밸런스가 허물어지는 것에 의해 발생하고, 국소적인 전장을 작성한다. 플라즈마 생성중은 시간에 비례해서 차지 업의 전하량 및 국소전장의 강도가 증대하고, 그것이 있는 임계값을 넘으면 절연막의 대미지(손상 또는 파괴)에 이른다.
상기의 장치구성에 있어서는, 제 1 고주파가 플라즈마를 생성시키기 위한 제 1의 진폭을 가지고 있는 제 1의 기간(페이즈) 중에 피처리체의 임의의 개소에서 유입 전하량 또는 축적전하량이 과분해져도, 플라즈마 생성을 중단하는 제 2의 기간(페이즈) 중에 과분한 전하가 분산되어서 챠지 밸런스가 회복하므로, 절연막의 대미지가 효과적으로 방지된다. 이에 의해, 플라즈마 프로세스의 신뢰성이 대폭 개선된다.
본 발명의 제 1의 관점에 있어서의 플라즈마 처리 장치의 바람직한 일형태에 의하면,상기 제 2의 진폭은 제로이어도 좋다. 이에 따라, 제 2의 기간에 있어서 챠지 밸런스를 회복시키는 시간을 가급적으로 짧게 하고, 나아가서는 플라즈마 처리 시간을 짧게 할 수 있다. 또한, 플라즈마를 생성시키는 제 1의 기간은, 1주기당 2μsec?100μsec이어도 좋고, 더욱 바람직하게는 2μsec?50μsec이어도 좋다. 또한, 플라즈마 생성을 중단하는 제 2의 기간은, 1주기당 2μsec 이상이어도 좋다.
본 발명의 제 2의 관점에 있어서의 플라즈마 처리 장치는, 진공배기 가능한 처리용기와, 상기 처리용기 내에서 피처리체를 탑재하는 제 1 전극과, 상기 처리용기 내에서 상기 제 1 전극과 평행으로 마주보는 제 2 전극과, 상기 제 1 전극과 상기 제 2 전극과의 사이의 처리공간에 소망하는 처리 가스를 공급하는 처리 가스 공급부와, 상기 제 1 전극 및 상기 제 2 전극의 적어도 한쪽에, 제 1 고주파를 인가하는 제 1 고주파급전부와, 상기 피처리체의 처리중에, 상기 처리용기 내에서 상기 처리 가스의 플라즈마가 생성되는 상태로 실질적으로 플라즈마가 생성되지 않는 상태가 소정의 주기로 교대로 반복되도록, 상기 제 1 고주파급전부를 제어하는 제어부를 가진다.
상기의 장치구성에 있어서는, 피처리체의 처리중에, 처리 가스의 플라즈마 생성 상태와, 플라즈마 비생성 상태(플라즈마를 생성 하지 않는 상태)가 소정주기로 교대로 반복되므로, 플라즈마 처리의 개시로부터 종료까지 플라즈마를 계속해서 생성하는 통상의 플라즈마 처리에 비교하면, 플라즈마가 연속해서 생성하고 있는 시간이 확실히 줄어든다. 이 때문에,플라즈마로부터 피처리체에 한번에 유입하는 전하의 양 혹은 피처리체의 표면부에 전하가 누적적으로 축적하는 양이 줄게 되므로, 차징 대미지는 발생하기 어려워져, 안정한 플라즈마 처리의 실현 및 플라즈마 프로세스의 신뢰성향상이 가능해진다.
본 발명의 제 2의 관점에 있어서의 플라즈마 처리 장치의 바람직한 일형태에 의하면, 상기 플라즈마 생성 상태의 지속 시간은, 1주기당 2μsec?100μsec이어도 좋고, 더욱 바람직하게는2μsec?50μsec이어도 좋다. 또한, 상기 플라즈마 비생성 상태의 지속 시간은, 1주기당 2μsec 이상이어도 좋다.
본 발명의 제 1 및 제 2의 관점에 있어서의 플라즈마 처리 장치의 바람직한 일형태에 의하면, 상기 제 1 고주파의 주파수는, 30?300MHz이어도 좋다. 또한, 상기 제 1 고주파급전부는, 상기 제 1 전극에 상기 제 1 고주파를 인가해도 좋다. 또한, 상기 제 1 전극 및 상기 제 2 전극의 적어도 한쪽에, 상기 플라즈마 중의 이온을 상기 피처리체에 인입하기 위한 제 2 고주파를 인가하는 제 2 고주파급전부를 가져도 좋다. 또한, 상기 제 2 고주파급전부는, 상기 제 1 전극에 상기 제 2 고주파를 인가해도 좋다.
본 발명의 제 3의 관점에 있어서의 플라즈마 처리 방법은, 진공배기 가능한 처리용기와, 상기 처리용기 내에서 피처리체를 탑재하는 제 1 전극과, 상기 처리용기 내에서 상기 제 1 전극과 평행으로 마주보는 제 2 전극과, 상기 제 1 전극과 상기 제 2 전극과의 사이의 처리공간에 소망하는 처리 가스를 공급하는 처리 가스 공급부와, 상기 제 1 전극 및 상기 제 2 전극의 적어도 한쪽에, 상기 처리 가스의 플라즈마 생성에 기여하는 제 1 고주파를 인가하는 제 1 고주파급전부를 가지는 플라즈마 처리 장치를 이용하고, 상기 처리공간에 상기 처리 가스의 플라즈마를 생성해서 상기 피처리체에 플라즈마 처리를 실시하는 플라즈마 처리방법이며, 상기 제 1 고주파가 플라즈마를 생성시키는 제 1의 진폭을 가지는 제 1의 기간과, 상기 제 1 고주파가 플라즈마를 실질적으로 생성시키지 않는 제 2의 진폭을 가지는 제 2의 기간이 소정의 주기로 교대로 반복된다.
상기의 방법에 있어서는, 플라즈마 생성에 기여하는 제 1 고주파가, 플라즈마를 생성시키는 제 1의 진폭을 가지는 제 1의 기간(페이즈)과, 플라즈마가 실질적으로 생성하지 않는 제 2의 진폭을 가지는 제 2의 기간(페이즈)을 소정주기로 교대로 반복함으로, 플라즈마를 생성시키는 진폭을 가지는 고주파를 계속해서 인가하는 통상의 플라즈마 처리에 비교해서, 플라즈마가 연속해서 생성하고 있는 시간이 줄어든다. 이 때문에, 플라즈마로부터 피처리체에 한번에 유입하는 전하의 양 혹은 피처리체의 표면부에 전하가 누적적으로 축적하는 양이 줄게 되므로, 차징 대미지는 발생하기 어려워져, 안정한 플라즈마 처리의 실현 및 플라즈마 프로세스의 신뢰성향상이 가능해진다.
본 발명의 제 3의 관점에 있어서의 플라즈마 처리 방법의 바람직한 일형태에 의하면, 상기 제 2의 진폭은 제로이어도 좋다. 이에 따라. 제 2의 기간에 있어서 플라즈마가 없는 상태를 부여하는 시간 즉 챠지 밸런스를 회복시키는 시간을 가급적으로 짧게 해, 나아가서는 플라즈마 처리 시간을 짧게 할 수 있다. 또한, 플라즈마를 생성시키는 제 1의 기간은, 1주기당 2μsec?100μsec이면 좋고, 더욱 바람직하게는, 2μsec?50μsec 이어도 좋다. 또한, 플라즈마 생성을 중단하는 제 2의 기간은, 1주기당 2μsec 이상이어도 좋다.
본 발명의 제 4의 관점에 있어서의 플라즈마 처리 방법은, 진공배기 가능한 처리용기와, 상기 처리용기 내에서 피처리체를 탑재하는 제 1 전극과, 상기 처리용기 내에서 상기 제 1 전극과 평행으로 마주보는 제 2 전극과, 상기 제 1 전극과 상기 제 2 전극과의 사이의 처리공간에 소망하는 처리 가스를 공급하는 처리 가스 공급부와, 상기 제 1 전극 및 상기 제 2 전극의 적어도 한쪽에, 제 1 고주파를 인가하는 제 1 고주파급전부를 가지는 플라즈마 처리 장치를 이용하고, 상기 처리공간에 상기 처리 가스의 플라즈마를 생성해서 상기 피처리체에 플라즈마 처리를 실시하는 플라즈마 처리 방법이며, 상기 피처리체의 처리중에, 상기 처리용기 내에서 상기 처리 가스의 플라즈마가 생성되는 상태로 실질적으로 플라즈마 비생성의 상태가 소정의 주기로 교대로 반복된다.
상기의 방법에 있어서는, 피처리체의 처리중에, 처리 가스의 플라즈마 생성 상태와, 플라즈마 비생성 상태(플라즈마가 생성 하지 않는 상태)가 소정주기로 교대로 반복되므로, 플라즈마를 계속해서 생성하는 통상의 플라즈마 처리에 비교하고, 플라즈마가 연속해서 생성하고 있는 시간이 확실히 줄어든다. 이 때문에, 플라즈마로부터 피처리체에 한번에 유입하는 전하의 양 혹은 피처리체의 표면부에 전하가 누적적으로 축적하는 양이 줄게 되므로, 차징 대미지는 발생하기 어려워져, 안정한 플라즈마 처리의 실현 및 플라즈마 프로세스의 신뢰성향상이 가능해진다.
본 발명의 제 4의 관점에 있어서의 플라즈마 처리 방법의 바람직한 일형태에 의하면,상기 플라즈마 생성 상태의 지속 시간은, 1주기당 2μsec?100μsec이어도 좋고, 더욱 바람직하게는 2μsec?50μsec이어도 좋다. 또한, 상기 플라즈마 비생성 상태의 지속 시간은, 1주기당 2μsec 이상이어도 좋다.
본 발명의 제 3 및 제 4의 관점에 있어서의 플라즈마 처리 방법의 바람직한 일형태에 의하면, 상기 제 1 고주파의 주파수는, 30?300MHz이어도 좋다. 또한, 상기 제 1 고주파급전부는, 상기 제 1 전극에 상기 제 1 고주파를 인가해도 좋다. 또한, 상기 플라즈마 처리 장치는, 상기 제 1 전극 및 상기 제 2 전극의 적어도 한쪽에, 상기 플라즈마 중의 이온을 상기 피처리체에 끌어당기기 위한 제 2 고주파를 인가하는 제 2 고주파급전부를 가져도 좋다. 또한, 상기 제 2 고주파급전부는, 상기 제 1 전극에 상기 제 2 고주파를 인가해도 좋다.
또한, 본 발명의 제 5의 관점에 있어서의 기억 매체는, 진공배기 가능한 처리용기와, 상기 처리용기 내에서 피처리체를 탑재하는 제 1 전극과, 상기 처리용기 내에서 상기 제 1 전극과 평행으로 마주보는 제 2 전극과, 상기 제 1 전극과 상기 제 2 전극과의 사이의 처리공간에 소망하는 처리 가스를 공급하는 처리 가스 공급부와, 상기 제 1 전극 및 상기 제 2 전극의 적어도 한쪽에, 상기 처리 가스의 플라즈마 생성에 기여하는 제 1 고주파를 인가하는 제 1 고주파급전부를 가지는 플라즈마 처리 장치를 제어하기 위한 컴퓨터상에서 동작하는 제어 프로그램이 기억된 기억 매체며, 상기 제어 프로그램은, 실행시에 본 발명의 제 3 및 제 4의 관점에 있어서의 플라즈마 처리 방법이 실행되도록, 컴퓨터에 상기 플라즈마 처리 장치를 제어시킨다.
본 발명의 플라즈마 처리 장치, 플라즈마 처리 방법, 및 그 플라즈마 처리 방법을 실행하는 제어 프로그램을 가지는 기억 매체에 의하면, 상기와 같은 구성 및 작용에 의해, 차징 대미지의 발생을 효과적으로 방지하고, 플라즈마 프로세스의 안정성 및 신뢰성의 향상을 실현함과 함께, 플라즈마 처리의 면내균일성의 향상을 실현할 수 있다.
도 1은 본 발명의 일실시 형태에 의한 플라즈마 처리 장치의 구성을 나타내는 종단면도다.
도 2는 펄스 플라즈마의 시간 대 고주파 파워 특성을 도시하는 도면이다.
도 3은 도 2의 펄스 플라즈마에 있어서의 제 1 고주파의 파형을 도시하는 도면이다.
도 4는 플라즈마 생성 기간 A, 플라즈마 비생성 기간 B의 최적범위를 도시하는 도면이다.
도 5는 차징 대미지 내성을 시험하는 소자 구조를 나타내는 모식도다.
도 6은 차징 대미지의 발생 빈도를 종래(비교예)와 본 발명을 비교해서 도시하는 도면이다.
도 7은 차징 대미지의 발생 빈도를 종래(비교예)와 본 발명을 비교해서 도시하는 도면이다.
이하, 첨부도면을 참조해서 본 발명의 바람직한 실시예를 설명한다.
도 1에, 본 발명의 일 실시 형태에 의한 플라즈마 처리 장치의 구성을 나타낸다. 이 플라즈마 처리 장치는, RF 하부 2주파인가 방식의 용량 결합형(평행 평판형) 플라즈마 에칭 장치로서 구성되고 있어, 예를 들어 알루미늄 또는 스테인리스강 등의 금속제의 원통형 챔버(처리용기)(10)을 가지고 있다. 챔버(10)은 보안 접지되어 있다.
챔버(10)내에는, 피처리체(피처리 기판)로서 예를 들면 반도체 웨이퍼(W)를 탑재하는 원판형상의 하부 전극 또는 서셉터(12)이 설치된다. 이 서셉터(12)은, 예를 들면 알루미늄으로부터 되고, 절연성의 통형상유지부(14)을 거쳐서 챔버(10)의 밑바닥으로부터 수직위쪽에 연장하는 통형상지지부(16)에 지지되어 있다. 통형상유지부(14)의 상면에는, 서셉터(12)의 상면을 환상으로 둘러싸는 예를 들면 석영 또는 실리콘으로 이루어지는 포커스링(18)이 배치되어 있다.
챔버(10)의 측벽과 통형상지지부(16)의 사이에는 배기로(20)이 형성되어, 이 배기로(20)의 입구 또는 도중에 환상의 배플판(22)을 장착할 수 있는 동시에 바닥부에 배기구(24)이 설치된다. 이 배기구(24)에 배기관(26)을 거쳐서 배기 장치(28)이 접속되어 있다. 배기 장치(28)은, 진공 펌프를 가지고 있어, 챔버(10)내의 처리공간을 소정의 진공도까지 압력을 내리는 할 수 있다. 챔버(10)의 측벽에는, 반도체 웨이퍼(W)의 반입 출구를 개폐하는 게이트밸브(30)이 장착되어 있다.
서셉터(12)에는, 플라즈마 생성용의 제 1 고주파 전원(32)이 제 1 정합기(34) 및 급전 막대(36)을 거쳐서 전기적으로 접속되어 있다. 이 제 1 고주파 전원(32)은, 소정의 주파수 예를 들면 100MHz의 제 1 고주파를 하부 전극 즉 서셉터(12)에 인가한다. 또, 챔버(10)의 천장부에는, 후술하는 샤워 헤드(38)가 접지 전위의 상부 전극으로서 설치된다. 따라서, 제 1 고주파 전원(32)으로부터의 제 1 고주파는 서셉터(12)와 샤워 헤드(38)의 사이에 용량적으로 인가된다.
또한, 서셉터(12)에는, 이온 인입용의 제 2 고주파 전원(70)이 제 2 정합기(72) 및 급전 막대(36)을 거쳐서 전기적으로 접속되어 있다. 이 제 2 고주파 전원(70)은, 소정의 주파수 예를 들면 3.2MHz의 제 2 고주파를 서셉터(12)에 인가한다.
서셉터(12)의 상면에는 반도체 웨이퍼(W)를 정전흡착력으로 유지하기 위한 정전 척(40)이 설치된다. 이 정전 척(40)은 도전 막으로 이루어진 전극(40a)을 한 쌍의 절연막(40b,40c)의 사이에 끼운 것이며, 전극(40a)에는 직류 전원(42)이 스위치(43)을 거쳐서 전기적으로 접속되어 있다. 직류 전원(42)으로부터의 직류 전압에 의해, 쿨롱력으로 반도체 웨이퍼(W)를 척 위로 흡착 유지할 수 있다.
서셉터(12)의 내부에는, 예를 들면 원주 방향으로 연장하는 냉매실(44)이 설치된다. 이 냉매실(44)에는, 칠러 유닛(46)으로부터 배관(48, 50)을 거쳐서 소정온도의 냉매 예를 들면 냉각 물이 순환 공급된다. 냉매의 온도에 의해 정전 척(40)상의 반도체 웨이퍼(W)의 처리온도를 제어할 수 있다. 또한, 열전도 가스 공급부(52)로부터의 열전도 가스 예를 들면 He 가스가, 가스 공급 라인(54)을 거쳐서 정전 척(40)의 상면과 반도체 웨이퍼(W)의 이면의 사이에 공급된다.
천장부의 샤워 헤드(38)은, 다수의 가스 통기공(56a)를 가지는 하면의 전극판(56)과, 이 전극판(56)을 장착 및 분리 가능하게 지지하는 전극지지체(58)과를 가진다. 전극지지체(58)의 내부에 버퍼 실(60)이 마련되고,이 버퍼 실(60)의 가스 도입구(60a)에는 처리 가스 공급부(62)로부터의 가스 공급 배관(64)이 접속되어 있다.
챔버(10)의 주위에는, 환상 또는 동심 형상으로 연장하는 자석(66)이 배치되어 있다. 챔버(10)내에 있어서, 샤워 헤드(38)과 서셉터(12)의 사이의 공간에는, 제 1 고주파 전원(32)에 의해 연직 방향의 RF전기장이 형성된다. 제 1 고주파의 방전에 의해, 서셉터(12)의 표면근방에 고밀도의 플라즈마를 생성할 수 있다.
제어부(68)는, 이 플라즈마 에칭 장치내의 각 부 예를 들면 배기 장치(28), 제 1 고주파 전원(32), 제 1정합기(34), 정전 척용의 스위치(43), 칠러 유닛(46), 열전도 가스 공급부(52), 처리 가스 공급부(62), 제 2 고주파 전원(70) 및 제 2 정합기(72) 등의 동작을 제어하는 것으로, 호스트 컴퓨터(도시하지 않음)등과도 접속되어 있다.
이 플라즈마 에칭 장치에 있어서, 에칭을 실행하기 위해서는, 우선 게이트밸브(30)을 열린 상태로 해서 가공 대상의 반도체 웨이퍼(W)를 챔버(10)내에 반입하고, 정전 척(40)상에 탑재한다. 그리고, 처리 가스 공급부(62)로부터 에칭 가스(일반적으로 혼합 가스)을 소정의 유량 및 유량비로 챔버(10)내에 도입하고, 배기 장치(28)에 의해 챔버(10)내의 압력을 설정 값으로 한다. 또한, 제 1 고주파 전원(32)보다 소정의 파워로 제 1 고주파를 서셉터(12)에 공급함과 동시에, 제 2 고주파 전원(70)으로부터도 소정의 파워로 제 2 고주파를 서셉터(12)에 공급한다. 또한, 직류 전원(42)으로부터 직류 전압을 정전 척(40)의 전극(40a)에 인가하고, 반도체 웨이퍼(W)를 정전 척(40)위로 고정한다. 샤워 헤드(38)에서 토출된 에칭 가스는 양쪽 전극(12,38) 사이에서 제 1 고주파의 방전에 의해 플라즈마화하고, 이 플라즈마에서 생성되는 래디컬이나 이온에 의해 반도체 웨이퍼(W)의 주면이 에칭된다.
이 플라즈마 에칭 장치로는, 제 1 고주파 전원(32)로부터 서셉터(하부 전극)(12)에 대하여 종래보다도 높은 주파수 영역(30MHz 이상)의 제 1 고주파를 인가함으로써, 플라즈마를 바람직한 해리 상태에서 고밀도화하고, 보다 저압의 조건하에서도 고밀도 플라즈마를 형성할 수 있다.
플라즈마 밀도를 높게 하면, 저이온에너지화 즉 반도체 웨이퍼(W)상의 시스 전위가 작아지지만(저 바이어스화), 이렇게 저 바이어스화가 종래와 비교해서 진행함으로써, 차징 대미지(절연 파괴)의 영향을 무시 할 수가 없어지고 있다. 차징 대미지는, 플라즈마로부터 반도체 웨이퍼(W)(게이트 전극)에 유입하는 전하량이 어느 임계값을 넘었을 때에 생긴다. 이 유입 전하량은, 웨이퍼(W)면내에 있어서의 시스 전위의 상대적인 차이와 상관이 있다.
종래의 낮은 주파수를 이용한 플라즈마 에칭 장치에서는, 시스 전위가 몇백볼트로 크기 때문, 플라즈마 중의 전위(플라즈마 전위)에 면내 불균일이 발생하여도, 시스 전위의 변화는 웨이퍼면내에 있어서 상대적으로 작고, 반도체 웨이퍼(W)의 게이트 전극에 유입하는 전하량은 임계값을 넘을 일은 없다.
그러나, 본 실시형태와 같은 고밀도 플라즈마에서는, 시스 전위가 몇십볼트정도로 작기 때문, 플라즈마 전위에 면내 불균일이 발생했을 경우의 시스 전위의 변화는 상대적으로 크고, 게이트 전극에의 다량의 전자유입이 일어나기 쉬우며, 기판 표면이 플라즈마에 연속적으로 노출되는 시간의 길이에 의존한 차징 대미지가 발생하기 쉽다.
또한, 플라즈마 프로세스에 있어서는, 플라즈마 전위의 면내 불균일성 혹은 회로 패턴의 프로파일 등이 관계되어서 국소적으로 이온과 전자의 밸런스가 허물어지는 것에 기인해서 기판상의 절연막(예를 들면 게이트 산화막)에 차지 업이 발생하는 일이 있다. 차지 업이 발생한 절연막에는, 축적전하의 양에 비례한 전위구배 또는 전장이 걸린다. 이러한 차지 업의 상태가 누적 증대해 어느 임계값을 넘으면, 해당 개소에서 절연막이 손상되고, 혹은 파괴해버린다.
본 실시형태에서는, 게이트 전극으로의 유입 전하량이 임계값을 넘지 않도록, 혹은 차지 업에 의해 절연막에 축적하는 전하의 양이 임계값을 넘지 않도록, 플라즈마 생성 상태와 플라즈마 비생성 상태(플라즈마를 생성 하지 않는 상태)과가 소정주기로 교대로 반복되도록 한다. 즉, 연속한 플라즈마 생성의 시간을 유입 전하량 혹은 차지 업 전하량이 임계값을 넘지 않는 정도의 짧은 시간으로 하고, 그 후 플라즈마가 생성하지 않는 상태를 만들어내고, 그것을 단속적으로 반복하는 것이다. 플라즈마 생성 상태의 기간 동안에 웨이퍼(W)상의 임의의 개소에서 과분한 유입 전하 혹은 차지 업이 발생해도 플라즈마 비생성 상태의 기간 동안에 여분의 전하 또는 축적전하를 주위에 분산되게 해서 중화성을 회복시키므로, 유입전하 혹은 축적전하의 누적 증대를 저지하고, 절연막의 대미지를 효과적으로 방지 할 수 있다. 이것에 의해, 플라즈마 프로세스의 신뢰성을 크게 개선할 수 있다.
플라즈마 에칭중에 플라즈마 생성 상태와 플라즈마 비생성 상태를 교대로 반복하기 위해서, 본 실시형태에서는, 제 1 고주파가 플라즈마를 생성시키는 제 1의 진폭 또는 파고치를 가지는 (즉 실효적인 파워)을 가지는 제 1의 기간과, 제 1 고주파가 플라즈마를 생성시키지 않는 제 2의 진폭 또는 파고치(波高値)를 가지는 (즉 실효적인 파워를 가지지 않고 있는 )제 2의 기간이 소정의 주기로 교대로 반복되도록, 제어부(68)이 제 1 고주파 전원(32) 및 제 1 정합기(34)을 제어한다. 또 본 발명에서는, 제 2의 진폭이 제로인 것(즉 제 1 고주파를 인가하지 않는 것)을 포함한다.
보다 구체적으로는, 제 1 고주파 전원(32)으로부터 출력하는 제 1 고주파의 파워를 변조시켜서, 서셉터(12)에 인가한다. 파워 변조의 전형적인 예로서는, 도 2에 나타내는 것 같은 펄스 형상의 변조를 들 수 있다 .
도 2에 있어서는, 플라즈마 생성 상태가 기간 A이며, 플라즈마 비생성 상태가 기간 B이다. 플라즈마 생성 기간 A에서는 제 1 고주파를 전력환산으로 500W의 제 1의 진폭에서 고주파 전극(12)에 인가하고, 플라즈마 비생성 기간 B에서는 제 1 고주파를 전력환산으로 0W(제 2의 진폭)로 한다. 즉 제 1 고주파의 파워의 ON, OFF를 반복하고, 챔버(10) 내에서 이른바 펄스 플라즈마를 생성시킨다. 이 경우의 제 1 고주파의 파형은, 도 3과 같이 된다.
또, 플라즈마 비생성 상태의 제 2의 진폭을 0W라고 하지 않아도 좋다. 플라즈마가 실질적으로 생성하지 않는 파워 값이면, 이것에 한정되지 않는다. 또한, 플라즈마 생성시의 제 1의 진폭을 전력환산에서 500W라고 했지만, 이것에 한정되지 않는다. 프로세스 조건에도 의하지만, 전력환산에서 100W?2000W의 범위로 설정할 수 있다.
제 1의 진폭, 제 2의 진폭을 규정하는 것은 중요하지만, 게이트 전극으로의 유입 전하량 혹은 게이트 산화막상의 축적전하량이 임계값을 넘지 않도록, 플라즈마 생성 기간 A 및 플라즈마 비생성 기간 B의 지속 시간을 규정하는 것도 보다 중요하다. 도 4는, 도 2와 같이 제 1 고주파를 펄스 인가한(제 1의 진폭을 전력환산으로 500W, 제 2의 진폭을 전력환산으로 0W) 경우의, 플라즈마 생성 기간 A, 플라즈마 비생성 기간 B의 바람직한 범위를, 도시화한 것이다.
도 4에 의하면, 플라즈마 생성 기간 A는 2μsec 이상 100μsec 이하며, 플라즈마 비생성 기간 B는 2μsec 이상이면 좋다. 또, 도 4의 플라즈마 생성 기간 A는, 2μsec 이상 50μsec 이하쪽이 보다 바람직하다. 플라즈마 생성 기간 A가 100μsec보다 길면, 유입 전하량 혹은 축적전하량이 임계값을 넘고, 차징 대미지가 발생해버린다. 또한, 플라즈마 생성 기간 A가 2μsec보다 짧으면, 플라즈마가 생성해도 안정한 상태가 되지 않고, 플라즈마 처리의 효율이 저하한다. 한편,플라즈마 비생성 기간 B가 2μsec보다 짧으면, 플라즈마를 전부 소화(消火)할 수 없으므로, 플라즈마 생성 기간 A만이 연속적으로 계속되는 경우, 즉 플라즈마를 장시간에 걸쳐 연속적으로 생성하는 통상의 플라즈마 처리와 마찬가지인 상황이 되어, 차징 대미지가 발생하기 쉬워진다. 또, 플라즈마 비생성 기간 B을 길게 하여도, 에칭 결과에는 영향을 미치게 하지 않는다.
제 1 고주파를 펄스 형상으로 인가한 펄스 플라즈마는, 플라즈마 생성 기간 A와 플라즈마 비생성 기간 B가 소정주기로 반복되기 때문에, 플라즈마 생성 상태를 계속해서 유지해서 에칭을 실행하는 경우와 비교해서, 에칭 처리의 개시로부터 종료까지의 토탈 에칭 시간이 길어져 버린다. 에칭 효율 또는 스루풋을 높이기 위해서는, 펄스 플라즈마의 듀티비{(플라즈마 생성 기간 A)/(플라즈마 생성 기간 A + 플라즈마 비생성 기간 B)}을 크게 하는 것이 필요하다.따라서, 상기한 바와 같이 플라즈마 비생성 기간 B의 상한은, 에칭 결과로부터는 특히 규정되지 않는 것이지만, 에칭 효율을 높이기 위해서는, 짧은 편이 바람직하다.
도 2로부터 플라즈마 생성 기간 A의 최대는 100μsec, 플라즈마 비생성 기간 B의 최소는 2μsec이기 때문에, 가장 에칭 효율이 좋은 최대 듀티비는 약 98%이 된다. 한편, 최소 듀티비는, 에칭 효율을 고려해서 50%정도, 즉 플라즈마 생성 기간 A와 플라즈마 비생성 기간 B를 같은 시간으로 하는 것이 바람직하다.
또, 최대 듀티비 98%로 설정한다고 하는 것은, 바꿔 말하면, 프로세스의 개시로부터 종료까지 플라즈마 생성 상태를 계속해서 유지해서 에칭을 실행할 경우에 비하여, 에칭 시간은 약간 2%의 차이 일뿐이게 된다. 이와 같이, 통상의 플라즈마 처리와 거의 다르지 않는 에칭 효율(스루풋)으로, 차징 대미지를 확실하게 방지 할 수 있다.
또한, 파워 변조의 주파수, 즉 펄스 플라즈마의 주파수를 고려하면, 5?250kHz가 바람직하다. 이것은, 도 4의 예에 의하면, 플라즈마 생성 기간 A와 플라즈마 비생성 기간 B의 최소 시간은 2μsec이기 때문에, 가장 짧은 주기는 4μsec이 되고, 이에 따라 250kHz로 규정된다. 또한, 플라즈마 생성 기간 A와 플라즈마 비생성 기간 B의 최대 시간은 100μsec이기 때문에, 가장 긴 주기는 200μsec이 되고, 이에 따라 5kHz로 규정된다.
다음으로, 테스트 웨이퍼를 이용하여, 펄스 플라즈마의 차징 대미지 내성을 시험본 결과를 설명한다. 시험에는, 도 5에 나타내는 구조의 소자를 이용했다. 즉 、 Si 기판(74)상에, 두께 4nm의 게이트 산화막 상당 부분(76a) 및 두께 500nm의 소자분리 영역(76b)을 가지는 SiO2막(76)을 형성하고, 또한 그 위에 폴리 실리콘 막(78)을 형성하고, 이러한 소자를 웨이퍼 상에 매트릭스의 셀 형상으로 다수형성했다. 소자분리 영역(76b)의 면적 C를 게이트 산화막 상당 부분(76a)의 면적 D의 만배 또는 십만배로 통상의 소자보다도 크게 설정하고, 통상의 스트레스 시험와 같이 차징 대미지가 발생하기 쉬운 구조로 했다.
웨이퍼로서는 300mm 웨이퍼를 이용했다. 플라즈마 처리는 도 1에 나타내는 장치를 이용하여 행동, 챔버 내압력:20mTorr, 처리 가스:O2 가스, 유량:200sccm, 제 1 고주파의 주파수:100MHz, 고주파 전력:500W, 처리 시간:10초의 조건에서 플라즈마에 웨이퍼를 노출시켰다. 또, 제 2 고주파 전원(70)으로부터 출력되는 제 2 고주파는 사용(인가)하지 않고 있다. 이 때의 각 소자의 리크 전류를 측정하여, 리크 전류가 1×10-9Å/μm2 이상의 경우를 절연 파괴가 발생한 것으로 하고, 그것보다도 작은 값의 경우에는 절연 파괴가 없는 것으로 했다.
이 시험 결과를 도 6, 도 7에 나타낸다. 도 6은, 웨이퍼의 소자분리 영역(76b)의 면적 C가 게이트 산화막 상당 부분(76a)의 면적 D의 십만배의 경우이며, 도 7은 만배의 경우다. 도 6의 (a), 도 7의 (a)는 통상의 연속 플라즈마, 도 6의 (b), 도 7의 (b)는 펄스 플라즈마에서, 플라즈마 생성 기간 A가 40μsec, 듀티비가 20%, 변조 주파수(펄스 주파수)가 5kHz의 경우, 도 6의 (c), 도 7의 (c)는 마찬가지로 펄스 플라즈마에서, 플라즈마 생성 기간 A가 5μsec, 듀티비가 50%, 변조 주파수가 100kHz의 경우다. 흰색은 절연 파괴가 발생하지 않은 부분을 나타내고, 검은색은 절연 파괴가 발생한 부분을 나타내고 있다.
도 6에 도시하는 바와 같이 면적비(C/D)이 십만배의 경우에는, 통상의 연속 플라즈마를 이용했을 경우에는, 절연 파괴가 다수의 소자에서 발생해 절연 파괴가 없는 것은 11%에 불과했으나, 펄스 플라즈마를 이용했을 경우에는, 플라즈마 생성 기간 A가 40μsec에서 수율 99%, 플라즈마 생성 기간 A가 5μsec에서 수율 96%로, 차징 대미지가 격감했다. 또한, 도 7에 도시하는 바와 같이 면적비(C/D)이 만배의 경우에는, 통상의 연속 플라즈마를 이용했을 경우에는, 절연 파괴가 없는 것은 수율 87%에 대하여, 펄스 플라즈마를 이용했을 경우에는, 플라즈마 생성 기간A가 40μsec, 5μsec의 어느쪽의 경우에 있어서도 수율 100%이며, 웨이퍼면내의 어떠한 개소에서도 차징 대미지가 발생하지 않았다.
실시 형태에 의하면, 듀티비 50%에서도, 안테나비 100k까지 거의 100%의 수율을 얻을 수 있다 .
상기 실시 형태에 있어서, 차징 대미지의 발생을 방지할 수 있다는 효과에 대하여 설명하고 있지만, 플라즈마 생성 상태와 플라즈마 비생성 상태를 소정 주기로 교대로 반복시키므로, 플라즈마 처리속도(에칭레이트)의 균일성을 향상시킬 수 있다는 효과도 있다.
40MHz 이상의 높은 주파수의 고주파를 사용하고, 또한 플라즈마 생성 상태를 항상 유지하면서 에칭을 행하는 종래의 플라즈마 에칭장치에서는, 웨이퍼중심부의 에칭레이트가 높고, 웨이퍼주연부의 에칭레이트가 낮게 되는 경향이 일반적이어서, 문제로 되어 있다. 즉, 제 1 고주파전원(32)에 의해 출력되는 제 1 고주파의 파워로 생성되는 플라즈마 밀도분포가, 웨이퍼중심부에서 높고, 웨이퍼주연부에서 낮게 된다.
그러나, 플라즈마 생성 상태와 플라즈마 비생성상태를 소정 주기로 교대로 반복시키는 것에 의해, 웨이퍼중심부에서의 에칭레이트의 상승을 억제할 수 있고, 그 결과, 웨이퍼면내에 있어서의 에칭레이트를 균일하게 할 수 있다.
여기서, 펄스 플라즈마에 의한 에칭(펄스 에칭)과, 플라즈마 생성 상태를 계속해서 유지하는 종래의 에칭(종래 에칭)을 행하는 때의, 웨이퍼 각 개소에 있어서의 에칭레이트의 비교측정결과를 설명한다.
웨이퍼로서는 300mm 웨이퍼를 사용하고, 웨이퍼 표면의 유기막을 에칭하였다. 상세하게는, 플라즈마 처리는 도 1에 도시한 장치를 사용하여 행하고, 챔버내 압력: 10mTorr, 처리가스: N2/O2/CO, 제2 고주파전력: 200ㅉ, 처리시간: 30초의 조건에서, 플라즈마 에칭을 행하였다. 펄스 에칭에서는, 제 1 고주파의 플라즈마 생성 기간 A을 여기에서는 편의상 500 μsec로 하고, 플라즈마 생성 기간 A에 있어서의 제 1 고주파전력의 값을 1500W, 플라즈마 생성 기간 B에 있어서의 제 1 고주파전력의 값을 0W, 듀티비를 50%, 변조주파수(펄스 플라즈마)를 편의적으로 1kHz로 하였다. 한편, 종래 에칭에서는, 제 1 고주파전력의 값을 1500W로 하였다.
그 결과, 종래 에칭에서는 면내균일성이 13.8%였지만, 펄스 에칭에서는 면내균일성이 9.5%로 대폭 향상되었다. 이와 같이, 플라즈마 생성 상태와 플라즈마 비생성 상태를 소정 주기로 교대로 반복함으로써, 웨이퍼면내에 있어서의 에칭 레이트를 균일하게 할 수 있음이 실험적으로 증명되었다. 또한, 이 비교측정에서의 플라즈마 생성 기산 A(500μsec)와 변조주파수의 주파수(1kHz)는, 상기에서 설명한 차징 대미지의 방지에 최적인 플라즈마 생성 기간 A(2μsec 이상 100μsec이하, 바람직하게는 2μsec이상 50μsec이하)와 변조주파수의 주파수(5kHz~250kHz)와 다르다. 단, 차징 대미지 방지에 최적인 플라즈마 생성기간 A 및 변조주파수라면, 에칭 레이트의 면내균일성의 향상도 도모하는 것이 용이하게 예상된다.
또, 상기 실시 형태에서는, 펄스 플라즈마에 대해서 설명했지만, 펄스 플라즈마가 아니어도, 플라즈마 생성 상태로 실질적으로 플라즈마 비생성의 상태가 소정주기로 교대로 반복되는 것이면, 본 발명의 일형태인 것은 말할 필요도 없다.
또한, 상기 실시 형태의 플라즈마 처리 장치는, 주로 플라즈마 생성용의 제 1 고주파와 주로 이온 인입용의 제 2 고주파를 서셉터(12)에 인가하는 RF 하부 2주파인가형이었다. 그러나, 별도의 실시 형태로서, 도시 생략하지만, 하부 전극에 플라즈마 생성용의 고주파를 1개 인가하는 타입의 장치이어도 좋다. 또한, 도시 생략하지만, 플라즈마 생성용의 고주파를 상부 전극에 인가하는 타입의 장치이어도 좋다. 그 경우, 이온 인입용의 고주파를 하부 전극에 인가해도 좋다. 또한, 플라즈마 생성용의 고주파의 주파수범위는, 30MHz?300MHz가 바람직하다.
또한, 본 발명은, 플라즈마 CVD, 플라즈마 산화, 플라즈마 질화, 스퍼터링 등의 다른 플라즈마 처리 장치에도 적용 가능하다. 또한, 본 발명에 있어서의 피처리체는, 반도체 웨이퍼에 한하는 것은 아니고, 플랫 패널 디스프레이용의 각종 기판이나, 포토 마스크, CD 기판, 프린트 기판등도 가능하다.
10: 챔버(처리용기) 12: 서셉터(하부 전극)
28: 배기 장치 32: 제 1 고주파 전원
34: 제 1정합기 36: 급전 막대
38: 샤워 헤드(상부 전극) 62 처리 가스 공급부
68: 제어부 70: 제 2 고주파 전원
72: 제 2정합기

Claims (1)

  1. 진공배기 가능한 처리용기와,
    상기 처리용기 내에서 피처리체를 탑재하는 제 1 전극과,
    상기 처리용기 내에서 상기 제 1 전극과 평행으로 마주보는 제 2 전극과,
    상기 제 1 전극과 상기 제 2 전극 사이의 처리공간에 소망하는 처리 가스를 공급하는 처리 가스 공급부와,
    상기 제 1 전극 및 상기 제 2 전극 중 적어도 한쪽에, 상기 처리 가스의 플라즈마 생성에 기여하는 제 1 고주파를 인가하는 제 1 고주파급전부와,
    상기 제 1 고주파가 플라즈마를 생성시키는 제 1 진폭을 가지는 제 1 기간과, 상기 제 1 고주파가 플라즈마를 실질적으로 생성시키지 않는 제 2 진폭을 가지는 제 2 기간이 4μsec?200μsec의 주기로 교대로 반복되도록, 상기 제 1 고주파급전부를 제어하는 제어부를 가지는 것을 특징으로 하는 플라즈마 처리 장치.
KR1020120011804A 2007-08-17 2012-02-06 플라즈마 처리 장치 KR101434015B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2007-213170 2007-08-17
JP2007213170 2007-08-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020080079816A Division KR101181023B1 (ko) 2007-08-17 2008-08-14 플라즈마 처리 장치, 플라즈마 처리 방법 및 기억 매체

Publications (2)

Publication Number Publication Date
KR20120042775A true KR20120042775A (ko) 2012-05-03
KR101434015B1 KR101434015B1 (ko) 2014-08-25

Family

ID=40111040

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020080079816A KR101181023B1 (ko) 2007-08-17 2008-08-14 플라즈마 처리 장치, 플라즈마 처리 방법 및 기억 매체
KR1020120011804A KR101434015B1 (ko) 2007-08-17 2012-02-06 플라즈마 처리 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020080079816A KR101181023B1 (ko) 2007-08-17 2008-08-14 플라즈마 처리 장치, 플라즈마 처리 방법 및 기억 매체

Country Status (6)

Country Link
US (2) US20090047795A1 (ko)
EP (1) EP2026374B1 (ko)
JP (2) JP5514413B2 (ko)
KR (2) KR101181023B1 (ko)
CN (2) CN101370349A (ko)
TW (1) TWI460786B (ko)

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
PL2416629T3 (pl) 2009-08-07 2021-11-02 Kyosan Electric Mfg. Co., Ltd. Sposób sterowania impulsowego mocą o wysokiej częstotliwości oraz urządzenie w postaci zasilacza impulsowego o wysokiej częstotliwości
KR101123004B1 (ko) * 2009-09-18 2012-03-12 주성엔지니어링(주) 플라즈마 처리 장치
JP5558224B2 (ja) * 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
JP6018757B2 (ja) 2012-01-18 2016-11-02 東京エレクトロン株式会社 基板処理装置
JP6009171B2 (ja) 2012-02-14 2016-10-19 東京エレクトロン株式会社 基板処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6410592B2 (ja) * 2014-12-18 2018-10-24 東京エレクトロン株式会社 プラズマエッチング方法
US10672616B2 (en) * 2014-12-25 2020-06-02 Tokyo Electon Limited Plasma processing apparatus and plasma processing method
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JPWO2017018078A1 (ja) * 2015-07-24 2018-06-14 株式会社ユーテック スパッタリング装置及び絶縁膜の製造方法
JP6823230B2 (ja) * 2015-07-24 2021-02-03 アドバンストマテリアルテクノロジーズ株式会社 スパッタリング装置、膜の製造方法、SrRuO3−δ膜、強誘電体セラミックス及びその製造方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6498152B2 (ja) * 2015-12-18 2019-04-10 東京エレクトロン株式会社 エッチング方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6770868B2 (ja) * 2016-10-26 2020-10-21 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6697372B2 (ja) 2016-11-21 2020-05-20 キオクシア株式会社 ドライエッチング方法及び半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP2019073743A (ja) * 2017-10-12 2019-05-16 アドバンストマテリアルテクノロジーズ株式会社 成膜装置及び成膜方法
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111433887B (zh) * 2017-12-13 2023-09-29 应用材料公司 具有等离子体脉冲以防止电荷损坏的空间原子层沉积腔室
CN110004424B (zh) * 2018-01-05 2020-12-22 友威科技股份有限公司 连续式的镀膜装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7175239B2 (ja) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) * 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5947733A (ja) * 1982-09-13 1984-03-17 Hitachi Ltd プラズマプロセス方法および装置
JP2598274B2 (ja) * 1987-09-14 1997-04-09 三菱電機株式会社 プラズマ応用装置
JPH0793292B2 (ja) * 1988-03-07 1995-10-09 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
JP2851765B2 (ja) * 1992-03-31 1999-01-27 松下電器産業株式会社 プラズマ発生方法およびその装置
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
TW299559B (ko) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5618758A (en) * 1995-02-17 1997-04-08 Sharp Kabushiki Kaisha Method for forming a thin semiconductor film and a plasma CVD apparatus to be used in the method
JP3201576B2 (ja) * 1995-02-17 2001-08-20 シャープ株式会社 半導体薄膜の製造方法及びその製造方法を用いたプラズマcvd装置
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3700278B2 (ja) * 1996-08-23 2005-09-28 ソニー株式会社 デュアルゲート構造を有する半導体装置の製造方法
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP4013271B2 (ja) * 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
JP3629705B2 (ja) * 1997-06-06 2005-03-16 東京エレクトロン株式会社 プラズマ処理装置
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP2000269198A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
JP2000269196A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
JP3533105B2 (ja) * 1999-04-07 2004-05-31 Necエレクトロニクス株式会社 半導体装置の製造方法と製造装置
JP2001185542A (ja) 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
JP2001313284A (ja) * 2000-02-21 2001-11-09 Hitachi Ltd プラズマ処理方法および装置
JP2001244250A (ja) * 2000-03-01 2001-09-07 Hitachi Ltd 表面処理方法および装置
JP2001358129A (ja) * 2000-06-16 2001-12-26 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP4112821B2 (ja) * 2001-06-01 2008-07-02 松下電器産業株式会社 プラズマ処理方法およびプラズマ処理装置
US7199328B2 (en) * 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
JP3960792B2 (ja) * 2001-12-21 2007-08-15 シャープ株式会社 プラズマcvd装置、非晶質シリコン系薄膜の製造方法
US6700090B2 (en) * 2002-04-26 2004-03-02 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
JP3927464B2 (ja) 2002-04-26 2007-06-06 株式会社日立ハイテクノロジーズ プラズマ処理方法
US6872666B2 (en) * 2002-11-06 2005-03-29 Intel Corporation Method for making a dual damascene interconnect using a dual hard mask
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7179754B2 (en) 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100968571B1 (ko) * 2003-06-12 2010-07-08 삼성전자주식회사 플라즈마 챔버
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US20050224980A1 (en) * 2004-03-31 2005-10-13 Jihperng Leu Interconnect adapted for reduced electron scattering
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20050285269A1 (en) * 2004-06-29 2005-12-29 Yang Cao Substantially void free interconnect formation
CN100539000C (zh) * 2004-12-03 2009-09-09 东京毅力科创株式会社 电容耦合型等离子体处理装置
JP5323303B2 (ja) 2004-12-03 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
US7767055B2 (en) * 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
JP2006196034A (ja) * 2005-01-11 2006-07-27 Hitachi Global Storage Technologies Netherlands Bv 磁気ヘッドの製造方法
US7678529B2 (en) * 2005-11-21 2010-03-16 Shin-Etsu Chemical Co., Ltd. Silicon-containing film forming composition, silicon-containing film serving as etching mask, substrate processing intermediate, and substrate processing method
JP2007165512A (ja) * 2005-12-13 2007-06-28 Hitachi High-Technologies Corp プラズマ処理装置
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US7883632B2 (en) * 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
US7754615B2 (en) * 2006-07-31 2010-07-13 Tokyo Electron Limited Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法

Also Published As

Publication number Publication date
CN101370349A (zh) 2009-02-18
JP5836419B2 (ja) 2015-12-24
EP2026374B1 (en) 2017-04-05
EP2026374A2 (en) 2009-02-18
US20090047795A1 (en) 2009-02-19
KR20090018582A (ko) 2009-02-20
EP2026374A3 (en) 2010-08-25
JP2009071292A (ja) 2009-04-02
US8703002B2 (en) 2014-04-22
KR101181023B1 (ko) 2012-09-07
US20130122714A1 (en) 2013-05-16
CN104810272A (zh) 2015-07-29
JP5514413B2 (ja) 2014-06-04
TWI460786B (zh) 2014-11-11
TW200913056A (en) 2009-03-16
JP2014135512A (ja) 2014-07-24
KR101434015B1 (ko) 2014-08-25

Similar Documents

Publication Publication Date Title
KR101181023B1 (ko) 플라즈마 처리 장치, 플라즈마 처리 방법 및 기억 매체
US9659756B2 (en) Plasma etching apparatus and plasma cleaning method
JP5281309B2 (ja) プラズマエッチング装置及びプラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
JP6449674B2 (ja) プラズマ処理方法及びプラズマ処理装置
US8440050B2 (en) Plasma processing apparatus and method, and storage medium
TWI553729B (zh) Plasma processing method
JP5916056B2 (ja) プラズマ処理方法及びプラズマ処理装置
KR20190082721A (ko) 플라즈마 처리 장치
US20080236750A1 (en) Plasma processing apparatus
US20140141619A1 (en) Capacitively coupled plasma equipment with uniform plasma density
JP5348848B2 (ja) プラズマ処理装置
KR20150024277A (ko) 반도체 장치의 제조 방법
JP2016522539A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
TW202121933A (zh) 處理基板的方法與設備
WO2013151124A1 (ja) プラズマ処理装置
TW202249540A (zh) 脈衝dc電漿腔室中的電漿均勻性控制
US11984306B2 (en) Plasma chamber and chamber component cleaning methods
JP7412620B2 (ja) プラズマ処理方法及びプラズマ処理装置
CN111383898A (zh) 等离子体处理装置和控制方法
JPH11241189A (ja) 誘導結合放電エッチング装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 5