CN104810272A - 等离子体蚀刻方法 - Google Patents

等离子体蚀刻方法 Download PDF

Info

Publication number
CN104810272A
CN104810272A CN201510206545.2A CN201510206545A CN104810272A CN 104810272 A CN104810272 A CN 104810272A CN 201510206545 A CN201510206545 A CN 201510206545A CN 104810272 A CN104810272 A CN 104810272A
Authority
CN
China
Prior art keywords
plasma
electrode
high frequency
sec
container handling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201510206545.2A
Other languages
English (en)
Inventor
松土龙夫
桧森慎司
今井范章
大瀬刚
阿部淳
胜沼隆幸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN104810272A publication Critical patent/CN104810272A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供等离子体蚀刻方法,能够大幅改善充电损伤的发生,实现等离子体处理的稳定性和可靠性的提高。在能够真空排气的处理容器(10)内相对地平行配置上部电极(38)和下部电极(12),由第一高频电源(32)通过第一匹配器(34)向下部电极(12)施加第一高频。控制部(68)以使得用于生成等离子体的第一高频具有生成等离子体的第一振幅的第一期间、和具有实质上不生成等离子体的第二振幅的第二期间以规定的周期交替重复的方式控制第一高频电源(32)。

Description

等离子体蚀刻方法
本申请是2008年8月15日提出的申请号为200810135193.6的申请的分案申请。
技术领域
本发明涉及对被处理体实施等离子体处理的技术,特别涉及电容耦合型的等离子体处理装置、等离子体处理方法、和具有执行该等离子体处理方法的控制程序的存储介质。
背景技术
半导体设备、FPD(Flat Panel Display:平板显示器)的制造工艺中的蚀刻、堆积、氧化、溅射等处理中,为了对处理气体以比较低的温度进行良好的反应,多利用等离子体。一直以来,在单片式的等离子体处理装置、特别是等离子体蚀刻装置中,电容耦合型的等离子体处理装置是主流。
一般的电容耦合型等离子体处理装置是,在构成为真空腔室的处理容器内平行地配置上部电极和下部电极,在下部电极上载置被处理基板(半导体晶片、玻璃基板等),在两电极之间施加高频电压。利用通过该高频电压在两电极之间形成的电场对电子进行加速,通过电子与处理气体的碰撞电离而产生等离子体,通过等离子体中的自由基、离子对基板表面实施希望的微细加工,例如蚀刻加工。
然而,近年来,半导体等制造工艺中的设计规则越来越微细化,特别是等离子体蚀刻要求更高的尺寸精度,对于蚀刻中的掩膜、基底的选择比、面内均匀性也要求得更高。因此,要求腔室内的处理区域的低压力化、低离子能量化,从而使用40MHz以上的相比于现有技术频率大幅提高的高频。
但是,由于这样的低压力化和低离子能量化的发展,现今不成为问题的充电损伤的影响变得无法忽视。即,在离子能量高的现有的装置中,等离子体电位即使在面内不规则也不会产生很大问题,但如果更为低压且离子能量变低,则会产生等离子体电位的面内不均匀容易引起栅极氧化膜的充电损伤这样的问题。
另外,在使用40MHz以上的高频率的高频的等离子体工艺中,一般来说,具有晶片中心部的蚀刻速率较高、晶片周边部的蚀刻速率较低的倾向,从而产生问题。
关于该问题,专利文献1中公开了:在由施加在晶片上的高频偏压产生的高频电流的通路中,设置以使晶片的外周附近的电流通路部分朝向相对电极的晶片相对面的方式进行矫正的电流通路矫正机构,或者设置阻抗调整机构,使得从高频偏压看的直至地的阻抗在晶片面内大致均匀。由此,在施加高频偏压时产生的自偏压的晶片面内的均匀性提高,能够抑制宏观损伤。
但是,专利文献1中记载的技术存在以下问题:需要设置电流通路矫正机构、阻抗调整机构,装置结构复杂化;等离子体处理的面内均匀性并不充分等。
另外,在等离子体工艺中,因晶片面内的离子与电子的平衡破坏而导致的局部电场会诱发栅极氧化膜的充电而导致绝缘破坏,这种方式的充电损伤也很麻烦。例如,在等离子体蚀刻中,相对晶片的主面,离子垂直入射而电子从倾斜方向入射,因此容易随机地产生局部的电荷平衡破坏而诱发充电的位置。这样的充电损伤不仅依赖于自偏压的面内不均匀性,也依赖于蚀刻图案的形状等,且产生的位置不确定,不能够由专利文献1中记载的技术有效地消除。
专利文献1:日本特开2001-185542号公报
发明内容
本发明鉴于现有技术的问题点,目的在于提供等离子体处理装置、等离子体处理方法、和具有执行该等离子体处理方法的控制程序的存储介质,其能够有效地防止充电损伤的发生,实现等离子体处理的稳定性和可靠性的提高,并且能够实现等离子体处理的面内均匀性的提高。
为了达到上述目的,本发明的第一观点中的等离子体处理装置包括:能够真空排气的处理容器;在上述处理容器内载置被处理体的第一电极;在上述处理容器内与上述第一电极平行地相对的第二电极;向上述第一电极与上述第二电极之间的处理空间供给希望的处理气体的处理气体供给部;在上述第一电极和上述第二电极的至少一方上施加上述处理气体的等离子体生成用的第一高频的第一高频供电部;和以上述第一高频具有生成等离子体的第一振幅的第一期间、和上述第一高频具有实质上不生成等离子体的第二振幅的第二期间以规定的周期交替重复的方式控制上述第一高频供电部的控制部。
一般来说,等离子体工艺中的充电损伤(绝缘破坏)被从等离子体流入被处理体的或累积的电荷的量左右,当流入电荷量或累积电荷量超过某个阈值时,就会以指数函数产生绝缘膜的劣化乃至破坏。另外,绝缘膜的充电由于入射或流入被处理体的离子和电子的平衡破坏而发生,产生局部电场。在等离子体生成时,充电的电荷量和局部电场的强度与时间成比例地增大,当其超过某阈值时,会导致绝缘膜的损伤(损伤或破坏)。
在上述的装置结构中,即使在第一高频具有生成等离子体用的第一振幅的第一期间(阶段)中,在被处理体的任意位置的流入电荷量或累积电荷量过量,但因为在中断等离子体生成的第二期间(阶段)中,过量的电荷分散,恢复电荷平衡,所以能够有效地防止绝缘膜的损伤。由此,能够大幅改善等离子体工艺的可靠性。
根据本发明的第一观点中的等离子体处理装置的一种优选方式,上述第二振幅也可以为0。由此,能够在第二期间中使电荷平衡恢复的时间尽量地短,从而能够使等离子体处理时间缩短。另外,生成等离子体的第一期间,可以在每一周期为2μsec~100μsec,也可以进而优选为2μsec~50μsec。另外,中断等离子体生成的第二期间可以在每一周期为2μsec以上。
本发明的第二观点中的等离子体处理装置包括:能够真空排气的处理容器;在上述处理容器内载置被处理体的第一电极;在上述处理容器内与上述第一电极平行地相对的第二电极;向上述第一电极与上述第二电极之间的处理空间供给希望的处理气体的处理气体供给部;在上述第一电极和上述第二电极的至少一方上施加第一高频的第一高频供电部;和在上述被处理体的处理中,以在上述处理容器内生成上述处理气体的等离子体的状态和实质上不生成等离子体的状态以规定的周期交替重复的方式控制上述第一高频供电部的控制部。
上述装置结构中,在被处理体的处理中,处理气体的等离子体生成状态和等离子体非生成状态(不生成等离子体的状态)以规定周期交替重复,因此,与从等离子体处理的开始直到结束持续生成等离子体的通常的等离子体处理相比,等离子体连续生成的时间确实变短。因此,从等离子体一次流入被处理体的电荷的量、或电荷在被处理体的表面部累积的量减少,从而难以发生充电损伤,能够实现稳定的等离子体处理,提高等离子体工艺的可靠性。
根据本发明的第二观点中的等离子体处理装置的一种优选方式,上述等离子体生成状态的持续时间可以在每一周期为2μsec~100μsec,也可进而优选为2μsec~50μsec。另外,上述等离子体非生成状态的持续时间可以在每一周期为2μsec以上。
根据本发明的第一和第二观点中的等离子体处理装置的一种优选方式,上述第一高频的频率可以是30~300MHz。另外,上述第一高频供电部可以在上述第一电极上施加上述第一高频。另外,也可以包括向上述第一电极和上述第二电极的至少一方施加用于将上述等离子体中的离子引入上述被处理体的第二高频的第二高频供电部。进一步,上述第二高频供电部可以在上述第一电极上施加上述第二高频。
本发明的第三观点中的等离子体处理方法,使用等离子体处理装置,在处理空间中生成处理气体的等离子体,并对被处理体实施等离子体处理,该等离子体处理装置包括:能够真空排气的处理容器;在上述处理容器内载置上述被处理体的第一电极;在上述处理容器内与上述第一电极平行地相对的第二电极;向上述第一电极与上述第二电极之间的上述处理空间供给希望的上述处理气体的处理气体供给部;和在上述第一电极和上述第二电极的至少一方上施加上述处理气体的等离子体生成用的第一高频的第一高频供电部,该等离子体处理方法的特征在于,上述第一高频具有生成等离子体的第一振幅的第一期间、和上述第一高频具有实质上不生成等离子体的第二振幅的第二期间以规定的周期交替地被重复。
在上述方法中,用于等离子体生成的第一高频以规定周期交替地重复具有生成等离子体的第一振幅的第一期间(阶段)、和具有实质上不生成等离子体的第二振幅的第二期间(阶段),因此,与连续施加具有生成等离子体的振幅的高频的通常的等离子体处理相比,等离子体连续生成的时间缩短。因此,从等离子体一次流入被处理体的电荷的量、或电荷在被处理体的表面部上累积的量减少,从而难以发生充电损伤,能够实现稳定的等离子体处理,提高等离子体工艺的可靠性。
根据本发明的第三观点中的等离子体处理方法的一种优选方式,上述第二振幅也可以为0。由此,在第二期间中给予无等离子体的状态的时间,即恢复电荷平衡的时间,变得尽量的短,从而能够使等离子体处理时间缩短。另外,生成等离子体的第一期间可以在每一周期为2μsec~100μsec,也可以进而优选为2μsec~50μsec。另外,中断等离子体生成的第二期间可以在每一周期为2μsec以上。
本发明的第四观点中的等离子体处理方法,使用等离子体处理装置,在处理空间中生成处理气体的等离子体,并对被处理体实施等离子体处理,该等离子体处理装置包括:能够真空排气的处理容器;在上述处理容器内载置上述被处理体的第一电极;在上述处理容器内与上述第一电极平行地相对的第二电极;向上述第一电极与上述第二电极之间的上述处理空间供给希望的上述处理气体的处理气体供给部;和在上述第一电极和上述第二电极的至少一方上施加第一高频的第一高频供电部,该等离子体处理方法的特征在于,在上述被处理体的处理中,在上述处理容器内生成上述处理气体的等离子体的状态和实质上不生成等离子体的状态以规定的周期交替地被重复。
在上述方法中,在被处理体的处理中,处理气体的等离子体生成状态和等离子体非生成状态(不生成等离子体的状态)以规定周期交替重复,因此,与持续生成等离子体的通常的等离子体处理相比,连续生成等离子体的时间确实变短。因此,从等离子体一次流入被处理体的电荷的量、或电荷在被处理体的表面部累积的量减少,从而难以发生充电损伤,能够实现稳定的等离子体处理,提高等离子体工艺的可靠性。
根据本发明的第四观点中的等离子体处理方法的一种优选方式,上述等离子体生成状态的持续时间可以在每一周期为2μsec~100μsec,也可以进而优选为2μsec~50μsec。另外,上述等离子体非生成状态的持续时间可以在每一周期为2μsec以上。
根据本发明的第三和第四观点中的等离子体处理方法的一种优选方式,上述第一高频的频率可以是30~300MHz。另外,上述第一高频供电部可以向上述第一电极施加上述第一高频。另外,上述等离子体处理装置也可以包括向上述第一电极和上述第二电极的至少一方施加用于将上述等离子体中的离子引入上述被处理体的第二高频的第二高频供电部。进一步,上述第二高频供电部可以对上述第一电极施加上述第二高频。
另外,本发明的第五观点中的存储介质,存储有用于控制等离子体处理装置的在计算机上运行的控制程序,该等离子体处理装置包括:能够真空排气的处理容器;在上述处理容器内载置被处理体的第一电极;在上述处理容器内与上述第一电极平行地相对的第二电极;向上述第一电极与上述第二电极之间的处理空间供给希望的处理气体的处理气体供给部;和在上述第一电极和上述第二电极的至少一方上施加生成上述处理气体的等离子体用的第一高频的第一高频供电部,该存储介质的特征在于,上述控制程序以在执行时进行本发明的第三和第四观点中的等离子体处理方法的方式使计算机控制上述等离子体处理装置。
根据本发明的等离子体处理装置、等离子体处理方法、和具有执行该等离子体处理方法的控制程序的存储介质,通过上述结构和作用,能够有效地防止充电损伤的发生,实现等离子体工艺的稳定性和可靠性的提高,并且能够实现等离子体处理的面内均匀性的提高。
附图说明
图1是表示本发明的一实施方式的等离子体处理装置的结构的纵截面图。
图2是表示脉冲等离子体的时间-高频功率特性的图。
图3是表示图2中的脉冲等离子体的第一高频的波形的图。
图4是表示等离子体生成期间A、等离子体非生成期间B的最佳范围的图。
图5是表示对充电损伤耐性进行试验的元件结构的示意图。
图6是比较并表示现有技术(比较例)与本发明的充电损伤的发生频率的图。
图7是比较并表示现有技术(比较例)与本发明的充电损伤的发生频率的图。
符号说明
10  腔室(处理容器)
12  基座(下部电极)
28  排气装置
32  第一高频电源
34  第一匹配器
36  供电棒
38  喷淋头(上部电极)
62  处理气体供给部
68  控制部
70  第二高频电源
72  第二匹配器
具体实施方式
以下参照附图对本发明的优选实施方式进行说明。
图1表示本发明的一实施方式的等离子体处理装置的结构。该等离子体处理装置构成为RF下部双频施加方式的电容耦合型(平行平板型)等离子体蚀刻装置,具有例如由铝或不锈钢等金属制造的圆筒型腔室(处理容器)10。腔室10被保护接地。
在腔室10内,设置有载置作为被处理体(被处理基板)的例如半导体晶片W的圆板状的下部电极或基座12。该基座12,例如由铝构成,隔着绝缘性的筒状保持部14被支承在从腔室10的底部向垂直上方延伸的筒状支承部16上。筒状保持部14的上面配置有环状包围基座12的上面的例如由石英、硅构成的聚焦环18。
腔室10的侧壁与筒状支承部16之间形成有排气通路20,该排气通路20的入口或中间安装有环状的挡板22,并且在底部设置有排气口24。该排气口24通过排气管26与排气装置28连接。排气装置28具有真空泵,能够使腔室10内的处理空间减压到规定的真空度。腔室10的侧壁上安装有开关半导体晶片W的搬入搬出口的闸阀30。
等离子体生成用的第一高频电源32通过第一匹配器34和供电棒36与基座12电连接。该第一高频电源32在下部电极即基座12上施加规定的频率例如100MHz的第一高频。另外,腔室10的顶部设置有后述的喷淋头38,作为接地电位的上部电极。从而,来自第一高频电源32的第一高频被电容性地施加在基座12与喷淋头38之间。
另外,引入离子用的第二高频电源70通过第二匹配器72和供电棒36与基座12电连接。该第二高频电源70在基座12上施加规定的频率例如3.2MHz的第二高频。
基座12的上面设置有用于以静电吸附力保持半导体晶片W的静电卡盘40。该静电卡盘40在一对绝缘膜40b、40c之间夹持由导电膜构成的电极40a,直流电源42通过开关43与电极40a电连接。通过来自直流电源42的直流电压,能够用库仑力使半导体晶片W被吸附保持在卡盘上。
在基座12的内部,设置有例如在圆周方向延伸的致冷剂室44。由冷却单元46通过配管48、50向该致冷剂室44循环供给规定温度的致冷剂例如冷却水。利用致冷剂的温度,能够控制静电卡盘40上的半导体晶片W的处理温度。进一步,来自传热气体供给部52的传热气体例如He气,通过气体供给线54被供给至静电卡盘40的上面与半导体晶片W的背面之间。
顶部的喷淋头38包括具有多个气体通气孔56a的下面的电极板56,和能够装卸地支承该电极板56的电极支承体58。在电极支承体58的内部设置有缓冲室60,来自处理气体供给部62的气体供给配管64与该缓冲室60的气体导入口60a连接。
在腔室10的周围,配置有以环状或同心状延伸的磁铁66。在腔室10内,在喷淋头38与基座12之间的空间中,利用第一高频电源32形成铅直方向的RF电场。通过第一高频的放电,能够在基座12的表面附近生成高密度的等离子体。
控制部68控制该等离子体蚀刻装置内的各部,例如排气装置28、第一高频电源32、第一匹配器34、静电卡盘用的开关43、冷却单元46、传热气体供给部52、处理气体供给部62、第二高频电源70和第二匹配器72等的动作,与主计算机(未图示)等连接。
在该等离子体蚀刻装置中,为了进行蚀刻,首先使闸阀30为打开状态,将作为加工对象的半导体晶片W搬入腔室10内,并载置在静电卡盘40上。然后,通过处理气体供给部62将蚀刻气体(一般为混合气体)按规定的流量和流量比导入腔室10内,通过排气装置28使腔室10内的压力为设定值。进一步,通过第一高频电源32以规定的功率向基座12供给第一高频,同时从第二高频电源70也以规定的功率向基座12供给第二高频。另外,通过直流电源42向静电卡盘40的电极40a施加直流电压,将半导体晶片W固定在静电卡盘40上。由喷淋头38喷出的蚀刻气体在两电极12、38之间通过第一高频的放电而等离子体化,通过由该等离子体生成的自由基、离子对半导体晶片W的主面进行蚀刻。
该等离子体蚀刻装置中,从第一高频电源32对基座(下部电极)12施加比现有技术高的频域(30MHz以上)的第一高频,由此,能够使等离子体在优选的离解状态下高密度化,即使在更低压的条件下也能够形成高密度等离子体。
如果使等离子体密度提高,会发生低离子能量化,即半导体晶片W上的鞘电位变小(低偏压化),因为这样的低偏压化与现有相比更进一步,所以充电损伤(绝缘破坏)的影响变得无法忽视。充电损伤在从等离子体流入半导体晶片W(栅电极)的电荷量超过一定的阈值时发生。该流入电荷量与晶片W面内的鞘电位的相对差异相关联。
现有的使用较低的频率的等离子体蚀刻装置中,鞘电位较大,为数百伏特,所以即使等离子体中的电位(等离子体电位)发生面内不均匀,鞘电位的变化在晶片面内也相对较小,流入半导体晶片W的栅电极的电荷量不会超过阈值。
但是,如本实施方式所示的高密度等离子体中,鞘电位较小,为数十伏特左右,所以在等离子体电位发生面内不均匀时,鞘电位的变化相对较大,容易导致大量的电子向栅电极流入,容易产生依赖于基板表面连续暴露在等离子体中的时间的长度的充电损伤。
另外,在等离子体工艺中,与等离子体电位的面内不均匀性或电路图案的形状相关联,会由局部的离子和电子的平衡破坏而导致在基板上的绝缘膜(例如栅极氧化膜)上发生充电。发生了充电的绝缘膜上会产生与累积电荷的量成比例的电位梯度或电场。如果这种充电状态累积增大并超过一定阈值,则在该位置绝缘膜会被损伤或破坏。
本实施方式中,为了使向栅电极的流入电荷量不超过阈值,或使由于充电而累积在绝缘膜上的电荷的量不超过阈值,使等离子体生成状态和等离子体非生成状态(不生成等离子体的状态)以规定周期交替重复。即,将连续的等离子体生成的时间设为流入电荷量或充电电荷量不会超过阈值的程度的较短时间,之后成为不生成等离子体的状态,并使它们断续地重复。即使在等离子体生成状态的期间中,在晶片W上的任意的位置发生过量的电荷流入或充电,在等离子体非生成状态的期间中,也能够使多余的电荷或累积电荷向周围分散而使中和性恢复,因此,能够阻止流入电荷或累积电荷的累积增大,有效防止绝缘膜的损伤。从而能够大幅改善等离子体工艺的可靠性。
在等离子体蚀刻中,为了使等离子体生成状态和等离子体非生成状态交替重复,在本实施方式中,控制部68对第一高频电源32和第一匹配器34进行控制,使得第一高频具有生成等离子体的第一振幅或峰值(即有效的功率)的第一期间、和第一高频具有不生成等离子体的第二振幅或峰值(即不具有有效的功率)的第二期间以规定的周期交替重复。另外,本发明中,第二振幅包括0(即不施加第一高频)。
具体来说,对从第一高频电源32输出的第一高频的功率进行调制,并施加在基座12上。作为功率调制的典型的例子,能够举出图2所示的脉冲状的调制。
在图2中,等离子体生成状态为期间A,等离子体非生成状态为期间B。在等离子体生成期间A中,以电力换算为500W的第一振幅将第一高频施加在高频电极12上,在等离子体非生成期间B中,使第一高频为电力换算为0W的电力(第二振幅)。即,使第一高频的电力在ON、OFF之间反复,在腔室10内生成所谓的脉冲等离子体。该情况下的第一高频的波形如图3所示。
另外,等离子体非生成状态的第二振幅也可以不为0W。只要是实质上不生成等离子体的功率值,则并不限定于此。而且,使等离子体生成时的第一振幅是电力换算为500W的振幅,但并不限于此。虽然也会受到工艺条件的影响,但能够设定在电力换算为100W~2000W的范围内。
虽然规定第一振幅、第二振幅是很重要的,但以使向栅电极的流入电荷量或栅极氧化膜上的累积电荷量不超过阈值的方式规定等离子体生成期间A和等离子体非生成期间B的持续时间更为重要。图4用图形表示了在脉冲施加图2所示的第一高频(第一振幅的电力换算为500W,第二振幅的电力换算为0W)的情况下,等离子体生成期间A、等离子体非生成期间B的优选的范围。
根据图4,等离子体生成期间A是2μsec以上100μsec以下即可,等离子体非生成期间B是2μsec以上即可。另外,图4的等离子体生成期间A优选为2μsec以上50μsec以下。如果等离子体生成期间A比100μsec更长,则流入电荷量或累积电荷量超过阈值,产生充电损伤。此外,如果等离子体生成期间A比2μsec更短,即使生成了等离子体也不能够成为稳定的状态,等离子体处理的效率降低。另一方面,如果等离子体非生成期间B比2μsec更短,则等离子体无法完全消退,会成为仅连续进行等离子体生成期间A的情况,即与长时间连续生成等离子体的通常的等离子体处理相同的状况,容易产生充电损伤。另外,即使延长等离子体非生成期间B,对蚀刻结果也不会造成影响。
脉冲状地施加第一高频的脉冲等离子体,因为等离子体生成期间A和等离子体非生成期间B以规定周期交替重复,所以与维持等离子体生成状态而连续进行蚀刻的情况相比,从蚀刻处理的开始到结束的总蚀刻时间变长。为了提高蚀刻效率或生产量,需要增大脉冲等离子体的占空比(等离子体生成期间A/(等离子体生成期间A+等离子体非生成期间B))。由此,尽管如上所述,等离子体非生成期间B的上限依据蚀刻结果并没有特别规定,但为了提高蚀刻效率,优选其较短。
图2中等离子体生成期间A最大为100μsec,等离子体非生成期间B最小为2μsec,所以蚀刻效率最好的最大占空比约为98%。另一方面,考虑到蚀刻效率,最小占空比优选为50%左右,即等离子体生成期间A与等离子体非生成期间B为相同的时间。
而且,设定为最大占空比98%,换言之,与从处理的开始到结束维持等离子体生成状态而连续进行蚀刻的情况相比,蚀刻时间仅相差2%。如此,能够以与通常的等离子体处理相比大致不变的蚀刻效率(生产量)可靠地防止充电损伤。
另外,考虑功率调制的频率,即脉冲等离子体的频率,其优选为5~250kHz。关于这一点,根据图4的例子,因为等离子体生成期间A与等离子体非生成期间B的最小时间为2μsec,所以最短周期为4μsec,因此规定为250kHz。另外,因为等离子体生成期间A与等离子体非生成期间B的最大时间为100μsec,所以最长周期为200μsec,因此规定为5kHz。
接下来,对使用测试晶片,试验脉冲等离子体的充电损伤耐性的结果进行说明。试验中使用了图5所示的结构的元件。即,在Si基板(Si-sub)74上,形成SiO2膜76,其包括厚度为4nm的栅极氧化膜相当部分76a和厚度为500nm的元件分离区域76b,再在其上形成多晶硅膜78,在晶片上以矩阵的单元状形成多个这样的元件。元件分离区域76b的面积C设定为栅极氧化膜相当部分76a的面积D的1万倍或10万倍,比通常的元件大,使之成为与通常的应力试验(stress test)相同的容易发生充电损伤的结构。
作为晶片,使用300mm晶片。等离子体处理使用图1所示的装置进行,按以下条件将晶片暴露在等离子体中:腔室内压强:20mTorr;处理气体:O2气体;流量:200sccm;第一高频的频率:100MHz;高频电力:500W;处理时间:10秒。另外,不使用(施加)从第二高频电源70输出的第二高频。测定此时各元件的漏电流,设定漏电流在1×10-9A/μm2以上的情况为发生绝缘破坏的情况,设定比该值小的情况作为无绝缘破坏的情况。
该试验结果表示于图6、图7。图6为晶片的元件分离区域76b的面积C为栅极氧化膜相当部分76a的面积D的10万倍的情况,图7为1万倍的情况。图6(a)、图7(a)是通常的连续等离子体的情况,图6(b)、图7(b)是为脉冲等离子体,等离子体生成期间A为40μsec,占空比为20%,调制频率(脉冲频率)为5kHz的情况,图6(c)、图7(c)是同样为脉冲等离子体,等离子体生成期间A为5μsec,占空比为50%,调制频率为100kHz的情况。白色表示没有发生绝缘破坏的部分,黑色表示发生了绝缘破坏的部分。
如图6所示,面积比(C/D)为10万倍的情况下,使用通常的连续等离子体时,多数的元件上都发生绝缘破坏,没有绝缘破坏的元件仅有11%,但是使用脉冲等离子体时,等离子体生成期间A为40μsec时合格率为99%,等离子体生成期间A为5μsec时合格率为96%,充电损伤急剧减少。另外,如图7所示,面积比(C/D)为1万倍的情况下,使用通常的连续等离子体时,没有绝缘破坏的元件合格率为87%,与此相对,使用脉冲等离子体时,等离子体生成期间A为40μsec、5μsec的任一情况下,合格率都为100%,晶片面内的所有位置都没有发生充电损伤。
根据实施方式,即使占空比为50%,直到天线比(Antenna Ratio)为100k都可以获得大致100%的合格率。
在上述实施方式中,对能够防止充电损伤的发生的效果进行了说明,然而,通过使等离子体生成状态和等离子体非生成状态以规定周期交替重复,还具有能够使等离子体处理速度(蚀刻速率)的均匀性提高的效果。
在使用40MHz以上的高频率的高频、并且一直维持等离子体生成状态而进行蚀刻的现有的等离子体蚀刻装置中,一般来说具有晶片中心部的蚀刻速率较高而晶片周边部的蚀刻速率较低的倾向,从而产生问题。即,由从第一高频电源32输出的第一高频的电力产生的等离子体的密度分布,在晶片中心部较高,在晶片周边部较低。
但是,通过使等离子体生成状态和等离子体非生成状态以规定周期交替重复,能够抑制晶片中心部的蚀刻速率的上升,其结果,能够使晶片面内的蚀刻速率均匀。
此处,对在进行使用脉冲等离子体的蚀刻(脉冲蚀刻)、和维持等离子体生成状态的连续的现有的蚀刻(现有蚀刻)时,晶片各处的蚀刻速度的比较测定结果进行说明。
作为晶片,使用300mm晶片,对晶片表面的有机膜进行蚀刻。详细而言,使用图1所示的装置进行等离子体处理,在以下条件下进行等离子体蚀刻:腔室内压强:10mTorr;处理气体:N2/O2/CO;流量:120/60/100sccm;第一高频的频率:100MHz;第二高频的频率:3.2MHz;第二高频的电力:200W;处理时间:30秒。在脉冲蚀刻中,第一高频的等离子体生成期间A在此处适当地设为500μsec,等离子体生成期间A中的第一高频的高频电力的值为1500W,等离子体非生成期间B中的第一高频的高频电力的值0W,占空比为50%,调制频率(脉冲等离子体)适当地设为1kHz。另一方面,现有蚀刻中,第一高频的高频电力的值设为1500W。
其结果为,在现有蚀刻中面内均匀性为13.8%,而脉冲蚀刻中面内均匀性为9.5%,大幅提高。如此,由实验证明了,通过使等离子体生成状态和等离子体非生成状态以规定周期交替重复,能够使晶片面内的蚀刻速率变得均匀。另外,该比较测定中的等离子体生成期间A(500μsec)和调制频率的频率(1kHz),与上述说明的最适于防止充电损伤的等离子体生成期间A(2μsec以上100μsec以下,优选为2μsec以上50μsec以下)和调制频率的频率(5kHz~250kHz)不同。但是,很容易想到,如果是最适于防止充电损伤的等离子体生成期间A和调制频率,则也能够达到蚀刻速率的面内均匀性的提高。
另外,在上述实施方式中,对脉冲等离子体进行了说明,但是即使不是脉冲等离子体,只要等离子体生成状态和实质上的等离子体非生成状态以规定周期交替重复,就可以是本发明的一个方式。
另外,上述实施方式的等离子体处理装置是在基座12上施加主要用于生成等离子体的第一高频和主要用于引入离子的第二高频的RF下部双频施加型。但是,作为其他实施方式,也可是向下部电极施加一个用于生成等离子体的高频的类型的装置,省略图示。另外,也可以是向上部电极施加用于生成等离子体的高频的类型的装置,省略图示。在该情况下,也可以将用于引入离子的高频施加在下部电极上。另外,用于生成等离子体的高频的频率范围优选为30MHz~300MHz。
进一步,本发明也能够应用于等离子体CVD、等离子体氧化、等离子体氮化、溅射等其他等离子体处理装置。另外,本发明中的被处理体并不限于半导体晶片,也能够是用于平板显示器的各种基板、光掩模、CD基板、印刷基板等。

Claims (6)

1.一种等离子体蚀刻方法,其使用等离子体蚀刻装置,在处理空间中生成处理气体的等离子体对半导体基板实施蚀刻处理,该等离子体蚀刻装置包括:能够真空排气的处理容器;在所述处理容器内载置所述半导体基板的第一电极;在所述处理容器内与所述第一电极平行地相对并接地的第二电极;向所述第一电极与所述第二电极之间的所述处理空间供给包含O2气体的所述处理气体的处理气体供给部;和向所述第一电极施加具有100MHz以上的频率的第一高频的第一高频供电部,该等离子体蚀刻方法的特征在于,包括:
通过周期地重复第一期间和第二期间,对在栅极氧化膜上具有多晶硅膜的半导体基板进行蚀刻的步骤,
在所述第一期间中,来自所述第一高频供电部的高频电力以从所述处理气体生成等离子体的第一振幅被施加于所述第一电极,在所述第二期间中,所述高频电力以不生成等离子体的第二振幅被施加于所述第一电极,
所述第一期间的长度为2μsec~50μsec,所述第二期间的长度为2μsec以上,所述周期的长度为4μsec~200μsec。
2.一种等离子体蚀刻方法,其使用等离子体蚀刻装置,在处理空间中生成处理气体的等离子体对半导体基板实施蚀刻处理,该等离子体蚀刻装置包括:能够真空排气的处理容器;在所述处理容器内载置所述半导体基板的第一电极;在所述处理容器内与所述第一电极平行地相对并接地的第二电极;向所述第一电极与所述第二电极之间的所述处理空间供给包含O2气体的所述处理气体的处理气体供给部;向所述第一电极施加具有100MHz以上的频率的第一高频的第一高频供电部;和向所述第一电极施加具有适于离子引入的频率的第二高频的第二高频供电部,该等离子体蚀刻方法的特征在于,包括:
通过周期地重复第一期间和第二期间,对在栅极氧化膜上具有多晶硅膜的半导体基板进行蚀刻的步骤,
在所述第一期间中,来自所述第一高频供电部的高频电力以从所述处理气体生成等离子体的第一振幅被施加于所述第一电极,在所述第二期间中,所述高频电力以不生成等离子体的第二振幅被施加于所述第一电极,
所述第一期间的长度为2μsec~50μsec,所述第二期间的长度为2μsec以上,所述周期的长度为4μsec~200μsec。
3.一种等离子体蚀刻方法,其使用等离子体蚀刻装置,在处理空间中生成处理气体的等离子体对半导体基板实施蚀刻处理,该等离子体蚀刻装置包括:能够真空排气的处理容器;在所述处理容器内载置所述半导体基板的第一电极;在所述处理容器内与所述第一电极平行地相对并接地的第二电极;向所述第一电极与所述第二电极之间的所述处理空间供给包含O2气体的所述处理气体的处理气体供给部;和向所述第一电极施加具有30MHz以上的频率的第一高频的第一高频供电部,该等离子体蚀刻方法的特征在于,包括:
通过周期地重复第一期间和第二期间,对在栅极氧化膜上具有多晶硅膜的半导体基板进行蚀刻的步骤,
在所述第一期间中,在所述处理容器内从所述处理气体持续地生成等离子体,在所述第二期间中,不生成等离子体,
所述第一期间的长度为2μsec~50μsec,所述第二期间的长度为2μsec以上,所述周期的长度为4μsec~200μsec。
4.一种等离子体蚀刻方法,其使用等离子体蚀刻装置,在处理空间中生成处理气体的等离子体对半导体基板实施蚀刻处理,该等离子体蚀刻装置包括:能够真空排气的处理容器;在所述处理容器内载置所述半导体基板的第一电极;在所述处理容器内与所述第一电极平行地相对并接地的第二电极;向所述第一电极与所述第二电极之间的所述处理空间供给包含O2气体的所述处理气体的处理气体供给部;向所述第一电极施加具有100MHz以上的频率的第一高频的第一高频供电部;和向所述第一电极施加具有适于离子引入的频率的第二高频的第二高频供电部,该等离子体蚀刻方法的特征在于,包括:
通过周期地重复第一期间和第二期间,对在栅极氧化膜上具有多晶硅膜的半导体基板进行蚀刻的步骤,
在所述第一期间中,在所述处理容器内从所述处理气体持续地生成等离子体,在所述第二期间中,不生成等离子体,
所述第一期间的长度为2μsec~50μsec,所述第二期间的长度为2μsec以上,所述周期的长度为4μsec~200μsec。
5.一种等离子体蚀刻方法,其使用等离子体蚀刻装置,在处理空间中生成处理气体的等离子体对半导体基板实施蚀刻处理,该等离子体蚀刻装置包括:能够真空排气的处理容器;在所述处理容器内载置所述半导体基板的第一电极,该半导体基板具有作为被蚀刻膜的有机膜;在所述处理容器内与所述第一电极平行地相对并接地的第二电极;向所述第一电极与所述第二电极之间的所述处理空间供给包含N2气体、O2气体和CO气体的所述处理气体的处理气体供给部;向所述第一电极施加具有100MHz以上的频率的第一高频的第一高频供电部;和向所述第一电极施加具有适于离子引入的频率的第二高频的第二高频供电部,该等离子体蚀刻方法的特征在于:
第一期间和第二期间以4μsec~200μsec的周期交替地重复,
在该第一期间中被施加于所述第一电极的所述第一高频具有生成等离子体的第一振幅,并且该第一期间在每个周期为2μsec~50μsec,
在该第二期间中被施加于所述第一电极的所述第一高频具有实质上不生成等离子体的第二振幅,并且该第二期间在每个周期为2μsec以上。
6.一种等离子体蚀刻方法,其使用等离子体蚀刻装置,在处理空间中生成处理气体的等离子体对半导体基板实施蚀刻处理,该等离子体蚀刻装置包括:能够真空排气的处理容器;在所述处理容器内载置所述半导体基板的第一电极,该半导体基板具有作为被蚀刻膜的有机膜;在所述处理容器内与所述第一电极平行地相对并接地的第二电极;向所述第一电极与所述第二电极之间的所述处理空间供给包含N2气体、O2气体和CO气体的所述处理气体的处理气体供给部;向所述第一电极施加具有100MHz以上的频率的第一高频的第一高频供电部;和向所述第一电极施加具有适于离子引入的频率的第二高频的第二高频供电部,该等离子体蚀刻方法的特征在于:
在所述半导体基板的等离子体蚀刻期间,第一期间和第二期间以4μsec~200μsec的周期交替地重复,
在该第一期间中在所述处理容器内持续地生成所述处理气体的等离子体,该第一期间在每个周期为2μsec~50μsec,
在该第二期间中实质上不生成等离子体,该第二期间在每个周期为2μsec以上。
CN201510206545.2A 2007-08-17 2008-08-15 等离子体蚀刻方法 Pending CN104810272A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007-213170 2007-08-17
JP2007213170 2007-08-17
CNA2008101351936A CN101370349A (zh) 2007-08-17 2008-08-15 等离子体处理装置、等离子体处理方法和存储介质

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNA2008101351936A Division CN101370349A (zh) 2007-08-17 2008-08-15 等离子体处理装置、等离子体处理方法和存储介质

Publications (1)

Publication Number Publication Date
CN104810272A true CN104810272A (zh) 2015-07-29

Family

ID=40111040

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510206545.2A Pending CN104810272A (zh) 2007-08-17 2008-08-15 等离子体蚀刻方法
CNA2008101351936A Pending CN101370349A (zh) 2007-08-17 2008-08-15 等离子体处理装置、等离子体处理方法和存储介质

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNA2008101351936A Pending CN101370349A (zh) 2007-08-17 2008-08-15 等离子体处理装置、等离子体处理方法和存储介质

Country Status (6)

Country Link
US (2) US20090047795A1 (zh)
EP (1) EP2026374B1 (zh)
JP (2) JP5514413B2 (zh)
KR (2) KR101181023B1 (zh)
CN (2) CN104810272A (zh)
TW (1) TWI460786B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111837222A (zh) * 2018-06-22 2020-10-27 东京毅力科创株式会社 等离子体处理方法及等离子体处理装置

Families Citing this family (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2416629B1 (en) 2009-08-07 2021-04-21 Kyosan Electric Mfg. Co. Ltd Pulse-modulated high-frequency power control method and pulse-modulated high-frequency power source device
KR101123004B1 (ko) * 2009-09-18 2012-03-12 주성엔지니어링(주) 플라즈마 처리 장치
JP5558224B2 (ja) * 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
JP6018757B2 (ja) * 2012-01-18 2016-11-02 東京エレクトロン株式会社 基板処理装置
JP6009171B2 (ja) * 2012-02-14 2016-10-19 東京エレクトロン株式会社 基板処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6410592B2 (ja) * 2014-12-18 2018-10-24 東京エレクトロン株式会社 プラズマエッチング方法
US10672616B2 (en) * 2014-12-25 2020-06-02 Tokyo Electon Limited Plasma processing apparatus and plasma processing method
JP6449674B2 (ja) * 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6823230B2 (ja) * 2015-07-24 2021-02-03 アドバンストマテリアルテクノロジーズ株式会社 スパッタリング装置、膜の製造方法、SrRuO3−δ膜、強誘電体セラミックス及びその製造方法
JPWO2017018078A1 (ja) * 2015-07-24 2018-06-14 株式会社ユーテック スパッタリング装置及び絶縁膜の製造方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6498152B2 (ja) * 2015-12-18 2019-04-10 東京エレクトロン株式会社 エッチング方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6770868B2 (ja) * 2016-10-26 2020-10-21 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6697372B2 (ja) 2016-11-21 2020-05-20 キオクシア株式会社 ドライエッチング方法及び半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP2019073743A (ja) * 2017-10-12 2019-05-16 アドバンストマテリアルテクノロジーズ株式会社 成膜装置及び成膜方法
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10854428B2 (en) 2017-12-13 2020-12-01 Applied Materials, Inc. Spatial atomic layer deposition chamber with plasma pulsing to prevent charge damage
CN110004424B (zh) * 2018-01-05 2020-12-22 友威科技股份有限公司 连续式的镀膜装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP7175239B2 (ja) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050183822A1 (en) * 2002-04-26 2005-08-25 Tetsuo Ono Plasma processing method and plasma processing apparatus
CN1694228A (zh) * 2004-04-30 2005-11-09 应用材料有限公司 电浆腔室及在此电浆腔室中处理基底的方法
CN1783430A (zh) * 2004-12-03 2006-06-07 东京毅力科创株式会社 电容耦合型等离子体处理装置
CN1973364A (zh) * 2003-08-22 2007-05-30 拉姆研究有限公司 多频等离子体刻蚀反应器
US20070131354A1 (en) * 2005-12-13 2007-06-14 Kenetsu Yokogawa Plasma processing apparatus

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5947733A (ja) * 1982-09-13 1984-03-17 Hitachi Ltd プラズマプロセス方法および装置
JP2598274B2 (ja) * 1987-09-14 1997-04-09 三菱電機株式会社 プラズマ応用装置
JPH0793292B2 (ja) * 1988-03-07 1995-10-09 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
JP2851765B2 (ja) * 1992-03-31 1999-01-27 松下電器産業株式会社 プラズマ発生方法およびその装置
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
TW299559B (zh) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5618758A (en) * 1995-02-17 1997-04-08 Sharp Kabushiki Kaisha Method for forming a thin semiconductor film and a plasma CVD apparatus to be used in the method
JP3201576B2 (ja) * 1995-02-17 2001-08-20 シャープ株式会社 半導体薄膜の製造方法及びその製造方法を用いたプラズマcvd装置
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3700278B2 (ja) * 1996-08-23 2005-09-28 ソニー株式会社 デュアルゲート構造を有する半導体装置の製造方法
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP4013271B2 (ja) * 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
JP3629705B2 (ja) * 1997-06-06 2005-03-16 東京エレクトロン株式会社 プラズマ処理装置
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP2000269196A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
JP2000269198A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
JP3533105B2 (ja) * 1999-04-07 2004-05-31 Necエレクトロニクス株式会社 半導体装置の製造方法と製造装置
JP2001185542A (ja) 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
JP2001313284A (ja) * 2000-02-21 2001-11-09 Hitachi Ltd プラズマ処理方法および装置
JP2001244250A (ja) * 2000-03-01 2001-09-07 Hitachi Ltd 表面処理方法および装置
JP2001358129A (ja) * 2000-06-16 2001-12-26 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP4112821B2 (ja) * 2001-06-01 2008-07-02 松下電器産業株式会社 プラズマ処理方法およびプラズマ処理装置
CN100462475C (zh) * 2001-08-29 2009-02-18 东京电子株式会社 用于等离子处理的装置和方法
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
JP3960792B2 (ja) * 2001-12-21 2007-08-15 シャープ株式会社 プラズマcvd装置、非晶質シリコン系薄膜の製造方法
JP3927464B2 (ja) 2002-04-26 2007-06-06 株式会社日立ハイテクノロジーズ プラズマ処理方法
US6872666B2 (en) * 2002-11-06 2005-03-29 Intel Corporation Method for making a dual damascene interconnect using a dual hard mask
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100968571B1 (ko) * 2003-06-12 2010-07-08 삼성전자주식회사 플라즈마 챔버
US20050224980A1 (en) * 2004-03-31 2005-10-13 Jihperng Leu Interconnect adapted for reduced electron scattering
US20050285269A1 (en) * 2004-06-29 2005-12-29 Yang Cao Substantially void free interconnect formation
JP5323303B2 (ja) 2004-12-03 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
US7767055B2 (en) * 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
JP2006196034A (ja) * 2005-01-11 2006-07-27 Hitachi Global Storage Technologies Netherlands Bv 磁気ヘッドの製造方法
US7678529B2 (en) * 2005-11-21 2010-03-16 Shin-Etsu Chemical Co., Ltd. Silicon-containing film forming composition, silicon-containing film serving as etching mask, substrate processing intermediate, and substrate processing method
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US7883632B2 (en) * 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
US7754615B2 (en) * 2006-07-31 2010-07-13 Tokyo Electron Limited Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050183822A1 (en) * 2002-04-26 2005-08-25 Tetsuo Ono Plasma processing method and plasma processing apparatus
CN1973364A (zh) * 2003-08-22 2007-05-30 拉姆研究有限公司 多频等离子体刻蚀反应器
CN1694228A (zh) * 2004-04-30 2005-11-09 应用材料有限公司 电浆腔室及在此电浆腔室中处理基底的方法
CN1783430A (zh) * 2004-12-03 2006-06-07 东京毅力科创株式会社 电容耦合型等离子体处理装置
US20070131354A1 (en) * 2005-12-13 2007-06-14 Kenetsu Yokogawa Plasma processing apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111837222A (zh) * 2018-06-22 2020-10-27 东京毅力科创株式会社 等离子体处理方法及等离子体处理装置
CN111837222B (zh) * 2018-06-22 2024-04-09 东京毅力科创株式会社 等离子体处理方法及等离子体处理装置

Also Published As

Publication number Publication date
JP5514413B2 (ja) 2014-06-04
JP2009071292A (ja) 2009-04-02
EP2026374A2 (en) 2009-02-18
TW200913056A (en) 2009-03-16
TWI460786B (zh) 2014-11-11
JP2014135512A (ja) 2014-07-24
KR101434015B1 (ko) 2014-08-25
US20130122714A1 (en) 2013-05-16
JP5836419B2 (ja) 2015-12-24
US20090047795A1 (en) 2009-02-19
KR20120042775A (ko) 2012-05-03
EP2026374B1 (en) 2017-04-05
KR20090018582A (ko) 2009-02-20
KR101181023B1 (ko) 2012-09-07
EP2026374A3 (en) 2010-08-25
CN101370349A (zh) 2009-02-18
US8703002B2 (en) 2014-04-22

Similar Documents

Publication Publication Date Title
CN104810272A (zh) 等离子体蚀刻方法
KR102098698B1 (ko) 플라즈마 처리 장치
US11848176B2 (en) Plasma processing using pulsed-voltage and radio-frequency power
CN101572208B (zh) 等离子体处理方法和等离子体处理装置
US9053908B2 (en) Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
TWI552223B (zh) 電漿處理裝置
KR100807131B1 (ko) 단일 주파수 rf전력을 이용하여 웨이퍼를 처리하는 플라즈마 처리시스템, 웨이퍼를 식각하기 위한 플라즈마 처리장치, 및 단일 주파수 rf전력을 이용하여 플라즈마 처리챔버에서 웨이퍼를 처리하는 방법
US20070068624A1 (en) Apparatus to treat a substrate and method thereof
KR20160102892A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
CN101094557A (zh) 使用双重阴极频率混合的等离子体控制
TW200845183A (en) Plasma processing apparatus of substrate and plasma processing method thereof
CN106504969A (zh) 聚焦环和基板处理装置
JP7366188B2 (ja) 電源システム
KR20220056869A (ko) 기판을 프로세싱하기 위한 방법들 및 장치
JP2015012249A (ja) プラズマエッチング方法及びプラズマエッチング装置
US10535505B2 (en) Plasma light up suppression
CN105719930B (zh) 等离子体蚀刻方法
JP4773096B2 (ja) 基板帯電ダメージを抑制するための方法
US20230086580A1 (en) Etching method and plasma processing apparatus
CN111383898A (zh) 等离子体处理装置和控制方法
TW202036644A (zh) 電漿蝕刻方法及裝置
JPH09330915A (ja) 表面処理装置
JP2002235173A (ja) プラズマcvd装置及び堆積膜クリーニング方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20150729