JP5206668B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP5206668B2
JP5206668B2 JP2009507327A JP2009507327A JP5206668B2 JP 5206668 B2 JP5206668 B2 JP 5206668B2 JP 2009507327 A JP2009507327 A JP 2009507327A JP 2009507327 A JP2009507327 A JP 2009507327A JP 5206668 B2 JP5206668 B2 JP 5206668B2
Authority
JP
Japan
Prior art keywords
sidewall spacer
sidewall
semiconductor device
region
width
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009507327A
Other languages
English (en)
Other versions
JPWO2008120335A1 (ja
Inventor
俊彦 宮下
圭司 池田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Publication of JPWO2008120335A1 publication Critical patent/JPWO2008120335A1/ja
Application granted granted Critical
Publication of JP5206668B2 publication Critical patent/JP5206668B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66659Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Junction Field-Effect Transistors (AREA)

Description

本発明は、半導体装置とその製造方法に関し、特に、歪シリコン技術を適用したMOS型半導体装置において、微細化とトランジスタの特性維持を両立することのできる非対称サイドウォールスペーサ構造とその製造方法に関する。
シリコン(Si)を用いたCMOSデバイス技術の発展は、今日のエレクトロニクス産業を支えてきており、今なお、更なるパフォーマンス向上のため、これまでを上回るペースで微細化が進められている。テクノロジノードで表されるSi CMOSデバイスの世代は、現在、65nmノードの量産が開始され、開発段階においては、その中心を45nmノードへ移ってきている。そして、さらにその次の世代である32nmノードの開発も始まっている。このように世代の進行、すなわち微細化の進行につれて、MOSFETのゲート長は、その世代を表すハーフピッチより更に小さなサイズである35nm(65nmノード)、25nm(45nmノード)と縮小され、MOSFET動作の物理的限界へと急速に近づきつつある。
このように微細化が進んで行くと、もはや単純なるゲート長を含めたデバイスディメンジョンのスケーリングだけでは、CMOSデバイス特性、ひいては回路特性は向上せず、逆に劣化してしまう。
図1にゲート長スケーリングに伴う回路特性の変化を示す。オフ電流Ioffの増加を考慮しない理論上の単純スケーリングでは、ゲート長が微細化すると電流密度Ionが増加し、遅延時間が減少、すなわち回路スピードが向上するはずである。しかし、Ioff一定のスケーリングにおいては、図1のグラフに示すように、ゲート長が40nm以下の領域で、逆に遅延時間が増大することがわかる。これは、MOSFETの全抵抗に対する寄生抵抗の占める割合が大きくなり、チャネル抵抗と同程度となることに起因すると考えられる。すなわち、寄生抵抗の影響が無視できない領域へ入ってきていることを意味する。しかし、このような特性劣化を容認しつつも、チップサイズの縮小等の要求から、世代とともに継続的にデバイスサイズを縮小していくことは必要不可欠である。
上述した背景から、ゲート長スケーリング時に、微細化とは異なるトランジスタ特性向上技術として、「テクノロジブースター」と呼ばれる技術が導入され始めてきている。テクノロジブースター中でも、最も有望な技術として開発が進められているのが、歪シリコン技術である。CMOSトランジスタのチャネル領域に歪を印加することにより、キャリアの移動度を向上させて、トランジスタ特性を向上させる技術である。チャネル領域への歪印加方法としては、トランジスタ形成後にストレス膜を被せたり、ソース・ドレイン領域にシリコンと格子定数の異なる物質を埋め込んだり、ゲートの堆積膨張を利用してチャネルを押し込んだりする方法があり、実際に製品へと適用され始めている。
現在においては、歪シリコン技術は低コストでの特性改善技術として必要不可欠なものになりつつある。そして、更なるCMOSトランジスタの特性改善のために、チャネル歪をこれまで以上に高めていくことが要請されている。
現在、広く用いられているコンタクトエッチングストップレイヤ(CESL)によるプロセス誘起一軸歪技術においては、チャネル歪をより向上させるために、サイドウォール(SW)幅を含めたゲートのアスペクト比を向上させることが効果的である。アスペクト比を大きくするためには、ゲート高を大きくするか、SW幅を小さくする必要がある。
図2(a)は、SW幅を一定としたときのCESLから印加されるチャネルストレスのポリゲート高さ依存性を示すグラフ、図2(b)は、ポリゲート高を一定としたときのSW幅依存性を示している。グラフ中、白丸はチャネル長方向(適宜、単に「チャネル方向」という)のストレス、三角はこれと直交するチャネル幅方向のストレスである。図2(a)および図2(b)から、ポリゲートの高さが高くなるほど、また、SW幅が小さくなるほど、特にチャネル方向のストレスが向上しており、効率的にチャネルに歪が印加されることがわかる。換言すると、SW幅まで含めたゲートのアスペクト比を大きくすることで、効率的にチャネル部にストレスをかけることができる。
このような理由から、ゲートのアスペクト比を上げるために、SWをシュリンクする(究極的には省略する)技術が開発されてきている。しかし、SWは、深いSD不純物注入時のマスクとして働き、短チャネル効果を抑制する機能を有する。したがって、単純にSW幅を小さくしただけでは、短チャネル耐性が劣化して30nm以下のゲート長での動作は厳しくなる。SD注入後にSWシュリンクする方法も考えられるが、注入層へのダメージ等が懸念される。
なお、歪Si技術とは無関係のトランジスタの非対称SW構成の例として、所望のゲート電極に隣接してダミーゲート電極を配置し、ダミーゲート電極との間の距離を制御することによって、SW幅が非対称のトランジスタを作製する方法が知られている(たとえば、特許文献1参照)。この方法は、ソース側のSW幅を小さくすることによって、ソース側の低濃度不純物拡散領域の幅を小さくして、寄生抵抗による電流低下を防止し、またドレイン側の低濃度不純物拡散領域での電界を小さくして、ホットキャリア耐性を向上している。
また、ゲート電極のドレイン側のSWのみを二重構造にするオフセットスペーサ構造や(たとえば、特許文献2参照)、ゲート電極のチャネル方向の断面形状をヨットの帆のような非対称な形状とすることで、ドレイン側のSWを厚く形成する非対称SW構造(たとえば、特許文献3参照)も知られている。これらの構造により短チャネル効果を抑制する。
特開2002−190589号公報 特開2005−268620号公報 特開平8−153877号公報
上記文献は、いずれも歪Si技術とは無関係であり、チャネルに印加するストレスとの関係でSWの非対称性が論じられていない。
そこで、本発明は45nmノード以降の世代における歪Si技術、特にコンタクトエッチストップレイヤ(CESL)からの歪を効率的にチャネルに印加して、スケーリングが進んだ微細CMOSデバイスにおいても、トランジスタ特性を改善するためのデバイス構造と、その作製プロセスを提供することを課題とする。
CMOSデバイスのスケーリングを進めていく上で、ストレス技術の導入は必須となってくるが、ゲート長が30nmを切るような領域では、チャネルを走行するキャリアがソースからドレインに到達する間に一度も散乱を受けないバリスティック輸送が支配的になってくる。バリスティック伝導においては、もはやチャネル歪による移動度向上技術は、チャネルを走行中のキャリアに対しては意味を持たず、ソースエッジにおけるキャリアの熱注入速度によって決められる。
発明者らは、微細化された半導体装置、特にゲート長が30nm以下のバリスティック伝導領域のデバイスの特性を向上するには、チャネル歪をソース端に集中して印加することが重要であることを見出した。
具体的には、本発明の第1の側面では、半導体装置は、半導体基板上のゲート電極と、前記ゲート電極下方の半導体基板領域に設けられるチャネル領域と、前記チャネル領域に応力を与える歪生成層と、を有し、前記チャネル領域のソース端に印加される歪みの絶対値が、ドレイン端に印加される歪みの絶対値よりも大きい。
良好な構成例では、前記ゲート電極の側壁に形成されるサイドウォールスペーサ、をさらに有し、前記サイドウォールスペーサは、前記ゲート電極のソース側に形成されるサイドウウォール幅が、前記ゲート電極のドレイン側に形成されるサイドウォール幅よりも小さい。
前記歪生成層は、たとえば、ゲート電極の上方に位置するコンタクトエッチングストップレイヤである。あるいは、前記歪生成層は、半導体基板のソース・ドレイン領域に埋め込まれる化合物半導体層であってもよい。
本発明の第2の側面では、半導体装置の製造方法を提供する。この方法は、
(a)半導体基板上にゲート電極を形成し、
(b)前記ゲート電極の両側にサイドウォールスペーサを形成し、
(c)前記サイドウォールスペーサのうち、一方の側のサイドウォールに、不純物を注入して、前記他方の側のサイドウォールとの間で、エッチングレートを異ならせ、
(d)前記不純物注入後のサイドウォールスペーサを、エッチングする、
工程を含む。
好ましい製造例では、前記サイドウォールに対する不純物の注入を、前記ゲート電極に対して所定の(たとえば30〜50度)のチルト角で一方向から行なう。
また、サイドウォール形成後に、前記ゲート電極直下の前記半導体基板領域に応力を与える歪生成層を形成する工程をさらに含むのが望ましい。
上述した構成および方法により、ゲート長30nm以下のハイパフォーマンスロジックデバイスにおいて、チャネル歪により効率的に特性向上を図り、かつ、短チャネル効果を抑えて、30nm以下でもスイッチング動作が可能となる。
ゲート長スケーリングに伴う回路特性の劣化を示すグラフである。 ゲートアスペクト比を増加することによるチャネル方向のストレス向上を示すグラフである。 本発明の一実施形態の半導体装置の構造を示す概略断面図である。 圧縮応力を与えるCESLを有するPMOSFETチャネル領域にかかるストレス分布を示すシミュレーション結果である。 ソース端に歪を印加する効果を説明するための図である。 本発明の実施形態にかかる半導体装置の変形例である。 本発明の実施形態にかかる半導体装置の別の変形例である。 本発明の実施形態にかかる半導体装置のさらに別の変形例である。 本発明の一実施形態の半導体装置の製造工程図である。 本発明の一実施形態の半導体装置の製造工程図である。 本発明の一実施形態の半導体装置の製造工程図である。 本発明の一実施形態の半導体装置の製造工程図である。 本発明の一実施形態の半導体装置の製造工程図である。 本発明の一実施形態の半導体装置の製造工程図である。 本発明の一実施形態の半導体装置の製造工程図である。 本発明の一実施形態の半導体装置の製造工程図である。 本発明の別の実施形態の半導体装置の製造工程図である。 本発明の別の実施形態の半導体装置の製造工程図である。 本発明の別の実施形態の半導体装置の製造工程図である。 本発明の別の実施形態の半導体装置の製造工程図である。 本発明の別の実施形態の半導体装置の製造工程図である。 本発明の別の実施形態の半導体装置の製造工程図である。 本発明の別の実施形態の半導体装置の製造工程図である。 本発明の別の実施形態の半導体装置の製造工程図である。
符号の説明
10,10A,10B,10C 半導体装置
11 半導体基板
12 ゲート絶縁膜
13 ゲート電極
14 ソース・ドレイン
17、27 サイドウォールスペーサ
17S、27S ソース側サイドウォール
17D、27D ドレイン側サイドウォール
21 コンタクトエッチングストップレイヤ(歪生成層)
24 歪SiGeソース・ドレイン(歪生成層)
34 歪SiCソース・ドレイン(歪生成層)
CH チャネル領域
A チャネル領域ソース端
以下、図面を参照して、本発明の良好な実施の形態について説明する。図3は、本発明の一実施形態の半導体装置の構成例を示す概略断面図である。図3の例では、半導体基板11上に、NMOSFETとPMOSFETで構成されるCMOSデバイスが配置されている。
各MOSFETは、半導体基板11上に、ゲート絶縁膜12を介して形成されるゲート電極13と、半導体基板11のゲート直下の領域に延びるチャネル領域(CH)と、チャネル領域の両側に広がるソース・ドレイン領域14を有する。ゲート電極13の両側にはサイドウォールスペーサ17が設けられており、ソース側のサイドウォール(SW)17Sの幅W1は、ドレイン側のサイドウォール(SW)17Dの幅W2よりも狭い。
PMOSFETとNMOSFETは、保護膜29を介して、コンタクトエッチングストップレイヤ(CESL)21で覆われている。CESL21は、エッチングストッパとして機能すると同時に、歪生成層としても機能する。NMOSFET上のCESL21tは、NMOSFETのチャネル領域(CH)に、チャネル長方向の引っ張り歪みと、深さ方向の圧縮歪みを印加する。この意味で、「引っ張りCESL」と称する。一方、PMOSFET上のCESL21cは、PMOSFETのチャネル領域に、チャネル長方向の圧縮歪みと、深さ方向の引っ張り歪を印加する。この意味で、「圧縮CESL」と称する。
上述のように、図3のCMOSFETでは、ゲート側壁に位置するサイドウォール17の幅が、ソース側においてドレイン側より小さくなっている。一般に、ゲート長がシュリンクされるにつれ、ドレイン領域14dからの空乏層の伸び、特にdeep-drainからの電界の影響により、ゲートのチャネル電界に対する寄与が小さくなり、閾値電圧が低下する短チャネル効果が問題となる。この短チャネル効果をできる限り抑制するためには、ドレイン14d側の深い拡散領域をなるべくチャネル端から離すこと、すなわちSW幅Wを大きくすることが有効である。
しかし、一方で、図3のように、プロセス誘起の一軸性歪技術を用いる場合は、特にSW端で大きな歪(またはストレス)を得ることができるので、歪による移動度改善による特性向上のためには、SW幅をできるだけ小さくすることが望ましい。
図4は、圧縮応力を生成するCESL21に覆われたPMOSFETのチャネル領域のストレス分布を2次元シミュレーションにより計算した結果を示すグラフである。矢印で示すように、チャネル方向(X方向)のストレスがSW端で大きくなり、チャネル中央に向かうにつれて減衰していっている様子が分かる。
一方、微細化によりゲート長が短くなり、ソースから注入されたキャリアがチャネル中で一度も散乱されることなくドレインまで到達するようなバリスティックキャリア輸送領域に入ってきた場合、ソースポテンシャルを越える完全拡散性(diffusive)なキャリア注入速度が、キャリア輸送におけるボトルネックとなり、これを上げることがデバイス特性の改善にとって重要となる。
図5は、この様子を模式的に表現した図である。ソース領域からポテンシャルバリアを越えてチャネル領域にキャリアが注入される注入速度Vinjを大きくしてやれば、デバイス特性は改善され得る。これはつまり、歪をチャネル全体に均一に印加する必要はなく、ソース端(図3でサークルAの領域)で効率的に印加されればよいことを意味する。
このことから、図3の楕円で示すように、ドレイン側の深い拡散層領域14dからの空乏層の伸び(DIBL)を抑えるべく、ドレイン側のサイドウォール17Dの幅W2を大きくし、一方で、ソース端Aの歪を高めるべく、ソース側のサイドウォール17Sの幅W1を小さくする。このような歪Siの下での非対称SW構造は、45nmノード以降の理想的なCMOSFET構造ということができる。
図6は、図3の半導体装置10の変形例を示す図である。半導体装置10Aは、PMOSFETの特性をさらに改善するために、ソース・ドレイン(SD)領域に歪生成層であるSiGeを埋め込んだembedded-SiGe構造を採用する。SiGe−SD層24は、Pチャネル領域に1軸性の圧縮応力を加えて、歪を与える。SiGe−SD層24と、圧縮SESL層21cを併せて用いることにより、PMOSFETでのキャリアの移動度がさらに向上する。この場合も、NMOSFETとPMOSFETの双方で、ソース側サイドウォール17Sの幅W1を、ドレイン側サイドウォール17Dの幅W2よりも小さくすることで、チャネル領域のソース端Aにおいて、より効果的に歪が印加されるようにする。
図7は、図3の半導体装置10の別の変形例を示す図である。半導体装置10Bは、NMOSFETの特性をさらに改善するために、NMOSFETのソース・ドレイン(SD)領域に歪生成層であるSiCを埋め込んだembedded-SiC構造を採用する。SiC−SD層34は、Nチャネル領域に引っ張り応力を与える。SiC−SD層34と、引張CESL21tを併用することにより、NMOSFETの特性を、さらに改善することができる。そして、NMOSFETとPMOSFETの双方で、ソース側サイドウォール17Sの幅W1を、ドレイン側サイドウォール17Dの幅W2よりも小さくすることで、チャネル領域のソース端Aにおいて、より効果的に歪を印加する。
図8は、図3の半導体装置10の別の変形例を示す図である。半導体装置10Cは、NMOSFETとPMOSFETの双方の特性をさらに改善するために、NMOSFETのソース・ドレイン(SD)領域に引っ張り応力を与えるSiCを埋め込んでSiC−SD層34とする一方で、PMOSFETのソース・ドレイン(SD)領域に圧縮応力を与えるSiGeを埋め込んでSiGe−SD層24とする。NMOSFETとPMOSFETの双方で、ソース側サイドウォール17Sの幅W1を、ドレイン側サイドウォール17Dの幅W2よりも小さくすることで、チャネル領域のソース端Aで、効果的に歪を印加する。
図9A〜図9Hに、図6の半導体装置10Aの製造プロセスの一例を示す。まず、図9Aに示すように、シリコン基板11の所定の箇所にSTI等の素子分離領域15を形成し、シリコン基板11の所定の領域に所定の導電型のウェル(不図示)を形成し、チャネル不純物を導入した後(不図示)、表面を清浄化してゲート絶縁材料膜とポリシリコン膜を堆積する。たとえば、超高解像技術を用いたエキシマレーザリソグラフィ法とRIEにより、ゲート絶縁膜12上に線幅18nm〜30nmのゲート電極13を形成する。ゲート電極13をマスクとして、各MOSFETのソース・ドレイン拡張領域(SD extension)16を形成する。
NMOSのソース・ドレイン拡張領域16nは、たとえばAs+,2keV,1E15cm-2のイオン注入と、B+,10keV,1E13cm-2,チルト角30度4方向のポケット不純物注入により形成する。PMOSのソース・ドレイン拡張領域16pは、たとえば、B+,0.5keV,1E15cm-2のイオン注入と、As+,40keV,5E12cm-2,チルト角30度4方向のポケット不純物注入により形成する。
次に、図9Bに示すように、成膜温度600℃以下のCVD法により、SiO2膜17aを10nm程度、引き続きSiN膜17bを50nm程度堆積した後、RIEにより全面エッチバックし、ゲート電極13の両側にサイドウォール17を残す。この段階でのサイドウォール17の幅は、深いSD注入により短チャネル効果に影響が出ないように考慮する。
次に、図9Cに示すように、回路内で一方向に統一されたゲートに対して、ソース側から、シリコン窒化膜サイドウォール(SiN SW)17bのウェットエッチングレートを早くするようなイオンを一方向注入する。この例では、チルト角30〜60度でP+を3keV、5E14cm-2で注入する。
さらに、図9Dに示すように、ドレイン側から、シリコン窒化膜サイドウォール18bのウェットエッチングレートを遅くするようなイオンを一方向注入する。この例では、チルド角30〜60度でB+を1keV、5E14cm-2の条件で注入する。
図9Cおよび図9Dのイオン注入は、ゲートに対して30度以上の高角度で傾けて一方向注入を行うため、選択的に片側のサイドウォール17に不純物が注入される。また、注入エネルギーや注入ドーズは、MOSFETの短チャネル効果に影響せず、かつ、十分にサイドウォール窒化膜17bのウェットレートが変化するような条件に設定されている。また、必要であれば、注入後に、例えば1000℃以下、0secのスパイクRTAによりアニールを行う。このアニールによっても、選択的にイオン注入されたサイドウォールのウェットエッチングレートの差をエンハンスすることができる。
次に、図9Eに示すように、リン酸(H3PO4)によるウェットエッチングでウェハ全面に適用すると、ソース側/ドレイン側のサイドウォール17のリン酸に対するエッチングレートが異なり、ソース側でよりエッチングが進み、左右非対称のサイドウォール幅が実現できる。ここで、ソース側SW幅をW1、ドレイン側SW幅をW2とすると、W1<W2となる。
なお、図9Cのソース側へのウェットエッチング促進用のイオン注入と、図9Dのドレイン側へのウェットエッチング遅延用のイオン注入のいずれか一方のみを行ってもよい。いずれか一方へのイオン注入によっても、ソース側とドレイン側でウェットエッチングレートに差がでるので、図9Eのウェットプロセスで非対称のSW形状が実現できるからである。
次に、図9Fに示すように、全面にキャップ酸化膜22を堆積し、フォトリソグラフィにより、PMOS領域にのみ開口パターンを有するレジストマスク23を形成し、RIE等によりPMOS領域の基板表面を露出する。
次に、図9Gに示すように、PMOSのソース・ドレイン領域にドライエッチングで溝25を形成し、レジストマスク23を除去する。
次に、図9Hに示すように、PMOS領域の溝25に、たとえばBをドープしたSiGeを選択的にエピタキシャル成長して、歪ソース・ドレイン24を形成する。その後、NMOS領域のキャップ酸化膜(SiOマスク)22を除去し、PMOS領域のみを覆って深いSD不純物注入後、RTAによる不純物活性化を行って深いソース・ドレイン領域14s、14dを形成して、PMOS領域のマスク(不図示)を除去する。その後、図示はしないが、ゲート電極13の表面とソース・ドレイン14および歪ソース・ドレイン24の表面をシリサイド化し、保護膜、CESLを形成して、図6のような半導体装置10Aが得られる。
図10A〜図10Hは、半導体装置の製造プロセスの変形例を示す工程図である。変形例では、二重サイドウォールに代えて単層サイドウォールとし、ソース側とドレイン側でサイドウォールのウェットエッチングレートを変えるためのイオン種とエッチャントも変える。
図10Aでは、図9と同様に、STI15、ウェル(不図示)およびチャネル(不図示)を形成したシリコン基板11上の所定の箇所に、ゲート絶縁膜12およびゲート電極13を形成し、PMOS領域とNMOS領域を交互に覆って、ソース・ドレインエクステンション16n,16pを形成する。
図10Bで、全面にシリコン酸化膜(SiO2)を、たとえば600℃以下の成膜温度でCVD方により60nm程度堆積し、形成し、異方性エッチングを行って、SiO2単層のサイドウォール27を形成する。
図10Cで、ソース側のサイドウォール27対して、チルト角30〜60度で、Ge+を10keV、5E14cm-2の条件で、一方向注入する。
次に、図10Dに示すように、ドレイン側から、チルト角30〜60度で、B+を1keV、5E14cm-2で、一方向注入する。これにより、フッ酸(HF)に対するエッチングレートを、ソース側サイドウォール27に対して早めることができる。
なお、図10Cと図10Dの工程のいずれか一方を行うだけでも、フッ酸(HF)に対するエッチングレートを異ならせることができるのは、図9のプロセスと同様である。また、エッチングレート促進および/または遅延用のイオン注入後にアニールを行うことによって、エッチングレートの差異をエンハンスできることも、図9のプロセスと同様である。上述した注入エネルギー、注入ドーズの条件も、HFに対するエッチングレートが効果的に異なるように設定されている。
次に、図10Eに示すように、全面をフッ酸でウェットエッチングすることによって、ソース側サイドウォール27Sの幅W1を、ドレイン側サイドウォール27Dの幅W2よりも小さくする。
図10F、図10G,図10Hの工程は、図9F、図9G,図9Hと同様に、PMOS領域に歪SiGeソース・ドレイン領域24s、24dを形成し、NMOS領域に深いソース・ドレイン領域14s、14dを形成し、その後、保護膜を介して、PMOS領域に圧縮CESL、NMOS領域に引っ張りCESLを形成する。
このように、イオン種とエッチャントを適切に選択し、ソース側とドレイン側で高角度の一方向イオン注入を行うことによって、非対称のサイドウォールスペーサを形成することができる。
このように歪Si技術と非対称サイドウォール構造を用いることにより、ゲート長30nm以下のハイパフォーマンスロジックデバイスにおいても、チャネル歪により効率的に特性向上を図り、かつ、短チャネル効果を抑えて、適正に動作するデバイスを実現することができる。

Claims (4)

  1. 半導体基板上にゲート電極を形成し、
    前記ゲート電極の側壁に第1サイドウォールスペーサ及び第2サイドウォールスペーサを形成し、
    前記第1サイドウォールスペーサに不純物を注入して前記第2サイドウォールスペーサとの間でウェットエッチングレートを異ならせ、
    前記不純物注入後の前記第1サイドウォールスペーサ及び前記第2サイドウォールスペーサをウェットエッチングして前記第1サイドウォールスペーサの幅を前記第2サイドウォールスペーサの幅よりも小さくし、
    前記ゲート電極、前記第1サイドウォールスペーサ及び前記第2サイドウォールスペーサをマスクとして前記半導体基板に不純物注入をすることにより、前記第1サイドウォールスペーサ側の前記半導体基板にソース領域を形成し、前記第2サイドウォールスペーサ側の前記半導体基板にドレイン領域を形成し、
    前記第1サイドウォールスペーサと第2サイドウォールスペーサの形成後に、歪みを蓄積して前記ゲート電極の下方のチャネル領域に応力を与える化合物半導体層を前記ソース領域及び前記ドレイン領域に埋め込むか、または、前記第1サイドウォールスペーサ、前記第2サイドウォールスペーサ、前記ソース領域及び前記ドレイン領域を覆い、歪みを蓄積して前記ゲート電極の下方の前記チャネル領域に応力を与える絶縁膜を形成する、工程を含み、
    前記第1サイドウォールスペーサの幅と前記第2サイドウォールスペーサの幅との相違により、前記化合物半導体層又は前記絶縁膜から前記チャネル領域の前記ソース側の端部に印加される歪みの絶対値を、前記化合物半導体層又は前記絶縁膜から前記チャネル領域の前記ドレイン側の端部に印加される歪みの絶対値よりも大きくする、
    ことを特徴とする半導体装置の製造方法。
  2. 前記不純物は、所定のチルト角で一方向から注入されることを特徴とする請求項に記載の半導体装置の製造方法。
  3. 前記第1サイドウォールスペーサ及び第2サイドウォールスペーサを、シリコン酸化膜で形成し、
    前記第1サイドウォールスペーサに、第1の方向からゲルマニウム(Ge)を注入し、
    前記ゲルマニウム(Ge)注入後に前記第1サイドウォールスペーサ及び前記第2サイドウォールスペーサをフッ酸でウェットエッチングする、
    ことを特徴とする請求項1又は2に記載の半導体装置の製造方法。
  4. 前記第2サイドウォールスペーサに、前記第1の方向と逆の第2の方向からホウ素(B)を注入して、リン酸またはフッ酸に対するウェットエッチングレートを遅くする、
    ことを特徴とする請求項1〜3のいずれか1項に記載の半導体装置の製造方法。
JP2009507327A 2007-03-28 2007-03-28 半導体装置の製造方法 Expired - Fee Related JP5206668B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2007/056716 WO2008120335A1 (ja) 2007-03-28 2007-03-28 半導体装置およびその製造方法

Publications (2)

Publication Number Publication Date
JPWO2008120335A1 JPWO2008120335A1 (ja) 2010-07-15
JP5206668B2 true JP5206668B2 (ja) 2013-06-12

Family

ID=39807928

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009507327A Expired - Fee Related JP5206668B2 (ja) 2007-03-28 2007-03-28 半導体装置の製造方法

Country Status (4)

Country Link
US (2) US20100025744A1 (ja)
JP (1) JP5206668B2 (ja)
CN (1) CN101641770B (ja)
WO (1) WO2008120335A1 (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7572706B2 (en) * 2007-02-28 2009-08-11 Freescale Semiconductor, Inc. Source/drain stressor and method therefor
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP2010118500A (ja) * 2008-11-13 2010-05-27 Toshiba Corp 半導体装置及びその製造方法
US8106456B2 (en) * 2009-07-29 2012-01-31 International Business Machines Corporation SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
US20110049582A1 (en) * 2009-09-03 2011-03-03 International Business Machines Corporation Asymmetric source and drain stressor regions
CN102420138A (zh) * 2010-09-25 2012-04-18 中芯国际集成电路制造(上海)有限公司 晶体管的制作方法
DE102011003385B4 (de) * 2011-01-31 2015-12-03 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung einer Halbleiterstruktur mit verformungsinduzierendem Halbleitermaterial
CN103000689B (zh) * 2011-09-19 2017-05-03 中国科学院微电子研究所 半导体器件及其制造方法
US8673165B2 (en) * 2011-10-06 2014-03-18 International Business Machines Corporation Sidewall image transfer process with multiple critical dimensions
CN102437051A (zh) * 2011-11-24 2012-05-02 上海华力微电子有限公司 硅化物阻止层刻蚀方法、通孔刻蚀停止层形成方法
US9190277B2 (en) 2011-12-08 2015-11-17 Texas Instruments Incorporated Combining ZTCR resistor with laser anneal for high performance PMOS transistor
CN102569094A (zh) * 2012-02-28 2012-07-11 上海华力微电子有限公司 一种减小半导体器件栅诱导漏极泄漏的方法
CN102623502A (zh) * 2012-03-23 2012-08-01 上海华力微电子有限公司 共源极运算放大器及其制造方法
CN102610527A (zh) * 2012-03-23 2012-07-25 上海华力微电子有限公司 提高共源运算放大器频率特性的mos器件制造方法
CN102610526A (zh) * 2012-03-23 2012-07-25 上海华力微电子有限公司 减小热载流子注入损伤的侧墙刻蚀方法
CN103378006B (zh) * 2012-04-23 2015-08-12 中芯国际集成电路制造(上海)有限公司 应力记忆技术中形成应力层的方法
KR101912582B1 (ko) * 2012-04-25 2018-12-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR20140042460A (ko) * 2012-09-28 2014-04-07 삼성전자주식회사 반도체 소자
US20140229324A1 (en) * 2013-02-08 2014-08-14 Thomson Licensing Method and system for recommending items
US9054041B2 (en) * 2013-07-18 2015-06-09 GlobalFoundries, Inc. Methods for etching dielectric materials in the fabrication of integrated circuits
CN104835737B (zh) * 2014-02-07 2018-09-04 无锡华润上华科技有限公司 半导体器件及其制作方法
US10043903B2 (en) * 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner
WO2017171741A1 (en) * 2016-03-30 2017-10-05 Intel Corporation Microelectronic transistor source/drain formation using angled etching
US11205578B2 (en) * 2017-10-18 2021-12-21 Texas Instruments Incorporated Dopant anneal with stabilization step for IC with matched devices
US10422818B2 (en) * 2017-12-30 2019-09-24 Texas Instruments Incorporated Power transistors with a resistor coupled to a sense transistor
CN110233107A (zh) * 2018-03-05 2019-09-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11023126B2 (en) 2018-12-19 2021-06-01 Samsung Electronics Company, Ltd. Touch gesture confirmation
US10896855B2 (en) * 2019-06-10 2021-01-19 Applied Materials, Inc. Asymmetric gate spacer formation using multiple ion implants
US20220376083A1 (en) * 2020-12-14 2022-11-24 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device structures and methods of manufacturing the same
US20220238712A1 (en) * 2021-01-28 2022-07-28 Mediatek Inc. Semiconductor device and method of forming the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01138734A (ja) * 1987-11-25 1989-05-31 Mitsubishi Electric Corp 複導電体層を有する半導体装置およびその製造方法
JPH07235675A (ja) * 1994-02-24 1995-09-05 Nec Corp 半導体装置の製造方法
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
JP2006108403A (ja) * 2004-10-06 2006-04-20 Seiko Epson Corp 半導体装置および半導体装置の製造方法
JP2006253318A (ja) * 2005-03-09 2006-09-21 Fujitsu Ltd pチャネルMOSトランジスタおよびその製造方法
JP2007501518A (ja) * 2003-08-04 2007-01-25 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 非対称の側壁スペーサの形成方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2789931B2 (ja) * 1991-05-27 1998-08-27 日本電気株式会社 半導体装置
JPH098290A (ja) * 1995-06-20 1997-01-10 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2002190589A (ja) * 2000-12-20 2002-07-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
DE10250899B4 (de) * 2002-10-31 2008-06-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Entfernen von Seitenwandabstandselementen eines Halbleiterelements unter Anwendung eines verbesserten Ätzprozesses
JP4237660B2 (ja) * 2004-03-19 2009-03-11 株式会社東芝 半導体装置の製造方法
DE102005009023B4 (de) * 2005-02-28 2011-01-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen einer Gateelektrodenstruktur mit asymmetrischen Abstandselementen und Gateestruktur
US7892928B2 (en) * 2007-03-23 2011-02-22 International Business Machines Corporation Method of forming asymmetric spacers and methods of fabricating semiconductor device using asymmetric spacers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01138734A (ja) * 1987-11-25 1989-05-31 Mitsubishi Electric Corp 複導電体層を有する半導体装置およびその製造方法
JPH07235675A (ja) * 1994-02-24 1995-09-05 Nec Corp 半導体装置の製造方法
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
JP2007501518A (ja) * 2003-08-04 2007-01-25 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 非対称の側壁スペーサの形成方法
JP2006108403A (ja) * 2004-10-06 2006-04-20 Seiko Epson Corp 半導体装置および半導体装置の製造方法
JP2006253318A (ja) * 2005-03-09 2006-09-21 Fujitsu Ltd pチャネルMOSトランジスタおよびその製造方法

Also Published As

Publication number Publication date
CN101641770A (zh) 2010-02-03
CN101641770B (zh) 2012-03-07
US20120190162A1 (en) 2012-07-26
JPWO2008120335A1 (ja) 2010-07-15
US20100025744A1 (en) 2010-02-04
WO2008120335A1 (ja) 2008-10-09

Similar Documents

Publication Publication Date Title
JP5206668B2 (ja) 半導体装置の製造方法
JP5795260B2 (ja) 段階的な形状の構造を有する埋め込み歪誘起材質を伴うトランジスタ
US7413961B2 (en) Method of fabricating a transistor structure
JP5795735B2 (ja) チャネル領域への減少させられたオフセットを有する埋め込みSi/Ge材質を伴うトランジスタ
JP5043314B2 (ja) 勾配付き組み込みシリコン−ゲルマニウムのソース−ドレイン及び/又は延長部をもつ、歪みp型mosfetを製造する方法
JP4847152B2 (ja) 半導体装置とその製造方法
JP5091403B2 (ja) 半導体装置およびその製造方法
US8735237B2 (en) Method for increasing penetration depth of drain and source implantation species for a given gate height
JP5614184B2 (ja) 半導体装置の製造方法
JP5184831B2 (ja) フィン型トランジスタの形成方法
US20080124875A1 (en) Method for forming a strained channel in a semiconductor device
US9263585B2 (en) Methods of forming enhanced mobility channel regions on 3D semiconductor devices, and devices comprising same
US8586440B2 (en) Methods for fabricating integrated circuits using non-oxidizing resist removal
JP2009194053A (ja) 半導体装置及びその製造方法
JP2009283527A (ja) 半導体装置およびその製造方法
JP2009111046A (ja) 半導体装置および半導体装置の製造方法
TWI624948B (zh) 在finfet裝置上形成應變通道區之方法
US9397190B2 (en) Fabrication method of semiconductor structure
JP5076367B2 (ja) 半導体装置およびその製造方法
JP2008066548A (ja) 半導体装置および半導体装置の製造方法
JP2007005621A (ja) 高歪みmosトランジスタを含む半導体装置
JP2007053336A (ja) 半導体装置およびその製造方法
KR20060100779A (ko) 다중 ldd 영역을 구비한 반도체 소자의 형성방법
JP5557552B2 (ja) 半導体装置およびその製造方法
JP2005311184A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130122

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130204

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160301

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees