JP5065900B2 - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
JP5065900B2
JP5065900B2 JP2007535915A JP2007535915A JP5065900B2 JP 5065900 B2 JP5065900 B2 JP 5065900B2 JP 2007535915 A JP2007535915 A JP 2007535915A JP 2007535915 A JP2007535915 A JP 2007535915A JP 5065900 B2 JP5065900 B2 JP 5065900B2
Authority
JP
Japan
Prior art keywords
chamber
module
substrate
transfer
cart
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007535915A
Other languages
English (en)
Other versions
JP2008516457A (ja
Inventor
クリストファー ホフマイスター
ロバート ティー. キャビニー
Original Assignee
ブルックス オートメーション インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション インコーポレイテッド filed Critical ブルックス オートメーション インコーポレイテッド
Publication of JP2008516457A publication Critical patent/JP2008516457A/ja
Application granted granted Critical
Publication of JP5065900B2 publication Critical patent/JP5065900B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

ここに記載する実施例及び方法は基板処理装置に関し、特に、直交配置(Cartesian arrangement)で相互接続されたチャンバ群を有する基板処理装置に関する。
新たな電子デバイスに対する消費者の要望に影響する要因の1つに当然ながらデバイスの価格が挙げられる。逆に、仮にコスト、従って新たな電子デバイスの価格を下げることが可能であれば、新たな電子デバイスを求める消費者の要望に対して有益な効果を得るであろうことは明らかである。電子デバイスの製造コストのかなりの部分は電子機器の製造コストであり、これは電子部品の製造に使用されるような半導体基板や、ディスプレイの製作に使用されるパネルの製造及び処理に始まる。基板の処理コストは処理装置のコスト及び該処理装置が収納されている施設のコストに部分的に影響され、処理装置の処理能力(これは単価に著しく影響を及ぼす)に大きく影響される。直ちに理解できるように、処理装置そのもののサイズが前記した要因全てに影響を及ぼす。しかしながら、従来の処理装置ではサイズの低減に関して行き詰まっているようである。更に、従来の処理装置は装置当たりの処理能力を増加することに関して限界に到達したようである。例えば、従来の処理装置はラジアル状の処理モジュール配置を採用している。従来の基板処理装置の略平面図が図1に示されている。ここから判るように、図1に示す装置の処理モジュール郡は処理装置の移送チャンバの周りにラジアル状に配置されている。従来の2若しくは3軸の運動装置(例えばZ、θ、T軸)である移送装置が移送チャンバの中央に位置しており、よって基板を複数の処理モジュールの間で移送する。図1から判るように、従来の処理装置の処理能力は移送装置の取り扱い速度によって制限される。換言すれば、従来の装置においては処理モジュールを装置に単に追加しただけでは処理能力を増加することが不可能である。なぜならば、移送装置の取り扱い速度のピークに到達すると、これが処理能力の律速要因となるからである。本発明の装置は以下に説明するように従来技術の当該問題点を克服した。
第1実施例によれば、基板処理装置が提供される。該装置は移送チャンバと、基板を保持する少なくとも1つの基板保持モジュールと、搬送車両と、他のモジュールとからなる。該移送チャンバはその中にコントロールされた雰囲気を有することが可能である。少なくとも1つの基板保持モジュールは移送チャンバに連絡自在に結合しており、少なくとも1つの保持モジュールと移送チャンバとの間での基板の移送が可能となる。該搬送車両は移送チャンバに移動自在に取り付けられている。該車両はベース部及び該ベース部に移動自在に結合して移動自在に取り付けられている基板移送アームを有している。他のモジュールは基板を保持することが可能であり、移送チャンバに連絡自在に結合されて基板をその間で移送する。移送チャンバは車両用のリニアトラベルスロットを画定する。少なくとも1つの保持モジュールがスロットの一側部に位置しており、アームは関節を有して基板をスロットの反対側に移動させる。これによって他のモジュールはスロットのどちらの側部からでも移送チャンバに選択的に結合されることが可能となる。搬送車両は基板を移送チャンバと保持モジュール及び他のモジュールとの両方との間で移送することが可能となる。
他の実施例によれば、基板処理装置が提供される。該装置はリニア移送チャンバと、基板を処理する少なくとも1つの処理モジュールと、他のモジュールと、搬送車両とからなる。該リニア移送チャンバはその中にコントロールされた雰囲気を有している。該リニア移送チャンバは複数の基板移送開口部を有している。該処理モジュールは該リニア移送チャンバの側部に連絡自在に結合されており、基板が移送開口部を介して少なくとも1つの処理モジュールとリニア移送チャンバとの間で移送されるのを可能にする。他のモジュールはその中に基板を保持することが可能である。他のモジュールはリニア移送チャンバにおいて少なくとも1つの処理モジュールと同じ側か、若しくはリニア移送チャンバの反対側に選択的に結合される。搬送車両がリニア移送チャンバに移動自在に取り付けられており、よってリニア移送チャンバ内をリニアに移動する。該車両はベース部及び該ベース部に移動自在に取り付けられた結合された基板移送アームを有している。移送アームは車両が基板をリニア移送チャンバと少なくとも1つの処理モジュール及び他のモジュールの両方との間で移送させることが可能なような到達距離を有している。該リニア移送チャンバは、車両基板アームの所与の到達距離に見合った少なくとも1つの最小チャンバ幅若しくは最小基板移送開口部幅を有している。
他の実施例によれば、半導体ワークピース処理装置が提供される。該装置は第1チャンバと、搬送車両と、他のチャンバとを有している。第1チャンバは外部雰囲気から分離されることが可能である。搬送車両は第1チャンバ内に位置しており、第1チャンバから移動自在に支持されており、第1チャンバに対してリニアに移動する。搬送車両はベース部と該ベース部に移動自在に取り付けられた一体型半導体ワークピース移送アームを有し、該ベース部に関して多アクセス運動が可能となる。他のチャンバは第1チャンバに第1チャンバの閉鎖自在な開口部を介して連絡自在に結合されている。該開口部は搬送車両が第1チャンバと他のチャンバとの間を開口部を介して移動可能なようにサイジングされている。
更に他の実施例によれば、基板処理装置が提供される。該装置は、移送チャンバと、基板を保持する少なくとも1つの基板保持モジュールと、第1搬送車両と、第2搬送車両とを有している。該移送チャンバはその中にコントロールされた雰囲気を有することが可能である。少なくとも1つの基板保持モジュールは移送チャンバに連絡自在に結合しており、基板が少なくとも1つの保持モジュールと移送チャンバとの間で移送するのを可能にする。第1搬送車両は移送チャンバに移動自在に取り付けられている。第1車両は基板を移送チャンバと少なくとも1つの基板保持モジュールとの間で移動させる第1可動基板移送アームを有している。第2搬送車両は移送チャンバに移動自在に取り付けられている。第2車両は基板を移送チャンバと少なくとも1つの基板保持モジュールとの間で移動させる第2可動基板移送アームを有している。移送チャンバは、第1及び第2車両の移送チャンバ内のトラベル用の数個のリニアトラベル経路を有している。第1車両がトラベル経路の1つを使用して第2車両が他のトラベル経路を使用しているときに、第1及び第2車両は互いに通過して移動することが可能である。
本発明の前述したアスペクト及び他の特徴が添付図面を参照しつつ下記の説明に記載されている。
図2を参照すると、本発明の特徴部分を備えた基板処理装置10の略平面図が示されている。本発明を図示する実施例を参照しながら説明するが、本発明は数多くの代替する形態によっても実施可能であると理解すべきである。更に、構成要素や材料には任意の好適なサイズ、形状若しくはタイプを使用することが可能である。
基板処理装置10はエンバイロメンタル・フロント・エンド・モジュール(EFEM)14に接続されており、これは図2に示すように数多くのロードポート12を有している。ロードポート12は例えば従来のFOUPキャニスタ等の数多くの基板保管キャニスタをサポートすることが可能であるが、任意の他の好適なタイプが提供されても良い。EFEM14はロードロック16を介して処理装置に連絡しており、ロードロック16は以下に詳細に説明するように処理装置に接続されている。EFEM14(大気圧雰囲気に開放されても良い)は、基板をロードポート12からロードロック16に移送することが可能な基板移送装置(図示せず)を有している。EFEM14は基板整列機能、バッチ取り扱い機能、基板及びキャリア識別機能若しくは他の機能を更に有しても良い。代替例においては、ロードロックがバッチ取り扱い機能を有する場合やロードロックがウエハをFOUPからロードロックに直接搬送する能力を有する場合のように、ロードロック16がロードポート12に直接インターフェースしても良い。かかる装置のいくつかの例が米国特許番号第6,071,059号、第6,375,403号、第6,461,094号、第5,588,789号、第5,613,821号、第5,607,276号、第5,644,925号、第5,954,472号及び第6,120,229、並びに2002年7月22日出願の米国特許出願番号第10/200,818号に開示されており、これらは全て本願の開示に組み入れられる。代替例においては、ロードロックに他のオプションが提供されていても良い。
図2を依然として参照すると、前述したように、半導体基板(例えば200/300mmウエハ)、フラットパネルディスプレイ用のパネル、または任意の他の所望の種類の基板の処理に使用される処理装置10は、全体として移送チャンバ18、処理モジュール群20、及び少なくとも1つの基板移送装置22を有している。図示されている実施例の基板移送装置22はチャンバ18に統合されている。本実施例においては、処理モジュール群は該チャンバの両側に取り付けられている。他の実施例においては、処理モジュール群は例えば図4に示すようにチャンバの片側に取り付けられても良い。図2に示す実施例においては、処理モジュール群20は行Yl、Y2において、若しくは垂直面において互いに対向するように取り付けられている。他の代替例においては、処理モジュール群は移送チャンバの対向する両側面に互いに交互に配置されても良いし、または垂直方向に互いにスタックされても良い。移送装置22はチャンバ内を移動するカート22Cを有しており、よって基板をロードロック16及び処理チャンバ20間で移動せしめる。図示する実施例においては、唯1つのカート22Cが提供されているが、代替例においては、より多くのカートが提供されても良い。図2から判るように、移送チャンバ18(その内部は真空若しくは不活性雰囲気、又は単にクリーンな環境若しくはそれらの組合せの条件下にある)は、新規な直交配置によって処理モジュール群がチャンバ18に取り付けられることを可能とする構成及び新規な基板移送装置22の採用を実施しており、モジュールは実質的に平行な垂直面若しくは行において整列している。その結果、図1及び2を比較すれば明らかなように、処理装置10は相当する従来の処理装置(すなわち同じ数の処理モジュール群を有する従来の処理装置)よりもコンパクトな設置面積となる。更に、以下に詳細に説明するように、処理能力を増大するために任意の所望の数の処理モジュールを追加すべく移送チャンバ22は任意の所望の長さとなることが可能である。移送チャンバはその中の任意の所望の数の移送装置をサポート可能であっても良く、移送装置は互いに干渉することなく移送チャンバの任意の所望の処理チャンバに到達することが可能である。これは実質的に処理装置の処理能力を移送装置の取り扱い能力から切り離し、よって処理装置の処理能力が取扱い律速ではなく処理律速となる。従って、処理能力は、同じプラットフォーム上の処理モジュール及び対応する取扱い能力を追加することによって要望通りに増加させることが可能となる。
図2を依然として参照すると、本実施例の移送チャンバ18は略矩形形状をしているが、代替例においてはチャンバは任意の他の好適な形状を有しても良い。チャンバ18は細長い形状を有しており(すなわち長手方向の長さが幅よりも長い)、その中の移送装置用に略リニア搬送経路を画定している。チャンバ18は長手方向に側壁18Sを有している。側壁18Sは、そこを貫通する移送開口部すなわちポート180を有している。移送ポート180は、ポートを介して(バルブを介しても良い)基板が移送チャンバに出入り可能となるように充分な大きさとなるようにサイジングされている。図2から判るように、本実施例の処理モジュール群20は側壁18の外側に取り付けられており、各処理モジュールは移送チャンバの対応する移送ポートに並んでいる。各処理モジュール20は、チャンバ18の側部18Sに対して対応する移送アパチャの周縁部周りでシールされており、よって移送チャンバ内の真空状態が維持されることが判る。各処理モジュールはバルブを有しても良く、これは任意の好適な手段によって調節されて必要な時に移送ポートが閉鎖される。複数の移送ポート180は同じ平面上に位置しても良い。これによって、チャンバの処理モジュール群も同じ平面上に並べられる。代替例においては、複数の移送ポートは異なる平面上に配置されても良い。図2から判るように、本実施例においては、複数のロードロック16は、2つの最前にある移送ポート180においてチャンバ側部18Sに取り付けられている。これによってロードロックは処理装置の前方においてEFEM14に隣接することが可能となる。代替例においては、例えば図4に示すように、複数のロードロックは移送チャンバの任意の他の移送ポートに位置しても良い。
六面体形状の移送チャンバは、 所望の列数の処理モジュール(例えば他の実施例を示す図3、5、6-7A参照、ここにおいて移送チャンバの長さは任意の数の処理モジュールを収納するようになっている)が取り付けられるように、チャンバの長さを所望の長さに選択することが可能である。
前述したように、図2に示す実施例においては、移送チャンバ18は、単一のカート22Cを有する1つの基板移送装置22を有している。移送装置22はチャンバと一体化しており、よってカート22Cを前方18Fと後方18Bとの間でチャンバ内で往復移動せしめる。移送装置22は1以上の基板を保持するエンドエフェクタを備えたカート22Cを有している。移送装置22のカート22Cは、処理モジュール若しくはロードロック内で基板を拾い上げたり開放したりするためのエンドエフェクタを伸長及び退避せしめる多関節アームすなわち可動移送機構22Aをも有している。処理モジュール/ロードポートからの基板を拾い上げたり開放したりするため、移送装置22は所望のモジュール/ポートに並び、基板を拾い上げ/開放すべく、対応するポート180を介してアームを伸長/退避せしめてエンドエフェクタをモジュール/ポート内に位置決めする。図2に示す移送装置22は代表的な移送装置であり、リニアサポート/駆動レールからサポートされたカート22Cを含んでいる。移送装置は以下により詳細に説明される。リニアサポート/駆動レールは移送チャンバの側壁18S、フロア、若しくは頂部に取り付けられても良く、チャンバの全長に亘って伸長しても良い。これによってカート22C、従って装置はチャンバの全長に亘って縦走することが可能となる。カートはフレームを有し、これはアームを支持している。フレームはまたキャスタマウントすなわちプラテン22Bを支持し、これはフレームと共に若しくはフレームに対して移動する。以下により詳細に説明するように、シーケンシャル同期リニアモータ30はプラテン22Bを駆動し、よってカート22Cをレールに沿って駆動する。リニアモータ30は移送チャンバのフロア若しくは側壁18Sに位置しても良い。以下により詳細に理解されるバリアが、モータの巻き線とプラテンの駆動部との間に位置しており、よって巻き線をチャンバの内部から分離している。一般に、リニアモータは数多くの駆動ゾーンを含んでいる。駆動ゾーンは移送チャンバに沿った位置に配置されており、ここにおいてアーム22Aが伸長/退避せしめられる(すなわち本実施例のモジュール/ポートの行YO-Y2において)。駆動ゾーンの数及び密度は、カート当たりのプラテンの数、チャンバ当たりのモータの数、処理モジュール若しくは交換点等の数に依存する。本実施例においては、アームは好適な連結部/トランスミッションによってプラテン群22Aに操作自在に接続しており、よってプラテン群が駆動モータによって互いに相対運動によって移動せしめられたときにアームは伸長若しくは退避される。例えば、トランスミッションが配置されても良く、よって複数のプラテンがレールに沿って移動して離間したときにアームは左側に伸長され、互いに近づくように戻されたとき、アームは左側から退避される。プラテンはまたリニアモータによって好適に操作されても良く、よってアーム22Aを右側に向けて伸長したり右側から退避したりする。プラテンのスライドレール上でのリニアモータによる移動のコントロール、並びにプラテン従ってカートの位置の検知及びアームの伸長/退避位置の検知は公開番号第WO99/23504号、第99/33691号、第01/02211号、第01/38124号、及び第01/71684を有する国際出願の内容に従って行なわれ、これらは本願の開示内容に組み入れられる。全てのカート/装置を移送チャンバ内で長手方向に動かすために、複数のプラテンは調和して一方向に移動せしめられることが判る。
図3は他の実施例の基板処理装置10'を示しており、これは装置10に概ね類似している。本実施例においては、移送チャンバ18'は2つの移送装置22A、22Bを有している。移送装置122A、122Bは前述した実施例の装置22と実質的に同等である。前述したように、移送装置122A、122Bは共に長手方向のスライドレールの共通セットからサポートされる。各装置に対応しているカートの複数のプラテンは同じリニアモータ駆動によって駆動せしめられても良い。リニアモータの異なる駆動ゾーンは各カートの個別のプラテンを個別に駆動することを可能にし、よって各個別のカート122A、122Bの個別の駆動を可能にする。従って、各装置のアームは、上述した方法と同様の方法によって、リニアモータを用いて独立して伸長/退避せしめられることが可能となることが判る。しかしながら、この場合は別個のスライドシステムが採用されない限り、基板移送装置122A、122Bは移送チャンバ内で互いに通過することが不可能である。従って、処理モジュール群は移送チャンバの長手方向に沿って配置され、よって基板は、移送装置が互いに干渉するのを回避する順序で処理モジュール内にて処理されるように搬送される。例えば、コーティング用処理モジュールが加熱モジュールの前に位置され、冷却モジュール及びエッチングモジュールが最後に位置される。
しかしながら、移送チャンバ18'は他の移送ゾーン18'A、18'Bを有し、これは2つの移送装置が互いに通り過ぎることを可能にする(サイドレール、バイパスレール若しくはレールを必要としない磁気浮遊ゾーンと同様)。この場合は、他の移送ゾーンは処理モジュール群が位置する1以上の水平面より上方若しくは下方に位置する。本実施例においては、移送装置は2つのスライドレールを有し、各移送装置に1つである。一方のスライドレールは移送チャンバのフロア若しくは側壁に位置し、他方のスライドレールはチャンバの頂部に位置する。代替例においては、リニア駆動システムが採用されても良く、これは複数のカートを同時に駆動及び停止せしめ、ここにおいて複数のカートは水平及び垂直に独立して移動自在であり、よって複数のカートが通過すなわち基板搬送のために互いに独立となることが可能となる。電気巻き線を採用する全ての実施例においては、例えば水蒸気を除去するためにチャンバを脱ガスすべく加熱するのが望ましい場合のように、これら巻き線は更に抵抗ヒータとして使用されても良い。この場合は、各移送装置は専用のリニア駆動モータ若しくは上述したのと同様の方法によってカートが滞在する専用の駆動ゾーンによって駆動せしめられる。図6及び7をここで参照すると、本発明の他の実施例による他の基板処理装置が示されている。図6及び7から判るように、これら実施例の移送チャンバは、更なる処理モジュールを収納すべく伸長せしめられている。図6に示される装置は移送チャンバに接続された12個の処理モジュールを有しており、図7の各装置(2つの装置が示されている)は、移送チャンバに接続された24個の処理モジュールを有している。これら実施例に示されている処理モジュールの数は単に例示であって、装置は前述したように任意の他の数の処理モジュールを有しても良い。これらの実施例の処理モジュール群は、前述したのと同様に、移送チャンバの側部に沿って直交配置で配置されている。これらの場合の処理モジュールの行の数は、しかしながら著しく増加されている(例えば図6の装置では6行であり、図7の各装置は12行である)。図6の実施例においては、EFEMは除去されており、ロードポートがロードロックに直接係合している。図6及び7の装置の移送チャンバは多数の移送装置を有しており(すなわち図6の場合は3つの装置、そして図7の場合は6つの装置)、よって基板をロードロックと処理チャンバとの間で取り扱う。図示されている移送装置の数は単なる例示であり、より多くの若しくはより少ない装置を使用しても良い。これら実施例の移送装置は全体的に前述したものと類似しており、アームとカートとからなる。この場合は、しかしながら、カートは移送チャンバの側壁にある区分されたリニアモータ駆動部から支持されている。この場合のリニアモータ駆動部は2つの直交軸(すなわち移送チャンバの長手方向及び移送チャンバの垂直方向)にカートを移動させる。従って、複数の移送装置が移送チャンバにおいて互いに通り越して移動することが可能となる。移送チャンバは処理モジュールの1以上の面の上部及び/又は下部に「通過」すなわち移送領域を有しても良く、ここを移送装置が経由することによって静止している移送装置(すなわち処理モジュールにおいて基板を拾い上げたり開放したりしている)若しくは反対方向に移動する移送装置を回避する。基板移送装置は多数の基板移送装置の運動をコントロールするコントローラを有していることが判る。
図7を依然として参照すると、基板処理装置18A及び18Bはこの場合ツール300に直接係合している。
図3、5及び6-7から判るように、移送チャンバ18は処理設備Pの中を走行すべく望み通り伸長されていても良い。図7から判るように、更に以下に詳細に説明するように、移送チャンバは、処理設備Pの種々の部分すなわちベイ18A、18Bに接続及び流通しても良く、これには例えば保管、リソグラフィツール、金属堆積ツール若しくは任意の他の好適なツールベイがある。移送チャンバ18によって相互接続されているベイはプロセスベイすなわち処理部18A、18Bとして構成されても良い。各ベイは所望のツール(例えばリソグラフィ、金属堆積、ヒートソーキング、洗浄)を有しても良く、よって半導体ワークピースの所与の製造プロセスが実施される。何れの場合においても、移送チャンバ18は、前述したように、設備ベイ内の種々のツールに対応し、それに流通自在に接続している処理モジュールを有し、よって半導体ワークピースをチャンバと処理モジュールとの間で移送することが可能となる。従って、移送チャンバは、移送チャンバに接続された種々の処理モジュールの環境に対応するその全長に亘って、大気圧、真空、超高真空、不活性ガス、その他の条件などの異なる環境条件を含んでいる。従って、所与の処理部すなわちベイ18A、18B、若しくはベイの部分内のチャンバのセクション18Plは、例えば、1つの環境条件(例えば大気圧)を有し、チャンバの他のセクション18P2、18P3は異なる環境条件を有しても良い。前述したように、チャンバにおける内部に異なる環境を備えたセクション18Pl、18P2、18P3は設備において異なるベイであっても良いし、設備において1つのベイにあっても良い。図7は例示目的で異なる環境を備えた3つのセクション18Pl、18P2、18P3を有しているチャンバ18を示している。本実施例のチャンバ18は多くの異なる環境を備えた必要に応じた数のセクションを有しても良い。
図7から判るように、装置122Aと同様のチャンバ18内の移送装置(図3をも参照)はチャンバの内部に異なる環境を備えたセクション18Pl、18P2、18P3の間を通過することが可能である。従って、図7から判るように、移送装置122Aは半導体ワークピースを一回で拾い上げて、処理設備の一方の処理部すなわちベイ18Aにあるツールから該処理設備の異なる処理部すなわちベイ18Bの異なる環境を有する他のツールに移動させる。例えば、移送装置122Aは処理モジュール301にある基板を拾い上げ、これは移送チャンバ18のセクション18P1にある大気圧モジュール、リソグラフィ、エッチング若しくは任意の他の所望の処理モジュールである。移送装置122Aはその後、チャンバのセクション18P1からセクション18P3に向けて、図7の矢印X3で示される方向に移動する。セクション18P3において、移送装置122Aは処理モジュール302に基板を置き、これは任意の所望の処理モジュールである。
図7から判るように、移送チャンバはモジュラであっても良く、チャンバモジュールは所望に応じて接続されてチャンバ18を形成する。モジュールは図2の壁18F、18Rと同様の内部壁18Iを有しても良く、これによってチャンバのセクション18P1、18P2、18P3、18P4を分離する。 内部壁18Iはスロットバルブ、若しくは任意の他の好適なバルブを有しても良く、チャンバの1つのセクション18P1、18P4が隣接するセクションに対して流通することが可能となる。スロットバルブ18Vは、1以上のカートが一方のセクション18P1、18P4から他方のセクションに当該バルブを介して通過することが可能となるようにサイジングされる。このようにして、カート122Aはチャンバ18内の任意の場所に移動する。バルブは閉鎖されてチャンバのセクション18P1、18P2、18P3、18P4を分離し、よって前述したように、異なるセクションは異種の環境を有することが可能となる。更に、図2に示すように、チャンバモジュールの内部壁はロードロック18P4を形成するように配置されている。ロードロック18P4(例示目的として図2には1つのみが示されている)は、要望通りにチャンバ18内に配置されており、任意の所望の数のカート122Aをその中に保持しても良い。
図7に示す実施例においては、処理部18A及び18Bでは同じ処理が行なわれても良く、例えばエッチングであり、ここにおいて処理装置18A及び18Bは、例えば図9に示す装置と同じように、ストッカであるツール300と協働して同じ数の基板を処理することが可能であるが、AMHSを介してFOUPSをストッカから個別の処理ツールへ搬送し、更に個々のウエハをEFEMを介して個別の処理ツールに搬送することに関連するマテリアル・ハンドリング・オーバーヘッド部を用いることはない。その替わり、ストッカ内のロボットがFOUPSをロードポート(ツール当たり3つが示されているが、処理能力の要望に応じて増減させても良い)に直接搬送し、ここにおいてウエハは、所望のプロセス及び/又は要望される処理能力に応じてバッチ毎にロックに移動せしめられてそれらの個々の1以上の処理モジュールに送り出される。このように、定常状態においては、図7の装置及び図9の装置は同等の処理能力を有するが、図7の装置はより低コスト、低設置面積、低WIP従って少ない在庫、及び単一のキャリアロットを処理する時間を考慮した場合の迅速な転向(すなわちホットロット)で実施しており、その結果、工場のオペレータに著しい利点を提供している。ツール18A、18B、若しくはストッカ300内において更に要望に応じて計測機能、仕分け機能、マテリアル識別機能、試験機能、検査機能(箱の設置…)等を有しても良く、よって基板を効果的に処理及び試験する。
図7に示す実施例においては、互いに異なる処理を行なうより多い若しくは少ない処理部18A及び18Bが提供されても良く、例えばエッチング、CMP、銅堆積、PVD、CVD等が挙げられ、ここにおいて例えば図9に示す複数の装置のように、処理装置18A、18B等は例えばフォトリソグラフィセルであるツール300と協働して同等の数の基板を処理することが可能であるが、FOUPをAMHSを介してストッカから個々の処理ツールベイ及びリソグラフィベイへ搬送し、更に個々のウエハをEFEMを介して個別の処理ツールへ搬送することに関連するマテリアル・ハンドリング・オーバーヘッドを用いていない。その替わりに、リソグラフィセル内の自動化がFOUPS、基板又はマテリアルをロードポート(処理タイプ当たり3つが示されているが、処理能力の要望に応じて増減しても良い)に直接搬送し、ここにおいて所望のプロセス及び/又は要求される処理能力に応じて基板はその個々の処理部に送り出される。かかる代替例が図7Aに示されている。このようにして、図7の装置は基板を低コスト、低設置面積、低WIP従って少ない在庫、及び単一のキャリアロットを処理する時間を考慮した場合の迅速な転向(すなわちホットロット)で処理し、更に汚染の度合いを厳しく管理しており、その結果工場のオペレータに著しい利点を提供している。ツール18A、18B内において、又はツール若しくはセル300は更に要望に応じて計測機能、処理機能、仕分け機能、マテリアル識別機能、 試験機能、検査機能 (箱の設置…)等を有しても良く、よって基板を効果的に処理及び試験する。図7から判るように、処理装置18A、18B、及びツール300は結合されて共通のコントローラ環境(例えば不活性雰囲気、若しくは真空)を共用する。これによって基板がツール300から、及び装置18A、18B内のあらゆる処理部において管理された環境下におかれることが確実になる。これによって図8に示す従来の装置構成のようなFOUPの特別な環境コントロールの使用が不要となる。
ここで図7A参照すると、図7に示す実施例の特徴部分を組み入れた製造設備レイアウト601の例が示されている。カート22A、122Aと同様のカート406が、複数の処理工程のために製造設備601内で移送チャンバ602、604、606、608、610、612、614、616、618、620、624、626に基板すなわちウエハを搬送している。処理工程にはシリコンエピタキシャル成長630、誘電体堆積632、フォトソグラフィ634、エッチング636、イオン注入638、急速熱処理640、計測642、誘電体堆積 644、エッチング646、金属堆積648、電気めっき650、化学的機械的研磨652が含まれる。 代替例においては、処理工程は増減しても組み合わされても良く、例えば、同じシーケンスにエッチング、金属堆積、加熱、及び冷却操作がある。前述したように、カート406は単一のウエハ若しくは多数のウエハを運搬可能であっても良く、トランスファ機能を有してもよい。これは、例えば、処理済みウエハを拾い上げて未処理のウエハを同じモジュールに置く機能をカート406が有する場合が挙げられる。カート406は分離バルブ654を介して移動して、ツールからツールに直接移送するか、又はベイからベイ若しくは処理部から処理部に移送する。バルブ654はシールされたバルブか、若しくは単なるコンダクタンス型バルブであって良く、これは所与のバルブ654の両側の圧力差若しくはガス種の差異による。このようにして、ウエハ若しくは基板はある処理工程から次の処理工程に単一の取扱い工程すなわちワンタッチによって移送される。その結果、取扱いに起因する汚染が最小化される。かかる圧力差若しくはガス種の差の例は、例えば、一方側にクリーンな空気があり他方側に窒素がある場合、又は一方側に粗い真空圧レベルがあり他方側に高真空がある場合、又は一方側に真空があり他方側に窒素がある場合が挙げられる。図7のチャンバ184P4と同様のロードロック656が、例えば、真空と窒素若しくはアルゴンとの間である一方の環境と他方の環境との間の移行に使用される。代替例においては、他の圧力若しくはガス種が任意の数の組合せであっても良い。ロードロック656は単一のキャリア若しくは多数のキャリアを移すことが可能であっても良い。代替例として、1以上の基板が棚(図示せず)上のロードロック656に移送されるか、若しくはバルブを通り過ぎるのはカートでは望ましくないその他の場合がある。整列モジュール、計測モジュール、洗浄モジュール、処理モジュール(例えばエッチング、堆積、ポリッシュなど)、熱調整モジュール又はその他のモジュールなどの更なる特徴部分658が、ロック656又は移送チャンバ内に組み込まれても良い。サービスポート660が提供されても良く、これによってカート若しくはウエハがツールから取り除かれる。ウエハ若しくはキャリアストッカ662、664が提供されても良く、よって処理及び/又は試験ウエハが貯蔵及びバッファされる。代替例においては、ストッカ662、664が提供されなくても良く、この場合は例えばカートはリソグラフィツールに直接送られる。他の例においてはインデクサすなわちウエハ保管モジュール666がツールセットに提供される。再循環ユニット668が提供されても良く、よってツールセクション612等の任意の所与のセクション内の空気又はガス種を循環及び/又が濾過される。再循環ユニット668はガスパージ、パーティクルフィルタ、ケミカルフィルタ、温度コントロール、湿度コントロール又は処理されるガス種を調整する他の特徴部分を有しても良い。所与のツールセクションにおいては、より多く若しくはより少ない数の循環ユニット及び/又は濾過若しくは調整ユニットが提供されても良い。分離ステージ670が提供されても良く、よってカート及び/又はウエハが、クロスコンタミネーションが許容されない異なる処理若しくはツールセクションから分離される。ロック若しくはインターコネクト部672が提供されても良く、よってカートが一般的な作業空間において拾い上げ若しくは設置(pick or place)を行なうときに方向の変更を行なうことなくカートの向き若しくは方向が変えられる。代替例の方法においては、任意の好適な処理手順若しくは構成の組合せが提供されても良い。
ここで図10を参照すると、一実施例による例示としての単一軸プラテン駆動システム320の端面図が示されている。駆動システム320は図2、3、及び7-7Aに示す移送装置すなわちカート22A、122A、406を駆動するのに適した駆動部の例である。システム320はプラテン324を駆動する固定した巻き線セットを有している。プラテン324はレール328上を摺動自在な摺動ブロック326に支持されている。レール328は移送チャンバのベース部330、若しくは側壁に結合している。ベース部330は巻き線322とプラテン324との間のバリア332を提供する。バリア332は、チャンバの内部環境からも巻き線322を分離することが判る。巻き線322はベース部330に結合している。プラテンに結合する磁石334をプラテンは有しており、プラテン324を巻き線322に相互作用させる。センサ336は磁気制限タイプ(magneto-restrictive type)ホール効果センサであり、プラテン324内の磁気の存在を検知して適切な整流を決定すべく提供されている。更に、センサ336はプラテン324の正確な位置決めのために採用されている。位置フィードバック装置340が正確な位置フィードバックのために提供されても良い。装置340は例えば誘導若しくは光学によるものである。誘導によるものである場合は励振源342が提供されており、これは巻き線若しくはパターン部346を励振し、パターン部346の間のカップリングを介して受信部344に誘導的結合して戻される。相対位相及び振幅関係が用いられてプラテン324の位置が定められる。IRタグ等のカート識別タグ347が適当なステーションに提供されている読取機348と共に提供されており、よってステーション近傍のカートの識別情報が識別される。
ここで図11Aを参照すると、他の実施例によるプラテン駆動システム400の端面図が示されている。図11Bをも参照すると、図11Aの線11B-11Bに沿って切り取られた駆動システム400の断面図が示されている。以下に更に説明するように、システム400はプラテンすなわちカート406(カート406は前述したカートすなわち移送装置22A、122Aと同様である)の移動を生じることが可能である。システム400は対向する固定した巻き線セット402、404を有し、これはカート406を駆動する。 巻き線セット402、404は2次元の駆動アレイすなわち垂直部408及び横方向部410として巻かれている。代替例においては、カート406を例えば427で示す異なる方向に駆動すべく追加のアレイが提供されても良く、これはシステム400をそこから90度の方向を向いている他の同様のシステムに結合することによる。多数のカートが独立して駆動されるようにするため、アレイ群が多数の領域において駆動される。例として、領域424は供給領域であり、領域426は移送領域であり、領域428は戻り領域である。各領域内にはサブ領域が存在しても良く、これによって多数のカートを各領域内で駆動することが可能となる。代替例においては、任意の数で組み合わせられたより多くの若しくはより少ない領域やサブ領域が提供されても良い。カート406は巻き線セット402、404によって生じる磁界によって支持され、巻き線セット402及び406間の磁界にバイアスをかけることによって非接触状態で位置決め可能となる。チャンバ412が巻き線セット402、404とカート406との間のバリア414として提供されても良い。巻き線は図示されるように領域416内に存在している。カート406は巻き線を伴ったプラテン418、420を有している。代替例においては、より多くの若しくはより少ないプラテンが提供されても良い。センサのアレイが提供されてプラテン又はカート若しくはプラテンの磁気の存在を検知し、適切な整流及び位置を決定してプラテン及びカートの正確な位置判定を行なう。カート識別タグが適当なステーションに提供されている読取機と共に提供されても良く、よってステーション近傍のカートの識別情報が定められる。ここで図12を参照すると、当該装置の他の実施例による処理装置10の例示のカート229の平面図が示されている。カート229は、図2、3、及び7-7Aに示されている前述したカート22、122A、406に類似している。カート229は軸経路150及び/又はラジアル経路152に沿って基板148を搬送することが可能なように示されている。カート229は図12に示す経路154に沿って基板を移動させることも可能である。カート229は簡単のため2次元システムとして示されているが、代替例においては更なる移動軸、例えば、z移動(紙面内外には図示せず)若しくは角運動154が提供されても良い。カート229は簡単のため単一の基板148を取り扱うことが可能なように示されている。しかしながら、代替例においては更なる取扱いが可能であっても良い。例えば、カートは第2基板を取り扱う機能を含んでも良く、例えば基板が処理モジュールにおいて交換されることが望まれる場合である(すなわち第1の処理済み基板が拾われ、その後、第2の未処理の基板が同じ処理モジュールに同じカート229から置かれる)。
カート229はフレーム156、エンドエフェクタ158及び第2フレーム160を有している。摺動部162は、図示するようにフレーム156の左若しくは右の何れかのリニア経路152に沿って、フレーム156、エンドエフェクタ158及び第2フレーム160が互いに摺動自在となるように拘束する。リニア機構が示されているが、代替例においては、任意の好適なアームシステムを使用しても良く、これには例えば、以下に詳細に説明するように図17に示されたフレーム156に結合したスカラ型アームがある。基板148はエンドエフェクタ158上に支持されている。ここで図12A参照すると、チャンバ229の一部に存在する例示のカート229の平面図が示されている(チャンバ18及び602-626と同様、図2-3、及び7-7A参照)。カートは例示のモジュール166に延びたエンドエフェクタ158を有している。モジュール166は前述した任意のモジュールと同様であり、移送チャンバに接続されている。カート229は基板148を軸経路150及び/又はラジアル経路152に沿って搬送することが可能となるように示されている。カート229はフレーム156、エンドエフェクタ158及び第2フレーム160を有している。摺動部162は、図示するようにフレーム156の左若しくは右の何れかのリニア経路152に沿って、フレーム156、エンドエフェクタ158及び第2フレーム160を互いに摺動自在となるように拘束している。フレーム156はその下部に磁気的プラテン168を有しており、これは同期モータ170と相互作用する。駆動プラテン172は同期モータ174と相互作用する。駆動プラテン172はフレーム156の下部において、これに対して方向176に沿ってベアリング178を使用して摺動自在となるように取り付けられており、方向176は方向150と実質的に平行である。プラテン168及び172が方向150に沿って同時に移動することによって、カートは、方向152の移動なしに方向150に移動することが可能となる。プラテン168を静止状態に保持すると同時に方向176に沿ってプラテン172をフレーム156に対して移動させることによって、基板及びエンドエフェクタ148、158の方向152に沿ったラジアル運動が生じる。
プラテン172の方向176の直線運動は第2フレーム160の方向152に沿った直線運動に変換される。プーリ186がフレーム156に回転自在に結合されており、第2プーリ188及び182を有している。プーリ182はプラテン172にバンド184を用いて結合されており、よってプラテン172の方向180に沿った運動はプーリ182の方向190の回転を生じ、反対にすると反対方向となる。プーリ192及び194はフレーム156に回転自在に結合されている。ケーブル196がプーリ188にポイント198において結合しており、図示するようにプーリ192を巻回しており、第2フレーム160の200で終わっている。ケーブル202はプーリ188にポイント198において結合しており、プーリ188を半時計周りに巻回しており、プーリ194を図示するように巻回しており第2フレーム160の204で終わっている。このようにして、プラテン172の方向176の直線運動は第2フレーム160の方向152に沿った直線運動に変換される。
プラテン172の方向176の直線運動及び第2フレーム160の方向152に沿った変換された直線運動は、また、図示するようにエンドエフェクタ158を方向152に更に伸ばす。プーリ210及び212は第2フレーム160に回転自在に結合されている。ケーブル214はエンドエフェクタ158にポイント216において結合しており、図示するようにプーリ210を巻回しており、フレーム156の218で終わっている。ケーブル220はエンドエフェクタ158のポイント222において結合しており、プーリ212を巻回してフレーム156の224で終わっている。このようにして、プラテン172の方向176の直線運動は第2フレーム160の方向152に沿った直線運動に変換され、これは図示するようにエンドエフェクタ158の方向152の更なる伸長に更に変換される。ケーブルプーリの替わりに、プラテンとエンドエフェクタとの間のトランスミッションはベルト、バンド若しくは任意の好適な材料で作成された任意の他の好適なトランスミッション手段を使用しても良い。代替例においては、 好適な連結部システムがケーブルプーリの替わりに使用されても良く、よってプラテンからエンドエフェクタに運動が伝達される。エンドエフェクタ158の実質的に図12に示されている位置への退避は、同様であるが逆の方法によって行なわれる。更に、図12Bに示す位置と同様であるが反対の位置へのエンドエフェクタ158の伸長は、上述した方法とは逆の方法にプラテン168、172を移動させることによって行なわれる。
ここで図12Bを参照すると、例示の処理モジュール166に伸長される前のカート229の端面図が示されている。図示するように、摺動部240はフレーム156がリニア経路150に沿って摺動自在となるようにフレーム156を拘束する。フレーム156はその下部に磁気プラテン168を有しており、磁気プラテン168は同期モータ170に相互作用する。駆動プラテン172は同期モータ174に相互作用する。駆動プラテン172はフレーム156の下部において、矢印150(図12参照)で示される方向に対して実質的に平行な方向に沿ってフレーム156に対して摺動自在となるように取り付けられている。プラテン168及び172が同時に方向150に沿って移動することによって、カートは方向152の移動を行なうことなく矢印150によって示される方向に移動することが可能となる。プラテン168を静止状態で保持すると同時にプラテン172を方向176に沿ってフレーム156に対して移動させることによって、基板及びエンドエフェクタ148、158の方向152に沿ったラジアル運動が生じる。プラテン172及び168はモータ170及び174に相互作用する磁石を有しても良い。チャンバ244は非磁性体によって形成されても良く、それには例えば非磁性ステンレス鋼があり、これはモータ巻き線とその各々のプラテンとの間にバリア246、248を提供する。代替例においては、より多くの若しくはより少ないリニア駆動部若しくはカートが提供されても良い。例えば、更なる駆動ゾーンを有する単一駆動モータが提供され、ここにおいてプラテン168及び172は同等の駆動モータと相互作用するが、異なる領域によって独立して駆動可能である。更に例示するように、追加のカートはフロア250上、スロット開口部の上に並んで若しくはその下部の壁部252、254又はチャンバのカバー256内の異なる駆動システムによって駆動されても良い。ここで図13Aを参照すると、装置10のチャンバ716の一部、及び当該装置に使用される例示のカート700を伴った例示の駆動システム701の平面図が示されている。チャンバ716は当該装置のチャンバ18、若しくはチャンバ602-624(図2-3、及び7-7A参照)の他の典型的部分である。カート700は基板702A、702Bを軸経路704及び/又はラジアル経路706に沿って又はZ運動(紙面内外には図示せず)で移動させることが可能なように示されている。代替例においては、角運動が提供される。代替例においては、より多くの若しくはより少ない基板取扱いが提供されても良い。カート700は移送機構724A及び724Bを有し、これにはリニア機構若しくは任意の好適なアームシステムを使用しても良く、例えば、スカラ型アームがある。代替例においてはアームが提供されなくても良い。移送機構724A及び724Bは、図12Aに示す方法と同様の方法によって所望に応じて処理モジュール内若しくは他のモジュール内に伸長される。カート700は側部にプラテン722、720、710及び712を有し、これは移送チャンバ716の壁部にある同期モータと相互作用する。駆動プラテン712がカート700の側部に取り付けられ、方向704に沿ってカート700に対して摺動自在となる。プラテン712は機構724Aを駆動し、よってカート700に対するプラテン712の方向704に沿った運動(位置712Aから位置712Bへ、図13A参照)によって、機構724Aがウエハ702Aを位置708Aと位置708Bとの間でスロット718A及び718Bを介して移送することを可能にする。同様に、駆動プラテン710はカート700の側部に取り付けられており、方向704に沿ってカート700に対して摺動自在である。 プラテン710は機構724Bを駆動し、よってプラテン710の方向704(位置710Aから位置710Bへ、図13A参照)に沿ったカート700に対する運動は、機構724Bがウエハ702Bを位置708Aと位置708Bとの間でスロット718A及び718Bを介して移送することを可能にする。プラテン710及び712はカート700に対して独立して移動自在である。プラテン722、720はカート700に対して固定されている。プラテン720、722を静止状態に保持すると同時にプラテン712を方向704に沿って移動することによって、方向706に沿ったラジアル移送運動が生じる。プラテン720、722を静止状態に保持すると同時にプラテン710を方向704に沿って移動させることによっても、方向706に沿った分離したラジアル移送運動が生じる。プラテン720、722、710及び712を方向704に沿って同時に移動させることによって、カート700の方向704に沿った移動を生じ、カート700が例えばバルブ714を介して処理位置から処理位置に移動することが可能となる。
ここで図13Bを参照すると、図13Aの線13B-13Bに沿って切り取られた例示の駆動システム701及びカート700の断面図が示されている。図13Cをも参照すると、図13Bの例示の駆動システム701の他の側方の断面図が示されている。システム701はカート700を駆動する対向する固定した巻き線セット727、729を有している。巻き線セット727、729は1次元及び2次元の駆動アレイの組合せで巻回しており、例えば、垂直705及び横方向704である。駆動アレイは1次若しくは2次元アレイのリニアモータ若しくはリニアステッピングタイプのモータでも良い。かかる駆動アレイの例が米国特許第4,958,115号、第5,126,648号、第4,555,650号、第3,376,578号、第3,857,078号、第4,823,062号に記載されており、これらは本願の開示に組み入れられる。代替例においては、統合された2次元巻き線セットを使用しても良く、プラテンは2次元の磁石すなわちパターン部を有している。他の代替例においては、他のタイプの1次若しくは2次元の駆動システムを使用しても良い。代替例においては、カート700を異なる方向に駆動する追加のアレイを提供しても良く、例えばそこから90度偏向する他の同様のシステムにシステム701を結合することによるものである。多数のカートが独立して駆動されるようにするため、該アレイは多数の領域において駆動される。例として、領域685は供給領域であり、領域683は移送領域であり、領域681は戻り領域である。各領域内にサブ領域があっても良く、これは各領域内で多数のカートを駆動することを可能にする。代替例においては、より多くの若しくはより少ない領域やサブ領域が任意の数の組合せによって提供されても良い。カート700は巻き線セット727、729によって生成される磁界によって支持され、巻き線セット727及び729の間の磁界をバイアスすることによって浮上する非接触式によって位置決め可能となる。図13Cは1つの実現可能な巻き線の組合せを示しており、これは図13Dに示すシステムによって駆動され、カート700を浮上せしめるべく採用されている(例えば図14Aを参照しながら以下に更に説明するように、若しくは多数の軸のアクティブ浮上によって)。1次元の巻き線セットが巻き線領域732A-C、730A-C、734A-C、742A-B及び740A-Bに提供されている。2次元の巻き線セットが巻き線領域736A-E及び738A-Cに提供されている。代替例においては、任意の好適な巻き線セットの組合せが提供されるか又は完全な2次元アレイ若しくは他のものが提供される。カート700はプラテン720及び710を有し、これはプラテン720用のアレイ738B並びにプラテン710用のアレイ736B、C及びDと組み合わせて使用される。プラテン710を方向704に動かして(図13A参照)プラテン720を静止状態に保持することによって、ウエハはスロット718Aを経てラジアル状に移動する。710及び720を方向705に同時に動かすことによって(図13B参照)、ウエハが拾い上げられたり設置されたりする。複数の領域間で巻き線整流及び巻き線スイッチングを調整することによって、異なる巻き線及び駆動ゾーンを経てカート700を選択的に垂直及び/又は横方向に移動させることが可能となる。チャンバ716は巻き線セット727、729とカート700との間のバリアとして提供される。代替例においては、バリアが必要ではなく、これは例えば巻き線セット727、729がエンクロージャ716の内部にある場合であり、例えばエンクロージャ716は清浄な空気若しくは窒素雰囲気にある。代替例においては、より多くの若しくはより少ないプラテン若しくは巻き線が提供される。センサのアレイ746、747、748が提供されてプラテン内の磁石若しくはプラテン若しくは1以上のカート存在を検知し、適切な整流及び位置の決定並びにプラテン及びカートの正確な位置の決定若しくは位置の決定が行なわれ、これには例えばプラテンと巻き線との間のギャップがある。カート識別タグが、前述したように、適当なステーションにおいて配置されている読取機と共に配置され、よってステーション近傍のカート識別情報が決定される。
ここで図14Aを参照すると、他の実施例による他の例示のカート760の端面図が示されており、これは単一の軸のリニアモータ巻き線セット762、764によって生成される磁界によって支持されている。例示のカート760は、巻き線セット762及び764の間の磁界にバイアス部776を用いることよって非接触状態で位置決め可能である。位置センサ766、768がバイアス部776を伴って閉ループ状に提供されており、よってカート760を浮上せしめる。浮上はこのように簡易な方法によって達成され、カートは図14Bに示されるようにZ方向に受動的に安定している。カート760はその側部に磁気プラテン772及び774を有し、これは磁石を有するか若しくは磁性材料若しくは導電性材料によって作成されており、巻き線セット762、764に相互作用する。代替例においては、より多くの若しくはより少ないプラテンが提供されても良く、これには例えば駆動アームがある。チャンバ770(該装置のチャンバ18、602-624の任意の代表的な部分に類似している。図2-3、及び7-7A参照)は非磁性材料から作成されても良く、これには例えば非磁性ステンレス鋼であって、これは前述したように、モータ巻き線とその各々のプラテンとの間にバリアを提供する。代替例においては、より多くの若しくはより少ないリニア駆動若しくはカートが提供されても良い。例えば、更なる駆動領域を有する単一の駆動モータが提供されても良く、該領域では複数のプラテンが同じ駆動モータに相互作用するが異なる領域によって独立して駆動可能となる。更なる例として、更なるカートがフロア、又は壁の上方に並んだ若しくはスロット開口部の下若しくはチャンバのカバー内の異なる駆動システムによって駆動されても良い。
図14Bには、カート760の復元力Fと所望の位置からの軸偏位Zとの間の関係がグラフで示されている。正の軸方向及び負の軸方向(z方向)の各々において各々最大偏差ZMAX若しくはZMAXまでは、復元力は各々FMAX若しくはFMAXの値と同程度まで最初に増加し、しかしながら当該偏差を超えると再び減少する。従って、カート760にFMAXを超える力が加えられると(例えばカート重量、又は同一の若しくは他のプラテンを駆動する他の巻き線セットから又は他のもの等の外部からの力)、カートは巻き線762、764から逃避する。それ以外では、それらが加えられている限りカート760は磁界内に留まり続ける。この原理は、本願の開示に組み入れられる、引例としてのロータリ装置用の米国特許第6,485,531号、第6,559,567号、第6,386,505号、第6,351,048号、第6,355,998号に記載されており、ここに説明した装置の駆動システム701に採用されており、例示のカート760の浮上にリニア方式がとられている。代替例においては、他の駆動システム若しくは浮上システムが使用されても良い。再度図13Dを参照すると、図13Aのカート/プラテン駆動システム701に使用するのに適した例示の巻き線駆動システム790の図が示されている。巻き線駆動システム790は巻き線群792、マルチプレクサ793及びアンプモジュール群794を有している。巻き線群792は、巻き線群及び/又はホールセンサ、位置センサ、 誘導センサ、キャリア識別センサ等のセンサ群、故障検出ロジック及び回路若しくはその他のものを含んでいる。アンプモジュール群794は単相若しくは多相アンプ、位置及び/又は存在センサ入力若しくは出力、CPU及び/又はメモリ、識別読取機入力若しくは出力、ステータス及び故障検出ロジック及び回路若しくはその他のものを有している。アンプモジュール群794は巻き線群792に直接接続されるか若しくはマルチプレクサユニット793を介して接続される。マルチプレクサユニット793を使用するときは、 アンプ群Al乃至Amは任意の巻き線群Wl乃至Wnに選択的に接続される。CPUがかかる選択的な接続を調整してデバイスのステータスをモニタする。このようにして、ツールをシャットダウンすることなく、CPUは選択的にアンプモジュール若しくは巻き線の作動をオフラインにする。
前述したように、移送チャンバ18、602-624の使用に適した移送装置すなわちカート(例えば図2-3、及び7-7A参照)は、移送アームを具備しているか若しくは具備していないカートからなり、該移送アームは半導体ワークピースをカートと該装置内の所望の位置との間で移送する。前述したように、図12及び13Aは、装置内で半導体ワークピースの取扱いを行なう移送アームを具備する搬送カート229、700の2つの実施例を各々示している。ここで先に図22及び23を参照すると、装置10のチャンバでの使用に適した搬送カート機構1557の他の実施例が示されている。カート1557はベースセクションすなわちベースプレート1558及び該ベースプレートに取り付けられた移送アーム1577を含んでいる。図22には、プレートの反対側に結合した2つの磁石アレイ1502を具備するカート機構ベースプレート1558が示されているが、プレートの反対側のコーナー部に限定されるものではない。ロボットベースプレート1558の反対側の両コーナー部には、2つの更なる磁石アレイ1502がリニアベアリングキャリッジ1560に結合されており、リニアベアリングレール1562上を摺動するようになっている。これらリニアベアリングレール1562はベースプレート1558に結合している。駆動ベルト1564若しくは直線運動を回転運動に変換する他の手段がリニアベアリングキャリッジ1560に取り付けられている。図示する場合においては、駆動ベルト1564は遊びプーリ1566及びプーリテンショナ1568の回りに巻きつけられており、駆動プーリ1570に固着している。ベアリングキャリッジ1560に磁石アレイ1502を介してかけられる直線運動は、結果的に駆動されるプーリ1572の回転運動となる。2自由度の用途の場合は、記載された機構の冗長版がロボットカート機構の反対側に適用され、複製したサーキットが駆動プーリ1572に固着している。この組合せは同心のプーリアセンブリを生成する。磁石アレイ1502と磁石アレイ1502及びリニアベアリングキャリッジ1560の組み合わせとの間の相対運動は移送アーム連結部の駆動手段を提供する。ロボットキャリッジのリニア移送の場合は、リニアベアリング/磁石アレイ1560/1502及び結合された磁石アレイ/カートベースプレート1502/1558は固定されたセットとして駆動され、駆動されるプーリ1570&1572の回転はない。ベースプレート1558の駆動機構が他の好適な移送アーム連結部の操作に使用され、いくつかの例が図24乃至24C、25乃至25Cに示されている。移送アーム1577は、図23に示す実施例においては、一般的な単一のSCARAアーム構造を有している。駆動プーリ1572は底部リンクアーム1574に結合しており、駆動プーリ1570はフォアアーム駆動プーリ1586と関係している。フォアアームプーリ1586の回転運動はフォアアーム1578に駆動ベルト1582及びエルボプーリ1576を介して結合している。リスト/エンドエフェクタ1584は、リストエルボプーリ1580に対する結果的なフォアアーム1578の相対回転運動によって駆動され、これはリストエルボプーリ1580が底部リンクアーム1574に基礎を置いているからである。典型的には、この運動はプーリ1572及び1570の入力駆動比に関する各結合部におけるプーリ比によって行われる。図23A及び23Bをも参照すると、移送アーム連結部1577が退避位置及び伸長位置において各々示されている。退避位置と伸長位置との間の運動は、移動自在な磁石アレイ1502をベースプレートに対して所望の移動を行うことによって実施される(上記した方法によって)。アーム連結部の運動はカートを移送チャンバに対して静止したり動かしたりすることによって行われる。図23A及び23Bは移送アーム1577が、伸長したときは、アーム1577がカートの横側部1576Rに伸びる位置に示されている(すなわちカートの側部はチャンバ壁部を面している)。これは図13Aのカート700の移送機構724A、Bの伸長/退避運動と同様である。カート1557上の移送アーム1577はユニットとして回転軸S(図22参照)の回りに回転し(移動自在な磁石アレイ1502を使用して)、カートベースプレートに関して任意の所望の向きとなることが判る。例えば、図23A-23Bに示す向きから約180°回転すると、移送アーム1577は図23Bに示す位置から反対側1575Lに伸長する。更に、移送アームは約90°回転すると、アームの伸長はチャンバのリニア方向に沿う(図22の矢印15Xに示されている)。任意の数のアーム連結部をかかるカートに採用しても良い。カートに使用され得る好適なアーム連結部の他の例が米国特許第5,180,276号、第5,647,724号、第5,765,983号、及び第6,485,250に記載されており、これらは全て本願の開示に組み入れられる。
図24はカート機構1557'の他の実施例の正面図であり、2つの回転エンドエフェクタがカートベースプレート1558'に取り付けられている。カート1557'はその他は前述した図22及び23に示すカート1557と同様である。同様の特徴部分には同様に符号を付している。図24A乃至24Cはカートの移動に従うベアリングキャリッジアレイのリニア搬送及び1対の相対運動の両方の使用を示している。図22を参照しつつ前述したように、プーリ1570'及び1572'の回転は、カートのベースプレートに結合されている固定磁石アレイに対するベアリングキャリッジ及び磁石アレイの移動の結果生じる。組み合わせられた場合は、ロボットカート移送はリニアチャンバに沿って、矢印15X'によって示される方向に移動し、ベアリングキャリッジ及び磁石アレイは固定して設置されているアレイに対して移動する。この運動はエンドエフェクタ1588'及び1590'の回転を可能にし、よって前述した図23A及び23Bと同様に、ロボットエンドエフェクタがカートのリニア方向に対して実質的に垂直に伸長するのを可能にする。図24A-24Cは例示目的でエンドエフェクタ1588'及び1590'が一方に伸長しているのが示されている。しかしながら、エンドエフェクタ1588'、1590'はベースプレートの任意の側に伸長可能であることが判る。更に、エンドエフェクタ1588'、1590'はベースプレートの任意の側に伸長しても良い。更に、図24A-24Cに示すようにエンドエフェクタ1588'、1590'はエンドエフェクタが90°より多くの若しくはより少ない角度を向くような位置に伸長されても良い。図25はカート1557"の更に他の実施例の略正面図であり、図23に示すものと同様のアーム連結部を有している。この場合は、駆動プーリ1572"は底部リンクアーム1592"に固着している。駆動プーリ1570"はエンドエフェクタ駆動プーリ1600"に結合しており、駆動ベルト1598"を介してエルボプーリ1596"に結合している。エルボ駆動プーリはロボットエンドエフェクタ1594"に固着しており、駆動プーリ1570"の回転を駆動されるエンドエフェクタ1594"に伝える手段を提供する。図25A乃至25Cは3つの異なる位置にあるアーム連結部を備えたカートを示している。図25A乃至25Cは例示目的でカートのベースプレート1558''の一方側に伸長したエンドエフェクタ1594''を示している。図22乃至23及び24にて示した移送アームと同様に、移送アーム1577''は軸S''の周りに回転可能であり、よってエンドエフェクタはカート1557''のベースプレート1558''に対して任意の方向に伸長/退避し得る。ここで図2乃至7Aをも参照すると、関節移送アームを具備するカート(例えば図12、13A、22、23、24、及び25に示されるカート22、122A、406、229、700、1557、1557'、1557'')を使用する顕著な利点は、移送アームの所与の到達距離において、移送チャンバは最小の幅を有していることである。異なるカート実施例における移送アームの多軸関節は、関節アームの経路に対してカートを実質的に独立して設置することを可能にし、これは次に移送チャンバ18の幅を最小に低減することを可能にする。同様に、スロットバルブの幅及び保管処理モジュールを移送チャンバに接続する経路が最小のサイズに低減し得る。
ここで図15を参照すると、装置10に使用する例示としてのウエハアライナ500が示されている。ウエハアライナキャリア500は全体として2つの部分を含んでおり、ウエハチャック504及びウエハ搬送キャリア502である。アライナはウエハに位置合せ及びリニアの直交座標系搬送ツール内の移動を提供する。該アライナは装置における1以上の搬送カート(例えばカート22、122A、406、700、1557)にインターフェースするか、若しくはある場合においてはリニアプロセスツール構造のロボットカートに含まれるように作成される。
図16をも参照すると、ウエハチャック504がウエハ搬送キャリア502から離間可能なように示されている。摩擦パッドはリニア直交座標装置全体に亘る搬送の際に2つの器具を結合することが可能である。取外されたとき、ウエハチャック504はウエハ搬送キャリア502に対して回転自在となる。ウエハチャック504は基板(ウエハ)506に対して角度が傾斜したウエハ縁部パッド508を使用することによってパッシブなウエハ縁部支持手段を提供する。ウエハチャック504の部分としての更なる特徴は、ロボットアームカートがウエハをウエハキャリア500から取り除いたりそこに設置したりすることを可能にするウエハ506の下方のレリーフ部である。これはウエハ除去クリアランス領域510として特定される。
リニア搬送カートに対するウエハ回転のこの方法はロボットのエンドエフェクタに直接適用することが可能である。この方法は図17に示されている。ロボットアームカート534はウエハチャック504がロボットのエンドエフェクタ536から取り外し可能なように構成されている。この場合は、チャックは回転自在となって、処理モジュール若しくはロードロックに生じる設置位置変化に基づく任意のわずかなウエハノッチ方向の要求を修正する。図18をも参照すると、ウエハチャック回転装置532が示されている。リニア搬送ツール内の多ポイントにおいて、これら回転ウエル郡が配置可能である。
この装置はモータ分離技術に基づいており、これは米国特許第5,720,590号に記載されており本願の開示に組み入れられる。代替例においては、従来のモータ及びシールの組合せが使用される。静止モータ522がリニア移送チャンバのベース部530に取り付けられている。真空分離バリア520がモータ電機子540と磁石アレイ524との間に設置されている。磁石アレイが回転軸542に直接取り付けられている。これによって真空システムへのダイレクトドライブ結合が可能となる。可能なサポートベアリング518が必要となるが、理想的には、磁気浮上方式が使用される。光学エンコーダディスク526が回転軸542に取り付けられており、読取ヘッド528が回転軸542の角度用のコントローラに位置フィードバックを提供する位置に設置されている。アライナチャック504が摩擦パッド若しくは1以上のキネマチックピン516の上に降ろされる。これらパッド/ピンは、ウエハチャック504がウエハキャリア502若しくはロボットのエンドエフェクタ536から取外されたときのウエハチャック504の回転手段を提供する。この回転を提供する同じ手段が、図17に示すロボットアームキャリアの一部に適用されるロボットアームリンク538の回転位置のコントロールに適用可能である。
図19をも参照すると、ウエハ搬送キャリア500はウエハチャック504からなり、ウエハ搬送キャリアはウエハチャック回転装置532の上方の位置に動かされる。図20において、ウエハチャック504が搬送キャリア502上に離脱(lift off)するようにウエハ搬送キャリアが降ろされる。搬送のチャンバ蓋部546に位置するカメラ544はウエハのイメージを見ることが可能であり、ウエハのx-y位置及びウエハのノッチの角度位置を認識する。ウエハキャリアはその後移動してウエハチャック504のウエハ搬送キャリア502に対するx-y位置変化を提供し、回転を提供してノッチ位置合せを修正する。ロボットアームキャリア装置の方法として使用する場合のウエハチャック回転装置の他のオプションは、ロボットリンクアームが伸長している際に回転係合を可能とし、垂直軸の移動により基板若しくはウエハを処理モジュール若しくはロードロックに降ろしたりそこから上げたりすることが可能となる。このアプローチの方法が図21に略図で示されている。静止モータ522がガイドプレート548に取り付けられている。ガイドプレートが、リニア移送チャンバのベース部530に金属ベローズ550若しくは他のリニア離間シール(リップシール、Oリング等)を介して固着している。真空離間バリア520がモータ電機子540と磁石アレイ524との間に設置されている。磁石アレイは回転軸542に直接取り付けられている。これによって真空システムへのダイレクトドライブ結合が可能となる。可能なサポートベアリング518が必要となるが、理想的には磁気浮上式が使用される。光学エンコーダディスク526が回転軸542に取り付けられ、コントローラに回転軸542の角度用の位置フィードバックを提供する位置に読取ヘッド528が設置される。トラベル停止の端部556を伴った更なるガイドローラ552及び支持構造554は、回転駆動が所望に応じて位置を保持されることが可能となり、よってリニアウエハ搬送キャリア500をアクチュエータ装置として使用するのではなく、ウエハチャック若しくはロボットアームが係合する。移送チャンバが加圧される場合は、結果的にロボット駆動が上に位置される状態となり、ベローズの力はバネとして機能して回転装置が種々のリニアロボットアームカートの垂直高さに(例えば拾い上げたり設置したりする際)係合することが可能となるが、現実的には限定された垂直トラベルレンジにの間で係合する。一旦装置は摩擦パッド若しくは1以上のキネマチックピン516に係合する。これらパッド/ピンは、図20に示すようにウエハチャック504がウエハキャリア502若しくはロボットのエンドエフェクタ536から離脱したときにウエハチャック504の回転する手段を提供する。この回転を提供する同じ手段が、図17に示すように、ロボットアームキャリアの一部として適用されるロボットアームリンク538の回転位置のコントロールに適用可能である。
図2乃至7に示されるようなシステムは、コントローラCに格納されている設定可能で拡張可能なソフトウエアによってコントロール可能である。ここで図26をも参照すると、生産実行("MES")システムソフトウエアが示されており、これは処理システムに通信自在に結合されているコントローラC内に設けられている。MESシステム2000はソフトウエアモジュール群2002乃至2016からなり、MESの能力を増強するオプションを或いは有している。モジュールはマテリアルコントロールシステム("MCS)2002と、リアルタイム指令部("RTD")2004と、ワークフロー若しくはアクティビティ管理部("AM")2006と、エンジニアリングデータ管理部("EDA")2008と、コンピュータメンテナンス管理システム("CMMS")2010と、を含んでいる。MES2002は製造業者が工場の資産及びプロセス計画を構築したり、在庫や発注を追跡したり、製造データを収集及び分析したり、機器をモニタしたり、製造オペレータに作業命令を指示したり、部品が最終製品に消費される状況を追跡したりすることを可能にする。MCSソフトウエアモジュール2002は製造業者が個別のカート(例えば、図2-3、7-7A、12、13A及び22に示すカート22、122A、406、228、700、1557)の処理ツールへの到着を効果的に計画することを可能にし、よって全体的なシステムの効率を最大化する。MCSは個別のカートが特定の処理ツール(例えば、図7のプロセス18A、18B、及び図7Aのモジュール602乃至626)にいつ到着していつ発進するかを計画する。MCSは、各処理ツールにおける任意の列の形成及び経路指定の要求を管理し、カート搬送のサイクル時間を最小にしつつシステムの収率を最適化する。RTD2004は製造業者が、処理ツールの良好な状態からのフィードバックに基づいてリアルタイムでカートの経路決定を行うことを可能とする。更に、カートの経路決定はMESオペレータによって行われても良い。MESオペレータは特定の製品の製造の要請によって優先度を変更しても良い。AM2006は全製造プロセスに亘って製造業者が1以上の基板を含む任意の所与のカートの進捗状況のモニタすることを可能にする。処理ツールがエラーを発生した場合は、AM2006は、処理ツールにおいて処理されている全ての基板用に最良の残存する経路を決定する。EDA2008は製造業者が製造データを分析して、処理ツールの効率を改善せしめるべく当該データに対して統計的処理コントロールアルゴリズムを行うことを可能にする。CMMS2010システムは、個々の処理ツールに対して製造業者がメンテナンスの必要な時期を予想するのを可能にする。処理ツールのプロセスの変動がモニタされて既知のプロセス結果に対して比較され、プロセスへの変更若しくは処理ツールの計画的修繕が予想される。
ここで図27を参照すると、本発明の更に他の実施例による基板処理システム3010が示されている。図27のシステム3010は全体的に図面に示す前述した処理システム及びツール10、10'、18、18A、18B、601と同等であるが、以下に記載する部分が異なっている。同等の特徴部分は同様の符号を付している。システム3010は一般的に基板処理ツール3014及び本実施例においてはツールインターフェース部3012及び3016を有している。前述した実施例のように、ツール3018は管理された雰囲気を有し、これは外部雰囲気から分離されている。ツールインターフェース部3012、3016は一般的にツール3014と工場内の他の協働システムとの間のインターフェースを提供している。例えば、ツールインターフェース部3012は、例えば無人搬送車両、若しくは他の所望の自動マテリアルハンドリングシステム等の工場内大量基板移送システム3001との相互関係用に適切に構成されているEFEMである。EFEM3012は大量搬送システム3001とEFEMとの間の基板のローディング及びオフローディングの提供を可能とし、未処理の基板の処理ツール3018へのエントリ(矢印3000Sで示される方向)を保持する。EFEM3012はまた処理ツール3018(矢印3000Pで示される方向)から、処理された基板を受け取って工場搬送システム3001に戻して搬送することが可能である。前述したように、本実施例においては、システム3010は、ツール3018に関してEFEM3012の反対側に、エンバイロメンタル第2エンドモジュール(ESEM)の如き他のツールインターフェース3016を有している。ESEM3016は、本実施例においては、実質的にEFEM 3012と同様であり、例えば処理済みの基板をツール3018から(図27の矢印3000Pで示す方向に)受け取ることが可能であり、工場搬送システム3001の隣接部分に基板を続いて搬送することが可能である。所望であれば、ESEM3016は未処理の基板をツール3018に供給するために使用されても良い。代替例においては、処理システムはツール端部の1つ以外においてツールインターフェースを有しても良い。この場合は、ツールインターフェースが位置するプロセスツールの一端部の全体に亘って未処理の基板がインプットされて、処理済みの基板がアウトプットされる。他の代替例においては、ツールはコントロールされた雰囲気を有する他のツール若しくは移送チャンバにインターフェースされるか若しくは他の場合は直接結合しても良い(例えば図7Aに示される移送チャンバ602乃至626用の方法と同様の方法によって)。依然として図27を参照すると、ツール3018は一般的に基板移送チャンバ3014及び処理モジュール3020、3020Aを有している。前述したように、チャンバ3014は真空若しくは不活性ガス等のコントロールされた雰囲気を有しても良く、外部雰囲気から分離されても良い。移送チャンバ3014は互いに離間されることが可能な異なるセクション3014A、3014B、3014Cを有しても良く、これによって各セクションは異なるコントロールされた雰囲気(例えば真空、高真空近傍、高真空)を有することが可能となる。図27から判るように、移送チャンバ3014は全体としてリニア形状を有している。処理モジュール3020、3020Aは本実施例においては移送チャンバ3014の横側部に取り付けられている。処理モジュール3020、3020Aは互いに同様であっても異なっていても良い。例えば、処理ツール3018は所望であれば1以上のロードロックチャンバモジュール3020Aを有し(図27に示す実施例においては、4つのロードロックチャンバモジュール3020Aがあり、その2つは各ツールインターフェース3012、3016に連絡している)、よってツール内外に(矢印3000I/Oで示される方向に)ツールのコントロールされた雰囲気に影響を及ぼすことなく基板が移送されることが可能となる。他の処理モジュールは基板にツール内で所望の処理を行うように構成されており、例えば誘電体若しくは金属堆積、エッチング、イオンインプランテーション、急速加熱処理、ケミカル若しくはメカニカルポリッシング、計測等が挙げられる。処理モジュールは移送チャンバ3018の側部に接続しており、よってチャンバとのシールを形成し、チャンバ内にコントロールされた雰囲気を維持する。処理モジュール3020はチャンバ3014に沿って任意の所望の順序で配置されても良く、例えば基板がツール内を方向3000Sで進行する場合に所望の連続的処理順序を提供する。以下に更に記載するように、ツール3018は基板が従うプロセス順序を限定することはなく、ツール上の処理モジュールの単なる配置の連続的順序であり、むしろ処理ステップの選択を可能にする。代替例においては、ツール3018の処理モジュールは各々実質的に同じ処理を提供する。図27から判るように、ツール3018はチャンバ3014内に位置する少なくとも1つの搬送車両若しくはカート3229を有しており、その上に1以上の基板を保持することが可能である。カート3229はチャンバ3014内を直線状に縦走することが可能である(矢印3000Xで示す方向に)。カート3229は、以下に説明するように、基板を移送チャンバ3014内のカートと、処理モジュール3020、3020Aとの間で搬送する(図27の矢印3000Yに示される方向に)操作自在な好適な基板搬送装置3160を有しても良い。カート3229は、本実施例においてはパッシブであり、モータ若しくは動力システムを有していない。移送チャンバ3014はカートにインターフェースする駆動システム3400を有しており、よってカートをチャンバ内で(方向3000X)移動させてカート基板搬送装置3160に基板の搬送を行わせる(方向3000Yで示される)。移送チャンバ3014は更にカート3229及び基板の位置を認識する位置フィードバックシステム3336を有している。駆動システム3400及び位置フィードバックシステム3336はCPUによって操作されて、ツールによって処理される基板用に任意の所望の処理順序を選択すべくカートを移動させて基板を搬送する。図27から判るように、移送チャンバ3014は互いに当接するモジュール3016、3016A、3016B、3016Cによって形成されている。以下に説明するように、各モジュール3016、3016A、3016B、3016Cは駆動システムを有する自己内蔵型ユニットであり、位置フィードバックシステム部分は各モジュールが個別の移送チャンバとして操作することを可能とし、任意の所望の数のモジュールが統合することを可能とし、よって所望の長さの移送チャンバ304が形成される。
移送チャンバ3014を形成する移送チャンバモジュール3016、3016A、3016B、3016Cは全体として互いに類似している。移送チャンバモジュール3016、3016A、3016B、3016Cは異なる長さを有しても良く、各移送チャンバモジュールに結合する任意の所望の数の処理チャンバモジュール用の異なる数の結合部を有しても良い。図27に示す実施例においては、各移送チャンバモジュールは移送チャンバモジュールの各側部に結合する処理チャンバモジュール3020、3020Aを有することが可能であるが、代替例においては、移送チャンバモジュールはモジュール3020と同様の多数の処理チャンバモジュールにインターフェースする構成でも良い。移送チャンバモジュール3016、3016A、3016B、3016Cは互換可能であって、よってチャンバモジュールは任意の所望の順序で共に結合されて移送チャンバを形成する。
図28及び29は実施例の移送チャンバモジュール3016の断面図である(図29は更に、チャンバモジュール3016に当接/係合したときの隣接する移送チャンバモジュール3016、3016Aの一部を点線で示している)。前述したように、移送チャンバモジュール3016、3016A、3016B、3016Cは実質的に同様である。チャンバモジュール3016はフレーム3016Fを有しており、これは任意の好適な形状及び任意の好適な材料から作成されても良い。フレーム3016Fは取外し自在なパネル若しくはセクションを有し、これは例えば取外し自在な頂部パネル3016Tである。取外し自在なパネル3016Tはモジュールフレーム3016Fに静止して取り付けられており、よってモジュールが他のモジュールに結合してチャンバを形成したときにモジュールから取外されることが可能となる。これによって、全モジュールをチャンバから取外すことなくモジュール内の構成要素/カートにアクセスすることが可能となる。アクセスパネル3016Tは十分に大きく、よってチャンバモジュールフレーム内の結果的な開口部3016Oを介してカート3229を挿入したり取外したりすることが可能となる。シール3016Sがパネル3016T及びフレームのインターフェースに提供されており、移送チャンバ3014内のコントロールされた雰囲気が損なわれるのを防止している。図28から判るように、フレームはその中に形成されているポート3016Pを有し、よって処理チャンバモジュール3020と連絡している。基板Sをその上に有する基板搬送装置33060がポートを通過して処理モジュール内に入り込むことが可能なようなポート3016Pはサイズ及び形状を有していることが判る。ポート3016Pは好適なバルブ若しくはドアによって閉鎖自在であっても良く、これは移送チャンバモジュールフレーム3016Fに統合されているか、若しくは処理モジュールに取り付けられている。図29から判るように、フレーム3016Fは長手方向における反対側の両端部に好適なインターフェース特徴部3016Iを有しており、モジュール3016はシール可能なように隣接するモジュール3016A、3016に係合している。インターフェース3016Iは任意の好適なタイプであっても良い。例えば、インターフェース3016Iは、隣接するモジュールインターフェースの係合小面と相補的な好適なシート特徴部を有しても良く、よって隣接する両モジュールが適切に当接することが可能となる。機械的ファスナ等のファスナ、若しくは他の好適なクランプ用若しくは保持用特徴部が含まれており、両モジュールを互いに捉える。インターフェース部3016I1、3016I2は極性小面を有しても良く、よって結合した際にチャンバモジュール3016、3016A に所望の向きを確立し(モジュールが所望の向きでない場合はそれらの間の当接及び結合が防止される)、インターフェース特徴部3016I1、3016I2は各モジュールで共通であり、モジュールが前述したように互換されることが可能となる。フレーム3016Fは、本実施例においてはカート3229にとって十分なチャンバ空間を画定する。カートの周りには最小のクリアランスが提供されており、よってカートがモジュール内を自由に移動することが可能となる。モジュールフレーム内の端部開口3016Rはカート3229(所望の数の基板Sを保持している)が該開口を通り抜けることが可能なようにサイジングされており、モジュール3016、3016A間を縦走する。端部開口3016Rはドア3016Dによって閉鎖されても良い。該ドアは3016Dはモジュールフレームに統合されても良いし、若しくは両チャンバモジュールが互いに結合したときの両チャンバモジュール間の更なるモジュール部として設置されても良い。
図27及び28から判るように、モジュールはカートの摺動部3240と協働するサポート部若しくはガイドレール3040を有しており、よってカート3229をチャンバ内で移動自在に支持している。本実施例においては、レール3040はモジュールの底部(カートの下)に位置しているが、代替例においてはレールはチャンバモジュールフレームの任意の所望の位置に固着しても良い。本実施例においては、2つのレール3040が示されているが、より多くの若しくはより少ないレールが使用されても良い。レール群3040がモジュール内で連続して伸長するように示されている。レール3040はモジュール3016のインターフェース面から距離3040Dのところで終わっており、カートがモジュール3016、3016Aの間を通り過ぎるとき、カートの摺動部3240が距離3040D(各モジュールにおいて)を縦走し、カートの安定した姿勢を乱すことなく隣接するモジュール3016、3016Aのレールに乗るのを開始するようにレール3040はサイジングされている。反対に、カートがモジュール3016、3016Aの間を通り過ぎて摺動部3240が1つのモジュール3016、3016Aにおけるレール3040から隣接するモジュールの隣接するレールセグメントに向けて縦走するときに、カート3229の摺動部3240はカートに安定した支持を連続して提供するようにサイジングされていることが判る。
依然として図27及び28を参照すると、モジュール3016はカート駆動システム3400の一体部分を有している。本実施例においては、該システムはリニア電気モータであるが、代替例においてはケーブル駆動等の任意の好適なタイプの電気式若しくは機械式駆動システムを使用しても良い。図28及び29に示す実施例においては、駆動システムは、テクノーション社(Tecnotion)若しくはアノラッド社(Anorad)から入手可能なコアレスリニアモータ等のコアレスリニア駆動システムである。図29において、移送チャンバモジュール3016と一体化している駆動システム部は、3セットの巻き線3402、3402A、3402B、3404、3404A、3404Bがモジュールの各側部に具備されているように示されている。図28から判るように、巻き線3402、3404の各セットはカート3229の対応するプラテン3168、3172と協働している。巻き線3402、3404は任意の所望の長さを有しても良く、これは市販の標準長さを含んでいる。代替例においては、チャンバの両側部のカートのプラテンを駆動すべく、任意の所望の数の巻き線を使用しても良い。図28から判るように、コアレスモータ巻き線3402、3404はチャンバに向けて突出し、カートのプラテン3168、3172に相互作用している。代替例においては、リニアモータは前述した図11A乃至11Bに示すモータ400と同様の鉄心リニアモータであっても良い。この場合は、モータ巻き線は、図11A乃至11Bの部分414と同様の内部フレーム部によってチャンバから離間されている。モジュールの両側部にある巻き線340L、340SA、3402B、及び3404、3404A、3404Bは各々単一軸に沿って配置されており、よって各側部に1つの駆動軸を提供している。代替例においては、巻き線は各側部に多数の駆動軸を提供するように位置しても良い。他の実施例においては、例えば鉄心リニアモータ巻き線群が使用される場合のように、巻き線群がX及びZ方向の両方に駆動軸を提供するように配置されても良い(すなわち、前述した図13B及び13Cに示す巻き線アレンジメントと同様の、カートを長手方向の駆動軸の間でバイパスさせる、チャンバに沿ったリニア及び垂直駆動軸の両方)。各々の駆動軸に沿った、巻き線3402乃至3402B及び3404乃至3404Bは、またモジュールインターフェース3016I1、3016I2に対してサイズされて位置決めされており、よって隣接するモジュール3016内の次の最も隣接した巻き線3400Bと協働して、当接するモジュールのインターフェース領域を縦走するプラテンに連続する推進力を維持し、カートが一方のモジュール3016、3016Aから他方に縦走するのを可能にする。CPUによってコントロールされるコントロールシステム3790が提供されて巻き線群の操作をコントロールする。図29には、モジュール3016の1セットの駆動軸巻き線3402-3402Bのみがコントロール部3790に接続するように示されているが、両セットの巻き線が同様の方法によってコントロールされている。巻き線コントロールシステム3790は前述した図13Dに示す巻き線コントロールシステム790と概ね同様である。巻き線コントロール部若しくは駆動システム3790は一般に、マルチプレクサ3793及びアンプモジュール群3794を有している。アンプモジュール群3794はマルチプレクサ3793を介して各駆動軸に沿った所望の順序のカートプラテンを駆動する駆動巻き線3402、3402A、3402Bに接続している。順序及びアンプ群の巻き線群への接続はCPUによってコントロールされる。以下に更に説明するように、CPUはモジュールの位置フィードバックシステム3336と通信し、アンプの接続及び巻き線群の駆動順序を決定する。巻き線コントロールシステム3790はモジュール3016専用の離散システムでも良い。例えば、コントロールシステム3790はモジュール3016に搭載されるか、取り付けられるか、若しくは他の方法で組み込まれても良い(コントロールシステム3790はモジュールフレームに位置する必要はなく、所望であれば分離したハウジング(図示せず)に収納されても良い)。コントロールシステム3790は、好適な貫通接続を使用するときはチャンバを貫通している好適な通信回線を介して巻き線3402、3402A、3402Bと通信する。図29には、例示目的でチャージャ壁を各々貫通している専用の通信回線が示されており、通信回線は統一されてチャンバ壁を貫通する最小の数の貫通接続が可能になっている。コントロールシステム3790は好適なカップリング3790Cを有しても良く、よってコントロールシステム3790がツールのアセンブリのCPUに接続されることが可能となっている。図29から判るように、モジュール3016は、巻き線群の通信回線をコントロールシステム3790に結合する他の配線3401Cを有しても良い(例えばモジュールの側部に取り付けられるか若しくは位置している)。カップリング3401Cは更に、専用のモジュール巻き線コントロールシステムを望まないときに、巻き線がプロセスツールの中央巻き線コントロールシステムに接続可能となっても良い。
ここで図30を参照すると、カート3229の底面図が示されている。カートは任意の好適な構造を有しても良い。本実施例においては、カートは前述した図12乃至12Bに示すカート229に実質的に類似している。前述したように、カート3229は2つのプラテン3168、3172を有している。プラテン3168、3172は永久磁石若しくは磁性体を有し、図28に示すようにコアレスリニア巻き線3402、3404を操作するように構成されている。プラテン3168は、本実施例においては、カートフレーム3156に固着されている。プラテン3172はカートのフレーム3156に移動自在に取り付けられており、これは例えば係合された摺動部3156Sによる。プラテン372はよってカートフレーム3156に対して相対的な制限された運動が可能となる(図30に示す矢印3229Xに示す方向に)。先頭及び後部(fore and aft)の停止部はプラテン3172のフレームに対する運動を制限する。前述したカート229のプラテン172同様、プラテン317Lのカート3229に対する更なる移動性は、カートに更なる自由度を提供し、これは変換されて伸長及び退避を行うべく基板搬送装置3160を操作する。基板搬送装置3160はカート229のテレスコープ部158、160に実質的に同様である(図12A、12B参照)。従って、搬送装置3160は、前述したエンドエフェクタ158と同様のエンドエフェクタで終わっている任意の好適な数のテレスコープ部を有しても良い。搬送装置160は、カート229のシステムと同様の好適なトランスミッションシステムによって、移動自在なプラテン3172に結合されても良く、よってプラテンの相対的運動を搬送装置3160の運動に変換(従って基板の図28の矢印3000Y1、3000Y2で示される方向の運動)(Z軸)することが、巻き線3402、3404の起動/非起動状態及びカートの上昇/降下によって生じ、よって基板Sの拾い上げ/設置が行われる。代替例においては、カートの基板搬送装置は任意の好適なタイプであっても良く、例えば1以上の関節セクションを有するスカラ型アームが挙げられる。種々の搬送装置セクションの独立した運動用の更なる独立した自由度は、更なるプラテンをカートに追加することによって提供され、これはプラテン3172同様、カートに対して独立して移動自在となるように取り付けられている。代替例においては、カートは前述した図22及び23に示すカート1558と類似していても良く、若しくは図24、24A乃至24C及び図25、25A乃至25Cに各々示されているカート1558'及び1558''と類似していても良い。
ここで再度図28及び29を参照すると、前述したように、搬送モジュールチャンバ3016はまたモジュール内のプラテン群/カートの位置を決定してコントロールする一体位置フィードバックシステム3336を有している。図28及び29に示す実施例においては、位置フィードバックシステム3336Sは精密な判定が可能であり、例えば約l乃至5μmの範囲の位置解像度及び精度を有している。モジュール3016は巨視的なすなわち粗い位置判定が可能な他の位置フィードバックシステム3340を有しても良く、これは例えば約10乃至20μmの位置解像度及び精度である。精密位置判定システム3336はリニア電気エンコーダシステムであっても良い。好適なリニアエンコーダシステムがネッツァー・プレシジョン・モータ・センサ社(Netzer Precision Motion Sensors, Ltd.)、若しくはファランド社(Farrand Corp.)から入手可能である。代替例においては、モジュールは、精密な判定が可能な任意の他の好適なタイプの位置判定システムを有しても良く、例えば電気光学エンコーダ、若しくは磁気制限型ハウ効果(Hau effect)検知システムがある。本実施例においては、精密位置システム3336はリニアスケール3336Sを有している。リニアスケール3336Sはモジュールフレーム3016Fの底面に取り付けられており、カート3229のパッシブセンサ登録特徴部N1乃至N4(図30参照)と相互作用する。代替例においては、該スケールはモジュールの任意の他の位置に配置されても良く、スケールが好適な位置に配置されてカートの登録特徴部を検知する。図28及び29に略図で示されているスケール3336Sは電気的に起動される要素であり、好適なAC源(図示せず)から好適な通信回線3336Cを経て励起される。例えば、該スケールは1以上のプリント回路ストリップを有しても良く、この上に周期的なパターンのフィールドトランスミッタが印刷されている。本実施例においては、スケール3336Sは更に、カート3229上の登録特徴部がスケールに沿って移動するにつれて該トランスミッタのフィールドの変化を検知することが可能な受信部を有しても良い。本実施例においては、該スケールはチャンバモジュールの反対側の両端部におけるモジュールインターフェース3016I1、3016I2の間に連続的に延在しても良い。代替例においては、スケールは精密な位置判定を必要とするモジュール内の領域においてモジュールに部分的にのみ延在しても良い。本実施例においては、スケール3336Sは多数の検知トラック3336S1乃至3336S5を有しても良く、各々がカート3229の対応するセンサ登録特徴部N1乃至N5の位置を検知することが可能である。図30から判るように、カート3229は多数のセンサ登録特徴部N1乃至N5を有しても良い。前述したように、センサ登録特徴部N1乃至N5は、本実施例においては、パッシブであり(すなわち動力が付与されていない)、磁石若しくは磁性体を含んでいる。図30に示す実施例においては、カート3229は5つのセンサ登録特徴部N1乃至N5を有しており、カート3229及び移動自在なプラテンの位置決めを可能にしている。該特徴部の2つ、例えば右にN4、N3及び左にNl、N2がカートの右側及び左側の登録及び位置決めに各々使用される。特徴部N5は、本実施例においては、移動自在なプラテンの位置の登録に使用される。図28及び30から判るように、登録特徴部N1乃至N4は、この場合はレール3336S1乃至3336S4と相互作用すべくカートの十分近接した底部に位置しており、横方向にオフセットしてスケール3336Sの対応する検知トラック3336S1乃至3336S4に実質的に並んでいる(図29をも参照)。更に、登録特徴部N3及びN4並びにN1及びN2は各々カート3229の右側及び左側の位置決定に使用されており、カートがモジュール3016、3016A間を縦走するときにカートの連続した位置判定を十分に行うように長手方向のピッチ3000Aでオフセットしている。例えば、1つのモジュールから次に通過する際、オフセット3000Aは、最も先頭の登録特徴部Nl、N3がカートが入りつつあるモジュールの対応する検知トラック(トラック3336Sl、3336S3と同様)との相互作用の開始後(すなわち位置判定が開始した)まで、最も後方の登録特徴部N2、N4が、カートが離れつつあるモジュールの対応するトラック3336S2、3336S4に相互作用するのを維持可能としている。従って、カート3229の位置決めは、チャンバ3014内のカートの縦走運動の間中、連続して確立される(図27参照)。プラテン3172の登録特徴部N5は、トラック3336S5と協働して、前述した方法と同様の方法によってプラテン3172の位置判定を可能にする。特徴部N1乃至N4及びN5に登録された位置信号の比較は(例えばCPUによって実施される)、移動自在なプラテン3172の相対位置の判定を可能にする。相対位置の情報はその後カートの基板搬送装置3160の作動のコントロールに使用されても良い。代替例においては、カートは任意の他の好適なアレンジメントの登録特徴部を有しても良く、より多くの若しくはより少ない数の登録特徴部を有しても良く、例えばカートの各側部に位置判定用に1つの登録特徴部がある。代替例においては、位置判定クロス位置システム3340を使用した概略の位置判定と、詳細位置決めシステム3336による精密位置判定との組合せによって位置判定を実施しても良い。例えば、カート3229のチャンバモジュール3016内の一般的な縦走運動の間は、概略位置決めシステム3340(これはホール効果(Hall effects)型位置検知システム若しくは電気光学エンコーダシステム等の任意の好適な位置判定システムであり、モジュールチャンバ全体に設置するのがより安価である)が使用され、更にカートが1つのモジュールから他に移動するときに位置決めに使用される。精密位置決めシステム3336がその後より制限された方法で使用され、これは例えばより厳密な位置判定の精度が必要とされる場合である。例えば、基板を処理モジュール3020、3020Aに移送するときは、カート3229及びプラテン3172の位置を精密に判定することが望ましい。従って、アクティブスケール3336Sの設置がサイジングされる場合は、処理モジュール3020と連絡するポート部3016P(図27参照)が位置する領域と略一致する。更に、移動自在なプラテン3172用の側部当たりの単一の登録特徴部、及び他の登録特徴部はカート3229及びプラテン3172の精密な位置判定には十分であり、基板搬送装置3160の正確な移動が可能となる。図29から判るように、概略及び詳細位置判定システム3340、3386からの信号は好適な回線3336Cを介して、若しくは無線手段によって通信され、CPUによって処理され、これは次に巻き線コントロールシステム3790を介して巻き線群のコントロール用の位置情報を使用する(図29参照)。通信回線3336CはオフモジュールCPUに結合する1以上のカップリング(カップリング3790Cと同様)を有しているが、モジュールの位置決めシステム3340、3336はまた専用の巻き線コントロールシステムのプロセッサに直接通信することも可能であり、よってチャンバモジュール3016は、全体的なツールコントロール構造と比較して、自発的に巻き線の操作をコントロールし、よってカート32229及びその上の搬送装置の所望の運動をもたらす。
各移送チャンバモジュール3016、3016Aは、モジュールが処理ツール用の完全な移送チャンバを形成することを可能にする前述したシステムを有していることが判る。例えば、ツール3018はほんの1つのモジュールの移送チャンバ3014を有するように形成されており、これは図5に示すツール18と同様の構成の、異なっているが互換性のあるモジュール3016、3016A、3016B、3016Cから選択される。図27に示されているように、モジュール3016、3016A、3016B、3016Cは他には任意の順序で結合されても良く、これはモジュールの共通のインターフェースに当接することによって行われ、よって所望の構成の移送チャンバ3014及びツール3018が形成される。自立した各モジュール3016、3016A、3016B、3016Cの操作性は、ツールのアセンブリをモジュールインターフェースにおいて機械的接続のように簡易に完成することを可能にする。
前述した記載は本発明の単なる説明であると理解すべきである。当業者であれば本発明から逸脱することなく種々の変形や変更を考案することが可能である。従って、本発明はかかる変形例、変更例及びここに添付するクレームの範囲内に包含される差異を全て含むことを企図している。
従来技術による基板処理装置の略平面図である。 本発明の第1実施例による特徴部分を備えた基板処理装置の略平面図である。 本発明の他の実施例による基板処理装置の略平面図である。 本発明の更に他の実施例による基板処理装置の略平面図である。 本発明の更に他の実施例による基板処理装置の略平面図である。 本発明の更に他の実施例による基板処理装置の略平面図である。 他の実施例による2つの基板処理装置を備えた基板処理システムの略平面図である。 更に他の実施例の基板処理システムの略平面図である。 他の従来の基板処理装置の略平面図である。 従来の数多くの処理装置及びストッカを含んだ 従来の基板処理システムの略平面図である。 基板処理装置のプラテン駆動システムの端面図である。 基板処理装置の他のプラテン駆動システムの各々端面図及び断面図(図11Aの線11B-11Bに沿った線で切断)である 装置の他の実施例における基板処理装置の例示のカートの平面図である。 図12の例示のカートの他の平面図であり、カートは伸長した位置に示されている。 装置のチャンバの一部内にある図12の例示のカートの端面図である。 装置のチャンバの一部の頂部端面図であり、装置の他の実施例による駆動システム及び搬送カートを備えている。 図13Aの線13B-13Bに沿って切断したチャンバ及びカートの断面図である。 図13Bの線13C-13Cに沿って切断したチャンバ及びカートの断面図である。 装置の例示の駆動システムの略図である 図2の装置に使用されるカートの他の実施例の端面図である。 駆動システムの軸偏位Zと復元力Fとの間の関係を説明する図である。 他の実施例による装置の半導体ワークピース搬送カートの略斜視図である。 他の実施例による装置の半導体ワークピース搬送カートの正面分解図である。 他の実施例による搬送カートの略斜視図である。 図2の移送装置の一部及び装置のワークピースチャック回転装置の断面図である。 装置のワークピースチャック回転装置及び搬送カートの正面図であり、各々搬送カートは異なる位置にある。 更に他の実施例によるチャック回転装置の略正面図である 装置用の搬送カートの更に他の実施例の略平面図である。 装置用の搬送カートの更に他の実施例の略正面図である。 図22の搬送カートの他の平面図であり、カートの移送アームが各々異なる2つの位置にある。 搬送カートの他の実施例の略正面図である。 図24の搬送カートの平面図であり、各々カートの移送アーム連結部が3つの異なる位置で示されている。 搬送カートの更に他の実施例の略正面図である。 図25の搬送カートの平面図であり、各々カートの移送アーム連結部が3つの異なる位置で示されている。 装置のコントローラのシステムコントロールソフトウエアの略図である。 本発明の更に他の実施例による基板処理システムの略平面図である。 図27のシステムの移送チャンバの代表的なモジュールの正面断面図である。 図28の線29-29で切断したチャンバモジュールの断面図である。 図27のシステムの基板移送の底面図である。

Claims (10)

  1. 基板処理装置であって、
    外部雰囲気から分離されることが可能なチャンバと、
    前記チャンバと処理モジュールとの間での基板の移送を可能にすべく、各々前記チャンバに流通自在に結合した複数の処理モジュールの全体として直線的なアレイと、
    前記チャンバ内に配置されて前記チャンバから移動自在に支持されており、且つ、前記基板を複数の処理モジュール間で移動せしめるべく前記チャンバによって画定されるリニア経路に沿って移動可能な基板移送部と、
    前記移送部を駆動して前記リニア経路に沿って移動せしめるべく前記チャンバに結合されており、かつ前記チャンバの内部環境から分離されている一部を有するリニアモータ駆動システムと、からなり、
    前記チャンバは順に当接して前記チャンバを画定している選択された数量のチャンバモジュール群を含んでおり、各チャンバモジュールは、前記チャンバが2以上のチャンバモジュールを含む場合に、前記リニアモータ駆動システムの前記一部を構成する部分を有し、前記リニアモータ駆動システムの前記一部を構成する部分を含むチャンバモジュールの各々は、ユニットとして前記チャンバに着脱可能であることを特徴とする基板処理装置。
  2. 前記チャンバモジュールは互換可能であることを特徴とする請求項1に記載の装置。
  3. 前記チャンバモジュールの前記リニアモータ駆動システムの一部を構成する部分は前記チャンバモジュールの前記移送部を駆動することを特徴とする請求項1に記載の装置。
  4. 隣接するチャンバモジュールの前記リニアモータ駆動システムの一部を構成する部分協働して隣接するチャンバモジュール間で前記移送部を自由に移動せしめることを特徴とする請求項1に記載の装置。
  5. 隣接するチャンバモジュールは互いに分離されることが可能であり、前記移送部及び前記リニアモータ駆動システムは、前記移送部が隣接するチャンバモジュール間を、前記隣接するチャンバモジュール同士を互いに分離することが可能な閉鎖自在な通路を介して移動することを可能にする構造を有していることを特徴とする請求項1に記載の装置。
  6. 前記リニアモータ駆動システムは前記チャンバの内部環境から分離されたリニア駆動システムを有することを特徴とする請求項1に記載の装置。
  7. 前記リニアモータ駆動システムは鉄心リニア駆動システムを含むことを特徴とする請求項6に記載の装置。
  8. 前記リニアモータ駆動システムはコアレスリニア駆動システムを含むことを特徴とする請求項1に記載の装置。
  9. 前記移送部は前記基板を前記チャンバと処理モジュール群との間で搬送する基板移送アームを有しており、前記移送部はパッシブ移送部であることを特徴とする請求項1に記載の装置。
  10. 基板を前記装置内にローディングする第1端部と、
    第1の装置モジュールであって、前記第1端部に結合されて前記基板を前記第1端部と前記第1の装置モジュールとの間で移動可能にし、外部雰囲気からの分離が可能であり、互いに連絡自在に結合されて前記基板がその間を移送されるのを可能にする第1の処理チャンバ及び第1の基板移送チャンバモジュールを有する、第1の装置モジュールと、
    前記第1の装置モジュールにそのフロントエンドに対して順に任意的に結合されている第2の装置モジュールであって、互いに連絡自在に結合されて前記基板のその間の移送を可能にする第2の処理チャンバ及び第2の基板移送チャンバモジュールを有している第2の装置モジュールと
    板搬送部を駆動して前記装置モジュールを通って直線経路に沿って移動させるために前記第1の及び第2の基板移送チャンバモジュールの各々に接続されており、前記第1及び第2の基板移送チャンバモジュールの内部環境から分離されているリニアモータ駆動部を含むリニアモータ駆動システムと、を含み、
    前記基板搬送部は、前記基板移送チャンバモジュール内に設置されて前記基板移送チャンバモジュールに移動自在に係合しており、前記基板を前記第1の基板移送チャンバモジュールと前記第2の基板移送チャンバモジュールとの間で移動せしめ、前記基板搬送部は、前記第1及び第2の基板移送チャンバモジュールに対して前記基板搬送部を駆動して移動させるために前記リニアモータ駆動システムと相互作用するように構成されている駆動モータ部を含み、前記基板搬送部の前記駆動モータ部は、封止されている前記基板移送チャンバモジュール内に配されていることを特徴とする基板移送装置。
JP2007535915A 2004-10-09 2005-10-11 基板処理装置 Active JP5065900B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/962,787 US7988398B2 (en) 2002-07-22 2004-10-09 Linear substrate transport apparatus
US10/962,787 2004-10-09
PCT/US2005/036650 WO2006042273A1 (en) 2004-10-09 2005-10-11 Substrate processing apparatus

Publications (2)

Publication Number Publication Date
JP2008516457A JP2008516457A (ja) 2008-05-15
JP5065900B2 true JP5065900B2 (ja) 2012-11-07

Family

ID=36148677

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007535915A Active JP5065900B2 (ja) 2004-10-09 2005-10-11 基板処理装置

Country Status (6)

Country Link
US (3) US7988398B2 (ja)
EP (1) EP1805792B1 (ja)
JP (1) JP5065900B2 (ja)
KR (2) KR100951680B1 (ja)
CN (1) CN101091241B (ja)
WO (1) WO2006042273A1 (ja)

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20050113976A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8639365B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US7203563B2 (en) * 2004-04-08 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic N2 purge system for 300 mm full automation fab
US7477956B2 (en) * 2004-07-12 2009-01-13 Applied Materials, Inc. Methods and apparatus for enhancing electronic device manufacturing throughput
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
JP2007123332A (ja) * 2005-10-25 2007-05-17 Nikon Corp ステージ装置、露光装置、デバイスの製造方法
WO2007101228A2 (en) * 2006-02-27 2007-09-07 Blueshift Technologies, Inc. Semiconductor wafer handling and transport
JP5107056B2 (ja) * 2006-03-24 2012-12-26 株式会社日立国際電気 基板処理装置の管理方法、基板処理システムおよび集中管理装置
US7628574B2 (en) * 2006-03-28 2009-12-08 Arcus Technology, Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP2008034746A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 塗布、現像装置、その方法及び記憶媒体
US7675048B2 (en) * 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
WO2009003193A1 (en) 2007-06-27 2008-12-31 Brooks Automation, Inc. Position feedback for self bearing motor
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
WO2009003195A1 (en) 2007-06-27 2008-12-31 Brooks Automation, Inc. Motor stator with lift capability and reduced cogging characteristics
KR101660894B1 (ko) 2007-06-27 2016-10-10 브룩스 오토메이션 인코퍼레이티드 다차원 위치 센서
US8823294B2 (en) 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
KR101825595B1 (ko) 2007-07-17 2018-02-05 브룩스 오토메이션 인코퍼레이티드 챔버 벽들에 일체화된 모터들을 갖는 기판 처리 장치
WO2009029902A1 (en) * 2007-08-31 2009-03-05 Applied Materials, Inc. Photovoltaic production line
JP5247094B2 (ja) * 2007-09-14 2013-07-24 インテヴァック インコーポレイテッド 基板処理システム
KR100929817B1 (ko) * 2007-10-23 2009-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 장치의 제조 방법
JP2009147236A (ja) * 2007-12-17 2009-07-02 Mitsubishi Heavy Ind Ltd 真空処理装置
JP5419384B2 (ja) * 2008-05-20 2014-02-19 東京エレクトロン株式会社 真空処理装置
US8215473B2 (en) * 2008-05-21 2012-07-10 Applied Materials, Inc. Next generation screen printing system
JP5470770B2 (ja) * 2008-08-07 2014-04-16 シンフォニアテクノロジー株式会社 真空処理装置
JP5262412B2 (ja) * 2008-08-07 2013-08-14 シンフォニアテクノロジー株式会社 真空処理装置
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
KR101543681B1 (ko) * 2009-01-15 2015-08-11 주성엔지니어링(주) 기판 처리 시스템
DE102009029314A1 (de) * 2009-01-29 2010-08-05 Robert Bosch Gmbh Transportvorrichtung
US9096375B2 (en) 2009-04-10 2015-08-04 Symbotic, LLC Storage and retrieval system
WO2010126089A1 (ja) * 2009-04-28 2010-11-04 キヤノンアネルバ株式会社 識別情報設定装置、および識別情報設定方法
US8378252B2 (en) * 2009-05-29 2013-02-19 Electro Scientific Industries, Inc. Method and apparatus for hybrid resolution feedback of a motion stage
JP5487741B2 (ja) * 2009-06-10 2014-05-07 株式会社ニコン 基板貼り合わせ装置
US8712571B2 (en) * 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US8696815B2 (en) 2009-09-01 2014-04-15 Samsung Display Co., Ltd. Thin film deposition apparatus
US20110172952A1 (en) * 2009-10-05 2011-07-14 Upendra Ummethala Apparatus and Method for Measuring Position and/or Motion Using Surface Micro-Structure
TWI458612B (zh) * 2009-11-10 2014-11-01 Intevac Inc 可作z軸運動並具多關節手臂之線性真空機械手
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
US8911554B2 (en) * 2010-01-05 2014-12-16 Applied Materials, Inc. System for batch processing of magnetic media
KR101690970B1 (ko) * 2010-02-19 2016-12-29 주성엔지니어링(주) 기판 처리 시스템 및 기판 반송 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5614326B2 (ja) * 2010-08-20 2014-10-29 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
KR101682465B1 (ko) * 2010-11-17 2016-12-05 삼성전자 주식회사 기판이송로봇
US8694152B2 (en) 2010-12-15 2014-04-08 Symbotic, LLC Maintenance access zones for storage and retrieval systems
US10822168B2 (en) 2010-12-15 2020-11-03 Symbotic Llc Warehousing scalable storage structure
US9008884B2 (en) 2010-12-15 2015-04-14 Symbotic Llc Bot position sensing
US9475649B2 (en) 2010-12-15 2016-10-25 Symbolic, LLC Pickface builder for storage and retrieval systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5883232B2 (ja) 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
CN102296285A (zh) * 2011-09-09 2011-12-28 汉能科技有限公司 一种线列式有机金属化合物气相淀积系统及方法
TWI622540B (zh) 2011-09-09 2018-05-01 辛波提克有限責任公司 自動化儲存及取放系統
KR101829397B1 (ko) 2011-09-16 2018-02-19 퍼시몬 테크놀로지스 코포레이션 낮은 가변성을 가진 로봇
US20130069450A1 (en) * 2011-09-16 2013-03-21 Persimmon Technologies, Corp. Robot Drive With Passive Rotor
US9837294B2 (en) * 2011-09-16 2017-12-05 Persimmon Technologies Corporation Wafer transport system
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10137576B2 (en) 2011-12-16 2018-11-27 Brooks Automation, Inc. Transport apparatus
US9558978B2 (en) 2012-05-04 2017-01-31 Kla-Tencor Corporation Material handling with dedicated automated material handling system
US8881629B2 (en) * 2012-06-12 2014-11-11 Graham Packaging Company, L.P. Continuous motion de-flash trimming machine
KR102266001B1 (ko) 2012-06-28 2021-06-16 유니버셜 인스트루먼츠 코퍼레이션 융통성 있는 조립 기계, 시스템 및 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9293317B2 (en) 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
CN102897522B (zh) * 2012-10-22 2015-12-09 大同齿轮(昆山)有限公司 输送及加工高效配合的加工装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR102548468B1 (ko) * 2013-01-22 2023-06-27 브룩스 오토메이션 인코퍼레이티드 기판 이송기
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
JP6461907B2 (ja) 2013-03-15 2019-01-30 シムボティック エルエルシー 統合された安全なヒトアクセス領域および遠隔のローバーシャットダウンを備える自動保管および取り出しシステム
TWI594933B (zh) 2013-03-15 2017-08-11 辛波提克有限責任公司 自動化貯藏及取放系統
TWI642028B (zh) 2013-03-15 2018-11-21 辛波提克有限責任公司 具有整合式受保護的人員接觸區及遠端漫遊機關機之運送系統及自動化儲存和取放系統
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US10424498B2 (en) 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
US10894663B2 (en) 2013-09-13 2021-01-19 Symbotic Llc Automated storage and retrieval system
US10777438B2 (en) * 2013-10-18 2020-09-15 Brooks Automation, Inc. Processing apparatus
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
KR20150052996A (ko) * 2013-11-07 2015-05-15 삼성디스플레이 주식회사 기판 이송 장치 및 이를 포함하는 박막 증착 장치
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
KR102503399B1 (ko) 2013-11-13 2023-02-24 브룩스 오토메이션 인코퍼레이티드 씰링된 로봇 드라이브
KR102383699B1 (ko) 2013-11-13 2022-04-06 브룩스 오토메이션 인코퍼레이티드 브러쉬리스 전기 기계 제어 방법 및 장치
KR20230048164A (ko) 2013-11-13 2023-04-10 브룩스 오토메이션 인코퍼레이티드 밀봉된 스위치드 릴럭턴스 모터
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US10170348B2 (en) * 2013-12-26 2019-01-01 Konica Minolta, Inc. Production system for printing electronic devices
KR102192244B1 (ko) * 2013-12-30 2020-12-17 삼성디스플레이 주식회사 기판 이송장치
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
KR102432133B1 (ko) 2014-01-21 2022-08-12 퍼시몬 테크놀로지스 코포레이션 기판 이송 진공 플랫폼
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9955618B2 (en) 2014-02-07 2018-04-24 Universal Instruments Corporation Pick and place head with internal vaccum and air pressure supply, system and method
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
WO2015164298A1 (en) * 2014-04-21 2015-10-29 Persimmon Technologies, Corp. Robot having isolated stator and encoder
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR20190071003A (ko) * 2014-10-10 2019-06-21 캐논 아네르바 가부시키가이샤 성막 장치
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR20230048568A (ko) * 2014-11-10 2023-04-11 브룩스 오토메이션 인코퍼레이티드 툴 자동-교시 방법 및 장치
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
WO2016131190A1 (en) * 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
KR102587203B1 (ko) 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
EP3405973A1 (en) * 2016-01-18 2018-11-28 Applied Materials, Inc. Apparatus for transportation of a substrate carrier in a vacuum chamber, system for vacuum processing of a substrate, and method for transportation of a substrate carrier in a vacuum chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6744155B2 (ja) * 2016-06-30 2020-08-19 日本電産サンキョー株式会社 搬送システム
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
JP6830772B2 (ja) * 2016-08-04 2021-02-17 株式会社ジャパンディスプレイ 積層膜の製造装置、及び積層膜の製造方法
US10607879B2 (en) 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
HUE051061T2 (hu) 2016-09-09 2021-03-01 Procter & Gamble Vákuumos tartó nyújtható körkörös tömítéssel
WO2018049106A1 (en) 2016-09-09 2018-03-15 The Procter & Gamble Company Track system for creating finished products
EP3510459A2 (en) 2016-09-09 2019-07-17 The Procter and Gamble Company System and method for independently routing container-loaded vehicles to create different finished products
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
EP3510457A1 (en) 2016-09-09 2019-07-17 The Procter and Gamble Company Methods for simultaneously producing different products on a single production line
CA3035965C (en) 2016-09-09 2022-01-11 The Procter & Gamble Company System and method for simultaneously filling containers with different fluent compositions
EP4194378A1 (en) 2016-09-09 2023-06-14 The Procter & Gamble Company System and method for independently routing vehicles and delivering containers and closures to unit operation stations
WO2018049104A1 (en) 2016-09-09 2018-03-15 The Procter & Gamble Company System and method for simultaneously filling containers of different shapes and/or sizes
EP3510458A2 (en) * 2016-09-09 2019-07-17 The Procter and Gamble Company System and method for producing products based upon demand
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
CN106816351B (zh) * 2017-01-20 2018-08-17 信利(惠州)智能显示有限公司 一种离子注入装置
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US9885160B1 (en) 2017-02-01 2018-02-06 Kevin Stone Rotatable snowplow blade apparatus, systems and methods of using the same
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US20180308728A1 (en) * 2017-02-07 2018-10-25 Brooks Automation, Inc. Method and apparatus for substrate transport
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN106921245A (zh) * 2017-05-09 2017-07-04 泰州市姜堰德力电机有限公司 一种潜水电机
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102284795B1 (ko) * 2018-03-23 2021-08-03 홍잉 인라인 박막 프로세싱 장치
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20210272832A1 (en) * 2018-06-18 2021-09-02 Lam Research Corporation Reduced footprint wafer handling platform
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
CN109590884B (zh) * 2019-01-11 2021-03-16 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 多载盘晶圆传送设备及传送系统
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11136197B2 (en) * 2019-01-14 2021-10-05 Goodrich Corporation Carrier-based semi-automated cargo handling system
WO2020167939A1 (en) * 2019-02-14 2020-08-20 Persimmon Technologies Corporation Magnetically guided material handling robot
KR102180636B1 (ko) * 2019-08-12 2020-11-18 (주)에이피텍 카메라 모듈을 제조하는 모듈화 인라인 시스템 및 이를 이용한 카메라 모듈 제조 방법
KR102196698B1 (ko) * 2019-09-06 2020-12-30 (주)에이피텍 공정률이 개선된 카메라 모듈 제조 인라인 시스템
US11476139B2 (en) 2020-02-20 2022-10-18 Brooks Automation Us, Llc Substrate process apparatus
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
US20230075394A1 (en) * 2020-04-02 2023-03-09 Applied Materials, Inc. Inspection system
KR102247183B1 (ko) * 2020-05-29 2021-05-04 주식회사 싸이맥스 효율적인 설치면적을 갖는 웨이퍼 공정 장치
US11862499B2 (en) * 2020-08-19 2024-01-02 Applied Materials, Inc. Multiplexing control of multiple positional sensors in device manufacturing machines
US11501957B2 (en) 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
JP2022142568A (ja) * 2021-03-16 2022-09-30 東京エレクトロン株式会社 基板を処理する装置及び基板を搬送する方法
JP2022143380A (ja) * 2021-03-17 2022-10-03 東京エレクトロン株式会社 開閉装置及び搬送室
US20230143307A1 (en) * 2021-10-29 2023-05-11 Brooks Automation US, LLC. Substrate processing apparatus

Family Cites Families (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US3407749A (en) 1966-08-31 1968-10-29 Gen Motors Corp Motor for propulsion and load support
US3470828A (en) 1967-11-21 1969-10-07 James R Powell Jr Electromagnetic inductive suspension and stabilization system for a ground vehicle
FR2098210B1 (ja) 1970-07-07 1973-06-29 Japan National Railway
DE2160666B2 (de) 1971-12-07 1973-09-27 Siemens Ag, 1000 Berlin U. 8000 Muenchen Elektrodynamisches Magnetsystem fur die Schwebeführung eines bewegten Fahr Zeugs
DE2220735A1 (de) * 1972-01-20 1973-11-08 Krauss Maffei Ag Anordnung zum beruehrungsfreien magnetischen tragen eines schwebefahrzeugs im bereich einer fahrbahnverzweigung
US3937148A (en) 1973-01-02 1976-02-10 Cambridge Thermionic Corporation Virtually zero power linear magnetic bearing
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4042128A (en) 1975-11-26 1977-08-16 Airco, Inc. Substrate transfer apparatus for a vacuum coating system
US4348139A (en) 1980-04-30 1982-09-07 International Business Machines Corp. Gas film wafer transportation system
US4307668A (en) 1980-05-19 1981-12-29 Vinson Roy D Transportation system unitizing permanent magnets for levitation of a vehicle
US4518078A (en) 1982-05-24 1985-05-21 Varian Associates, Inc. Wafer transport system
JPS5950538A (ja) * 1982-09-17 1984-03-23 Hitachi Ltd ウエハ搬送装置
JPS605509A (ja) 1983-06-24 1985-01-12 Hitachi Ltd 分子線エピタキシ装置
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPS6162739A (ja) 1984-09-03 1986-03-31 Sanki Eng Co Ltd クリ−ントンネル
US4624617A (en) * 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
JPS62114403A (ja) * 1985-11-13 1987-05-26 Fuji Electric Co Ltd 搬送装置
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4676884A (en) 1986-07-23 1987-06-30 The Boc Group, Inc. Wafer processing machine with evacuated wafer transporting and storage system
US4717461A (en) * 1986-09-15 1988-01-05 Machine Technology, Inc. System and method for processing workpieces
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
CA1271239A (en) 1986-12-26 1990-07-03 Shunsuke Fujiwara Levitation-propulsion mechanism for inductive repulsion type magnetically levitated railway
US5040484A (en) 1987-05-04 1991-08-20 Varian Associates, Inc. Apparatus for retaining wafers
US4805761A (en) * 1987-07-14 1989-02-21 Totsch John W Magnetic conveyor system for transporting wafers
DE3735284A1 (de) * 1987-10-17 1989-04-27 Leybold Ag Vorrichtung nach dem karussell-prinzip zum beschichten von substraten
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US4913059A (en) 1988-02-25 1990-04-03 Railway Technical Research Institute Levitation, propulsion and guidance mechanism for inductive repulsion-type magnetically levitated railway
JP2761881B2 (ja) 1988-03-10 1998-06-04 チッソ株式会社 抗体を固定化したアフイニテイクロマトグラフイ用担体
US4794863A (en) 1988-03-21 1989-01-03 International Business Machines Corporation Motive structure for transporting workpieces
IT1216642B (it) * 1988-03-29 1990-03-08 Mariani Enrico Sistema per spostare un'anta di un mobile da una posizione aperta ad una chiusa.
EP0346815A3 (en) * 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JPH0419081A (ja) 1990-05-15 1992-01-23 Seiko Instr Inc 真空内搬送ロボット
JPH04350023A (ja) 1990-08-08 1992-12-04 Mitsubishi Heavy Ind Ltd 搬送装置及び搬送用パレット
US5219828A (en) * 1990-10-01 1993-06-15 Sharp Kabushiki Kaisha Method for fabricating oxide superconducting coatings
JPH04275449A (ja) * 1991-03-04 1992-10-01 Mitsubishi Heavy Ind Ltd 磁気搬送装置
US5180048A (en) 1990-10-12 1993-01-19 Mitsubishi Jukogyo Kabushiki Kaisha Magnetic levitating transportation system
JP2858275B2 (ja) 1990-12-28 1999-02-17 セイコー精機株式会社 搬送装置
US5994798A (en) * 1998-02-26 1999-11-30 Anorad Corporation Closed-path linear motor
JPH04286537A (ja) 1991-03-18 1992-10-12 Seiko Seiki Co Ltd 搬送装置
US5154730A (en) * 1991-05-17 1992-10-13 Materials Research Corporation Semiconductor wafer processing module having an inclined rotating wafer handling turret and a method of using the module
EP0529157A1 (en) * 1991-08-22 1993-03-03 Mitsubishi Jukogyo Kabushiki Kaisha Alternating current magnetic levitation transport system
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
JPH0815181B2 (ja) * 1991-09-27 1996-02-14 株式会社荏原製作所 磁気浮上搬送装置の仕切弁
US5275709A (en) * 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
US5282424A (en) 1991-11-18 1994-02-01 Neill Gerard K O High speed transport system
JP3015566B2 (ja) * 1991-12-20 2000-03-06 三菱重工業株式会社 交流磁気浮上搬送装置
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
WO1994000868A1 (en) 1992-06-26 1994-01-06 Materials Research Corporation Transport system for wafer processing line
EP0648698B1 (en) * 1992-07-07 1998-01-07 Ebara Corporation Magnetically levitated carrying apparatus
KR100302012B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
US6296735B1 (en) 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5417537A (en) 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5402021A (en) 1993-05-24 1995-03-28 Johnson; Howard R. Magnetic propulsion system
US5551350A (en) * 1993-10-07 1996-09-03 Daifuku Co., Ltd. Transporting system driven by linear motor having inductive power supply
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3279032B2 (ja) 1993-12-16 2002-04-30 スズキ株式会社 船外機のエンジン回転数制御装置
JPH07172580A (ja) * 1993-12-16 1995-07-11 Ebara Corp トンネル搬送装置
JPH07176593A (ja) * 1993-12-20 1995-07-14 Ebara Corp 搬送装置
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP3965343B2 (ja) * 1994-08-19 2007-08-29 東京エレクトロン株式会社 処理装置
US5651868A (en) * 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
JPH08119409A (ja) * 1994-10-27 1996-05-14 Tokyo Electron Ltd 集合処理装置
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
TW309503B (ja) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
CH691376A5 (de) * 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
TW318258B (ja) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
TW349897B (en) 1996-02-02 1999-01-11 Komatsu Mfg Co Ltd Operational robot
NO304220B1 (no) * 1996-04-30 1998-11-16 Sintef FremgangsmÕte til fremstilling av tynne metallmembraner
US6450103B2 (en) 1996-05-07 2002-09-17 Einar Svensson Monorail system
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US5881649A (en) 1996-08-13 1999-03-16 Anelva Corporation Magnetic transfer system, power transmission mechanism of the magnetic transfer system, and rotational driving member used for the system
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US5980193A (en) 1996-09-18 1999-11-09 Magnetic Bearing Technologies, Inc. Magnetically levitated robot and method of increasing levitation force
JP3947761B2 (ja) 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US5944475A (en) * 1996-10-11 1999-08-31 Asyst Technologies, Inc. Rotated, orthogonal load compatible front-opening interface
US5998889A (en) * 1996-12-10 1999-12-07 Nikon Corporation Electro-magnetic motor cooling system
NO971605L (no) * 1997-04-08 1998-10-09 Ericsson Telefon Ab L M Anordning for forbedring av tilgjengelighet av tjenester i et kommunikasjonssystem
US5904101A (en) 1997-04-22 1999-05-18 Power Superconductor Applications Co., Inc. Auxiliary propulsion for magnetically levitated vehicle
US5894760A (en) * 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6234737B1 (en) * 1997-07-22 2001-05-22 Richard C. Young Robotic container handler system
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6011508A (en) 1997-10-31 2000-01-04 Magnemotion, Inc. Accurate position-sensing and communications for guideway operated vehicles
JPH11145215A (ja) 1997-11-11 1999-05-28 Mitsubishi Electric Corp 半導体検査装置およびその制御方法
US6101952A (en) 1997-12-24 2000-08-15 Magnemotion, Inc. Vehicle guidance and switching via magnetic forces
US6363109B1 (en) 1998-02-03 2002-03-26 Texas Instruments Incorporated Methods and device for estimating and correcting clipping in a discrete multi-tone communications system
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
TW494443B (en) 1998-05-12 2002-07-11 Semitool Inc Process and manufacturing tool architecture for use in the manufacture of one or more metallization levels on a workpiece
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6540896B1 (en) 1998-08-05 2003-04-01 Caliper Technologies Corp. Open-Field serial to parallel converter
JP2000150611A (ja) * 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
US6145444A (en) 1998-12-16 2000-11-14 Wilkinson; Kerry E. Micro clean sealed tubular transporter apparatus
NL1010836C2 (nl) * 1998-12-17 2000-06-23 O T B Engineering B V Oven voor het vervaardigen van zonnecellen.
US6435330B1 (en) * 1998-12-18 2002-08-20 Asyai Technologies, Inc. In/out load port transfer mechanism
JP2000286318A (ja) * 1999-01-27 2000-10-13 Shinko Electric Co Ltd 搬送システム
DE19921244A1 (de) 1999-05-07 2000-11-16 Siemens Ag Anlage zur Bearbeitung von Wafern
US6191394B1 (en) 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
JP4619594B2 (ja) * 1999-06-21 2011-01-26 エスアールアイ インターナショナル 摩擦のない輸送装置および方法
AU6341200A (en) 1999-07-02 2001-01-22 Magnemotion, Inc. System for inductive transfer of power, communication and position sensing to a guideway-operated vehicle
TW504941B (en) * 1999-07-23 2002-10-01 Semiconductor Energy Lab Method of fabricating an EL display device, and apparatus for forming a thin film
DE19945648C2 (de) 1999-09-23 2001-08-02 Steag Hamatech Ag Vorrichtung zum Be- und Entladen von Substraten
US6374748B1 (en) * 1999-10-28 2002-04-23 Murata Kikai Kabushiki Kaisha Tracking cart system
JP2001128316A (ja) * 1999-10-28 2001-05-11 Murata Mach Ltd 有軌道台車システム
US6578495B1 (en) 1999-11-23 2003-06-17 Magnemotion, Inc. Modular linear motor tracks and methods of fabricating same
US6364592B1 (en) 1999-12-01 2002-04-02 Brooks Automation, Inc. Small footprint carrier front end loader
US6271606B1 (en) * 1999-12-23 2001-08-07 Nikon Corporation Driving motors attached to a stage that are magnetically coupled through a chamber
JP3814453B2 (ja) * 2000-01-11 2006-08-30 キヤノン株式会社 位置決め装置、半導体露光装置およびデバイス製造方法
US6417537B1 (en) * 2000-01-18 2002-07-09 Micron Technology, Inc. Metal oxynitride capacitor barrier layer
US6357358B2 (en) 2000-03-07 2002-03-19 J. Kirston Henderson Magnetic levitation transport system
US6781524B1 (en) 2000-03-17 2004-08-24 Magnemotion, Inc. Passive position-sensing and communications for vehicles on a pathway
KR20020088419A (ko) 2000-04-05 2002-11-27 동경 엘렉트론 주식회사 처리 장치
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
US6297611B1 (en) 2000-07-06 2001-10-02 Genmark Automation Robot having independent end effector linkage motion
US20020061248A1 (en) 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
JP2002064968A (ja) * 2000-08-21 2002-02-28 Nippon Thompson Co Ltd 可動コイル型リニアモータを内蔵したスライド装置
JP4753224B2 (ja) * 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 ガスラインシステム
JP2002068476A (ja) 2000-08-29 2002-03-08 Anelva Corp 磁気搬送装置
US6962471B2 (en) * 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
NL1016733C2 (nl) 2000-11-29 2002-05-31 Otb Group Bv Transportinrichting geschikt voor het transporteren van ringvormige producten.
US6570273B2 (en) * 2001-01-08 2003-05-27 Nikon Corporation Electric linear motor
US6990906B2 (en) 2001-03-26 2006-01-31 James Russell Powell Electrical power storage and delivery using magnetic levitation technology
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US20020182036A1 (en) 2001-06-04 2002-12-05 Applied Materials, Inc. Semiconductor wafer handling robot for linear transfer chamber
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
CN1996552B (zh) 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
JP4821074B2 (ja) * 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6983701B2 (en) 2001-10-01 2006-01-10 Magnemotion, Inc. Suspending, guiding and propelling vehicles using magnetic forces
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
JP4389424B2 (ja) * 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
KR100480333B1 (ko) 2002-04-08 2005-04-06 엘지.필립스 엘시디 주식회사 액정표시장치용 어레이기판과 그 제조방법
ATE458090T1 (de) 2002-04-22 2010-03-15 Vsl Int Ag Verfahren zur verhinderung von relativen transversalen bewegungen zwischen einem rohr und mindestens einem kabel
US6684794B2 (en) * 2002-05-07 2004-02-03 Magtube, Inc. Magnetically levitated transportation system and method
NL1020633C2 (nl) 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
EP1535313B1 (en) 2002-07-22 2018-10-31 Brooks Automation, Inc. Substrate processing apparatus
US6952846B2 (en) 2002-08-30 2005-10-11 Regalo International, Llc. Mattress hugging bed rail
DE10251382A1 (de) 2002-11-01 2004-05-13 Siemens Ag Verfahren zur Betätigung einer Sperrklinke in einem Schloss mit Drehfalle für ein Kraftfahrzeug
US20040155162A1 (en) 2002-11-06 2004-08-12 Wilhelm Schneider Support part with fixing pin
US7380946B1 (en) 2003-01-28 2008-06-03 Pixelworks, Inc. Semiautomatic keystone correction system and method
JP4363064B2 (ja) * 2003-03-07 2009-11-11 株式会社安川電機 真空内駆動装置およびこれを用いた基板搬送装置
KR20050020138A (ko) 2003-08-21 2005-03-04 삼성전자주식회사 반송 시스템
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20050113976A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
KR101841753B1 (ko) 2006-08-18 2018-03-23 브룩스 오토메이션 인코퍼레이티드 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
BRPI0715675A2 (pt) 2006-12-28 2013-07-09 Panasonic Corp mÉtodo de avaliaÇço de meio de gravaÇço de informaÇÕes, meio de gravaÇço de informaÇÕes, mÉtodo de fabricaÇço de meio de gravaÇço de informaÇÕes, mÉtodo de processamento de sinal, e dispositivo de controle de acesso
JP5176416B2 (ja) 2007-07-20 2013-04-03 コニカミノルタビジネステクノロジーズ株式会社 文書処理装置、文書処理方法、および文書処理プログラム
JP5090383B2 (ja) 2009-01-21 2012-12-05 アルプス電気株式会社 光モジュール

Also Published As

Publication number Publication date
KR20070065423A (ko) 2007-06-22
KR20100017469A (ko) 2010-02-16
US7988398B2 (en) 2011-08-02
CN101091241A (zh) 2007-12-19
EP1805792A4 (en) 2009-07-08
EP1805792A1 (en) 2007-07-11
KR101110207B1 (ko) 2012-02-15
EP1805792B1 (en) 2015-12-23
US20050105991A1 (en) 2005-05-19
KR100951680B1 (ko) 2010-04-07
CN101091241B (zh) 2011-08-03
WO2006042273A1 (en) 2006-04-20
US8827617B2 (en) 2014-09-09
US20130230369A1 (en) 2013-09-05
US20110280693A1 (en) 2011-11-17
US8371792B2 (en) 2013-02-12
JP2008516457A (ja) 2008-05-15

Similar Documents

Publication Publication Date Title
JP5065900B2 (ja) 基板処理装置
US7575406B2 (en) Substrate processing apparatus
US9570330B2 (en) Substrate processing apparatus
US8602706B2 (en) Substrate processing apparatus
US20070183871A1 (en) Substrate processing apparatus
US8960099B2 (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080822

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110304

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110315

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110509

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120525

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120601

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120627

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120724

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120810

R150 Certificate of patent or registration of utility model

Ref document number: 5065900

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150817

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250