KR20100017469A - 기판 처리 장치 - Google Patents

기판 처리 장치 Download PDF

Info

Publication number
KR20100017469A
KR20100017469A KR1020097024874A KR20097024874A KR20100017469A KR 20100017469 A KR20100017469 A KR 20100017469A KR 1020097024874 A KR1020097024874 A KR 1020097024874A KR 20097024874 A KR20097024874 A KR 20097024874A KR 20100017469 A KR20100017469 A KR 20100017469A
Authority
KR
South Korea
Prior art keywords
chamber
transport
substrate
module
cart
Prior art date
Application number
KR1020097024874A
Other languages
English (en)
Other versions
KR101110207B1 (ko
Inventor
크리스토퍼 호프마이스터
로버트 티. 케이브니
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20100017469A publication Critical patent/KR20100017469A/ko
Application granted granted Critical
Publication of KR101110207B1 publication Critical patent/KR101110207B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

기판 처리 장치는 챔버, 처리 모듈의 일반적인 선형 어레이, 기판 운송부, 구동 시스템을 구비한다. 상기 챔버는 외측 대기와 분리될 수 있다. 상기 어레이의 각각의 처리 모듈은 상기 챔버에 통신가능하게 연결되어 기판이 챔버와 처리 모듈 사이에서 운송되는 것을 허용한다. 상기 기판 운송부는 챔버내에 배치되며 챔버로부터 이동가능하게 지지된다. 상기 운송부는 처리 모듈들 사이에서 기판을 운송하는 챔버에 의해 형성된 선형 경로를 따라 이동할 수 있다. 상기 구동 시스템은 선형 경로를 따라 운송부를 구동하고 이동시키는 챔버에 연결된다. 상기 챔버는 형성된 챔버에 연속하게 접한 선택가능한 다수의 챔버 모듈을 포함한다. 각각의 모듈은 구동 시스템의 일체부를 구비한다.
기판 처리 장치

Description

기판 처리 장치{Substrate processing apparatus}
본 출원은 그 전체가 본 출원에 편입된, 202년 7월 22일자 미국 가출원 번호 60/397,895호를 우선권 주장하여 2003년 7월 22일 출원된 일부 계속출원 10/624,987호의 일부 계속출원이다.
본원에서 설명된 실시예와 방법은 기판 처리 장치, 특히 카테시안(Cartesian) 장치에서 연결된 챔버를 구비한 기판 처리 장치에 관한 것이다.
새로운 전자 장치에 대한 소비자의 욕구에 영향을 미치는 요인중 하나는 장치의 가격이다. 반대로, 비용 즉 새로운 전자 장치의 가격이 낮춰지면, 새로운 장치에 대한 소비자의 욕구에 대하여 바람직한 결과가 나타나게 된다. 전자 장치에 대한 제조 단가의 중요한 부분은 제조되는 전자 요소에 사용되는 반도체 기판의 제조 및 처리에 관하여 시작되는 전자 장치의 비용 또는 디스플레이를 만드는데 사용되는 패널의 제조 비용이다. 기판의 제조 단가는 부분적으로는 처리 장치의 단가, 처리 장치가 하우징되는 장비의 단가에 영향을 받게 되며, 통상 단위 가격에 큰 영향을 주는 처리 장치에 의해 영향을 받게 된다. 바로 알 수 있는 바와 같이, 처리 장치 자체의 크기는 전술한 요인 모두에 영향을 주게 된다. 그러나, 일반적인 처 리 장치는 크기의 감소에 대하여 한계점에 도달하게 된다. 또한, 일반적인 처리 장치는 단위 유니트당 작업량 증가에 있어서 한계점에 도달하게 될 수 있다. 예를 들어, 일반적인 처리 장치는 방사상 처리 모듈 장치를 사용하게 된다. 일반적인 기판 처리 장치의 개략적인 평면도는 도 1에 도시된다. 도 1에 도시된 바와 같이, 상기 장치의 처리 모듈은 처리 장치의 운송 챔버 주위에서 방사상으로 배치된다. 이동 장치의 일반적인 2개 또는 3개의 축(예를 들어, z, θ, T 축)이 되는 운동 장치는 처리 모듈들 사이에서 기판을 운동하기 위하여 운송 챔버에서 중심에 배치되게 된다. 도 1에서 알 수 있는 바와 같이, 일반적인 처리 장치의 작업량은 상기 운송 장치의 취급 속도에 따라 한계가 형성된다. 환언하면, 작업량은 상기 장치에 대한 처리 모듈을 단순히 부가함으로써 일반적인 장치에서 증가되지 않는데, 그 이유는 일단 운송 장치가 취급 속도 피크치에 도달하면 작업량에 대한 제어 요인이 되기 때문이다.
본 발명의 장치는 하기에서 설명되는 바와 같이 선행 기술의 문제점을 극복할 수 있다.
제 1 실시예에 따르면, 기판 처리 장치가 제공된다. 상기 장치는 운동 챔버, 기판을 홀딩하기 위한 적어도 하나의 기판 홀딩 모듈, 운송 차량, 및 다른 모듈을 포함한다. 상기 운송 챔버는 그 내부에 제어된 대기를 가질 수 있다. 적어도 하나의 기판 홀딩 모듈은 적어도 하나의 운동 모듈 및 운송 챔버간의 기판 운동을 허용하도록 운송 챔버에 통신 가능하게 연결된다. 상기 운송 차량은 상기 운송 챔버에 이동가능하게 장착된다. 상기 차량은 베이스와, 상기 베이스에 이동가능하게 장착되고 이동가능하게 조인트 연결된 기판 운송 아암을 구비한다. 다른 모듈은 상기 기판을 홀딩할 수 있으며, 그 사이에서 기판을 챔버로 운송하도록 운송 챔버에 통신가능하게 연결된다. 상기 운송 챔버는 상기 차량에 대하여 선형의 이동 슬롯을 형성한다. 적어도 하나의 홀딩 모듈은 상기 슬롯의 일측에 배치되며 상기 아암은 상기 슬롯의 타측으로 기판을 이동시키도록 관절부를 구비한다. 이로 인하여, 상기 다른 모듈은 상기 슬롯의 양측상에서 운송 챔버에 선택적으로 연결되게 된다. 상기 운송 차량은 상기 운송 챔버 및 양 홀딩 모듈과 다른 모듈간의 기판의 운송에 영향을 미치게 된다.
다른 실시예에 따르면, 기판 처리 장치가 제공된다. 상기 장치는 선형의 운 송 챔버, 기판을 처리하기 위한 적어도 하나의 처리 모듈, 타측 모듈(the other module), 및 운송 차량을 포함한다. 상기 선형 운송 챔버는 그 내부에 제어된 대기를 가질 수 있다. 상기 챔버는 기판 운송 개구를 구비한다. 상기 처리 모듈은 상기 운송 개구를 통하여 적어도 하나의 처리 모듈과 운송 챔버 사이에서 기판의 운송을 허여하기 위하여 챔버의 일측에 통신가능하게 연결된다. 상기 타측 모듈은 그 내부에 기판을 홀딩할 수 있다. 상기 타측 모듈은 적어도 하나의 처리 모듈로서 상기 챔버의 동일한 측면에 연결되거나 상기 챔버의 반대측에 선택적으로 연결된다. 상기 차량은 베이스 및 상기 베이스에 이동가능하게 장착된 연결된 기판 운송 아암을 구비한다. 상기 운송 아암은 차량이 운송 챔버 및 적어도 하나의 처리 모둘 및 타측 모듈들 사이에서 기판을 운송할 수 있는 범위(reach)를 가진다. 상기 챔버는 적어도 하나의 최소 챔버 폭 또는 차량 기판 아암의 주어진 리치를 위한 최소 기판 운송 개구를 구비한다.
다른 실시예에서, 반도체 제품 처리 장치가 제공된다. 상기 장치는 제1 챔버, 운송 차량, 및 타측 챔버를 포함한다. 상기 제 1 챔버는 외부의 대기로부터 고립될 수 있다. 상기 운송 차량은 상기 제 1 챔버 내에 위치되며 상기 제 1 쳄버에 대하여 선형적으로 이동하도록 제 1 챔버로부터 이동가능하게 지지된다. 상기 운송 차량은 베이스 및 상기 베이스에 이동가능하게 장착되며 상기 베이스에 대하여 다중 접근 운송할 수 있는 일체형 반도체 제품 운송 아암을 포함한다. 상기 타측 챔버는 상기 제 1 챔버의 폐쇄식 개구를 통하여 제 1 챔버로 통신가능하게 연결된다. 상기 개구는 상기 운송 차량이 상기 개구를 통하여 상기 제 1 챔버 및 타측 챔버사이에서 전이되는 크기를 가진다.
다른 실시예에 의하면, 기판 처리 장치가 제공된다. 상기 장치는 운송 챔버, 기판을 홀딩하는 적어도 하나의 기판 홀딩 모듈, 제 1 운송 차량, 제 2 운송 차량을 포함한다. 상기 운송 챔버는 그 내부에 제어된 대기를 가질 수 있다. 적어도 하나의 기판 홀딩 모듈은 적어도 하나의 홀딩 모듈 및 운송 챔버 사이에 기판의 운송을 허용하도록 운송 챔버에 통신가능하게 연결된다. 상기 제 1 운송 차량은 상기 운송 챔버에 이동가능하게 장착된다. 상기 제 1 차량은 상기 운송 챔버와 적어도 하나의 기판 홀딩 모듈 사이에서 기판을 이동시키는 제 1 이동식 기판 운송 아암을 구비한다. 제 2 운송 차량은 상기 운송 챔버에서 이동가능하게 장착된다. 제 2 차량은 상기 운송 챔버 및 적어도 하나의 기판 홀딩 모듈 사이에 기판을 이동시키는 제 2 이동식 기판 운송 아암을 구비한다. 상기 운송 챔버는 운송 챔버에서 제 1 및 제 2 차량이 이동하는 몇가지 선형 운동 경로를 구비한다. 상기 제 1 및 제 2 차량은 제 1 차량이 운동 경로들 중 하나를 사용하고 제 2 차량이 운동 경로들 중 다른 경로를 사용할 때 하나를 지나서 이동할 수 있다.
본 발명에 따르면 전술한 종래기술의 문제점이 해결될 수 있다.
도 2를 참조하면, 본 발명의 특징을 구비한 기판 처리 장치(10)의 개략적인 정면도가 도시되어 있다. 비록 본 발명은 도면에 도시된 실시예를 참조하여 설명되지만, 본 발명은 실시예의 선택적인 다양한 형태로 구현될 수 있다. 또한, 적절 한 크기, 구성요소의 형상 및 유형 및 재료가 사용될 수 있다.
기판 처리 장치(10)는, 도 2에 도시된 바와 같이, 다수의 로드부(12)를 구비한 환경 전방단부 모듈(EFEM: environmental front end module:14)에 연결된다. 상기 로드부(12)는 예를 들어 일반적인 FOUP 캐니스터(canister)와 같은 다수의 저장 캐니스터를 지지할 수 있으며, 다른 적절한 유형도 제공될 수 있다. 상기 EFEM(14)은 하기에서 추가적으로 설명되는 바와 같이 상기 처리 장치에 연결되는 로드 로크부(load lock: 16)를 통하여 상기 처리 장치와 통신하게 된다. 대기에 개방되어 있는 EFEM(14)은 로드부(12)로부터 로드 로크부(16)로 기판을 운송할 수 있는 기판 운송 장치(미도시)를 구비한다. 상기 EFEM(14)은 추가적으로 기판 정렬 능력, 배치 핸들링 능력(batch handling capability), 기판 및 운송부 인식 능력, 또는 다른 것을 포함한다. 다른 실시예에서, 상기 로드 로크부(16)는 상기 로드 로크가 배치 핸들링 성능을 가지는 경우 또는 상기 로드 로크부가 FOUP로부터 상기 로크로 웨이퍼를 직접 운반하는 능력을 가지는 경우와 같이, 상기 로드부(12)에 직접 접하게 된다. 이러한 장치들의 몇가지 예시들은 미국 특허 제6,071,059호,6,375,403호, 6,461,094호, 5,588,789호, 5,613,821호, 5,607,276호, 5,644,925호, 5,954,472호, 6,120,229호, 및 그 전체가 본원에 편입된 2002년 7월 22일자 출원된 미국 특허출원 번호 10/200,818호에 설명되고 있다. 선택적인 실시예에서, 다른 로크 선택사항이 제공된다.
도 2를 참조하면, 전술한 바와 같은 기판 처리 장치(10)는 반도체 기판(예를 들어 200/300mm 웨이퍼), 평판 패널 디스플레이용 패널, 또는 다른 원하는 종류의 기판을 처리하는데 사용될 수 있으며, 운송 챔버(18), 처리 모듈(20), 및 적어도 하나의 기판 운송 장치(22)를 포함한다. 도시된 실시예에서의 기판 운송 장치(22)는 상기 운송 챔버(18)에 일체로 형성된다. 이러한 실시예에서, 처리 모듈은 상기 챔버의 양측면에 장착된다. 다른 실시예에서, 처리 모듈은 도 4에 도시된 바와 같은 챔버의 일측면에 장착된다. 도 2에 도시된 실시예에서, 처리 모듈(20)은 행(Y1, Y2)으로 또는 수직한 평면으로 서로 마주하게 장착된다. 다른 선택적인 실시예에서, 처리 모듈은 운동 챔버의 맞은 측면상에서 서로 엇갈리게 배치되거나 서로에 대하여 수직한 방향으로 적층된다. 상기 기판 운송 장치(22)는 로드 로크부(16) 및 처리 모듈(20)사이에서 운동 기판으로 챔버에서 이동하게 되는 카트(22c)를 구비한다. 도시된 실시예에서, 단지 하나의 카트(22c)만이 제공되며, 선택적인 실시예에서, 더 많은 카트들이 제공된다. 도 2에 도시된 바와 같이, (불활성 대기, 진공, 또는 간단한 청정 환경 또는 그 내부에서 이러한 것들이 복합적인 환경에 놓여 있는) 운송 챔버(18)는 처리 모듈이 수직한 평면이나 열에 실질적으로 나란하게 배치된 모듈을 구비한 신규한 카테션 배열의 운송 챔버(18)에 장착되게 되는 신규한 기판 운송 장치(22)를 채용하며 이러한 구조를 가진다. 그 결과, 기판 처리 장치(10)는 도 1 및 2와 비교하여 현저한 비교적 일반적인 처리 장치(즉, 동일한 숫자의 처리 모듈을 가진 일반적인 처리 장치)보다 더 작은 자국을 가지게 된다. 또한, 상기 운송 챔버(18)는 작업량을 증가시키기 위하여, 하기에서 상세하게 설명되는 바와 같이, 원하는 갯수 만큼의 처리 모듈을 추가하도록 원하는 길이로 제공될 수 있다. 상기 운송 챔버는 그 내부에 원하는 갯수 만큼의 운송 장 치를 지지할 수 있으며, 운송 장치는 서로 간섭하지 않고서 상기 운송 챔버상에서 원하는 처리 챔버에 도달할 수 있게 된다. 그 결과, 상기 운송 장치의 취급량과 상기 처리 장치의 작업량을 분리할 수 있게 되며, 따라서, 상기 처리 장치 작업량은 취급량이 제한되기보다는 처리량이 제한된다. 따라서, 작업량은 처리 모듈을 추가하고 동일한 플랫폼에 대응되는 취급량을 추가함으로써 원하는대로 증가할 수 있게 된다.
도 2를 참조하면, 이러한 실시예에서의 상기 운송 챔버(18)는 일반적으로 사각형상이지만, 다른 실시예에서, 상기 챔버는 다른 적절한 형상일 수 있다. 상기 운송 챔버(18)는 가느다란 형상(예를 들어 폭보다는 길이가 더 긴 형상)을 가지거나, 그 내부에 운송 장치를 위한 거의 선형의 운송 경로를 형성하게 된다. 상기 운송 챔버(18)는 길이방향 측벽(18s)을 구비하게 된다. 상기 측벽(18s)은 관통하여 형성된 운송 개구 또는 운송 포트(180)를 구비한다. 상기 운송 포트(180)는 상기 기판이 상기 포트를 통하여 운송 챔버로 통과하거나 그로부터 통과되어 나오게 될 수 있도록 충분히 큰 치수로 형성된다. 도 2에 도시된 바와 같이, 이러한 실시예에서의 상기 처리 모듈(20)은 상기 측벽(18s) 외측에 장착되며, 각각의 처리 모듈은 상기 운송 챔버의 대응 운송 포트에 각각 정렬된다. 각 처리 모듈(20)은 상기 운송 챔버내에서 진공을 유지하도록 대응하는 운송 개구의 주변부 주위에서 운송 챔버(18)의 측면(18s)에 대하여 밀봉된다. 각각의 처리 모듈은 원하는 경우 운송 포트를 폐쇄하도록 적절한 수단에 의해 제어되는 밸브를 구비한다. 따라서, 상기 챔버상의 처리 모듈은 동일한 수평 평면에서 정렬된다. 선택적인 실시예에서, 운송 포트는 서로 다른 수평면에 배치된다. 도 2에 도시된 바와 같이, 이러한 실시예에서, 로드 로크부(16)는 2개의 최전방 운송 포트(180)에서 챔버 측면(18s)에 장착된다. 이로 인하여 상기 로드 로크부는 상기 처리 장치의 전방에서 EFEM(14)에 인접하게 된다. 선택적인 실시예에서, 상기 로드 로크부는 도 4에 도시된 바와 같은 운송 챔버상의 다른 운송 포트에 배치된다. 상기 운송 챔버의 육면체 형상으로 인하여 상기 챔버의 길이는 원하는 대로 처리 모듈의 많은 열로서 장착되도록 원하는 대로 선택된다(예를 들어, 운송 챔버 길이가 다수의 처리 모듈을 수용하게 되는 다른 실시예를 도시하는 도 3, 5, 6-7a 를 참조할 것).
전술한 바와 같이, 도 2에 도시된 실시예의 상기 운송 챔버(18)는 단일 카트(22c)를 가진 하나의 기판 운송 장치(22)를 구비한다. 상기 기판 운송 장치(22)는 전방(18f) 및 후방(18b) 사이의 챔버에서 카트(22c)를 전후 이동시키도록 챔버에 일체로 형성된다. 상기 기판 운송 장치(22)는 하나 이상의 기판을 홀딩하도록 단부 이펙터(end effector)를 가지는 카트(22c)를 구비한다. 기판 운송 장치(22)의 카트(22c)는 처리 모듈 또는 로드 로크부에서 기판을 집어올리고 해제하도록 단부 이펙터를 신장하고 수축시키기 위한 이동식 운송 메커니즘 또는 관절식 아암(22a)을 구비한다. 상기 처리 모듈/로드 포트로부터 상기 기판을 집어올리거나 해제하도록, 상기 기판 운송 장치(22)는 원하는 모듈/포트에 정렬되며, 상기 아암은 대응 운송 포트(180)를 통하여 기판을 집어올리거나 해제하도록 상기 모듈/포트 내부에서 단부 이펙터를 위치시키도록 신장되거나 수축된다.
도 2에 도시된. 기판 운송 장치(22)는 대표적인 운송 장치이며, 선형의 지지 /구동 레일로부터 지지되는 카트(22c)를 포함한다. 상기 운송 장치는 하기에서 상세히 설명된다. 상기 선형 지지/구동 레일은 상기 측벽(18s), 바닥 또는 상기 운송 챔버의 상부에 장착되며, 상기 챔버의 길이를 연장시킨다. 이로 인하여, 상기 카트(22c), 따라서 장치는 상기 챔버의 길이를 횡방향으로 가로지르게 된다. 상기 카트는 상기 아암을 지지하는 프레임을 구비한다. 상기 프레임은 캐스터 장착부 또는 플레이튼(22b: platen)을 지지하며, 상기 프레임에 대하여 이동하게 된다. 하기에서 설명되는 바와 같이, 순차 동조 선형 모터(30)는 상기 플레이튼(22b)을 구동하며, 따라서 상기 레일을 따라 카트(22c)를 구동하게 된다. 상기 선형 모터(30)는 상기 운송 챔버의 측벽(18s) 또는 바닥에 배치된다. 하기에서 자세히 설명되는 바와 같이, 격벽은 상기 챔버의 내부로부터 권취부를 격리하도록 플레이튼의 모티브부와 모터의 권취부 사이에 배치된다. 일반적으로 상기 선형 모터는 다수의 구동 영역을 포함한다. 상기 구동 영역은 상기 아암(22a)이 연장/수축되는 운송 챔버를 따라 여러 위치들에 배치된다(즉, 모듈/포트의 일러한 실시예에서 열(Y0-Y2)에 배치된다). 구동 영역의 갯수와 밀도는 카트당 플레이튼의 갯수, 챔버당 모터의 갯수, 처리 모듈의 갯수, 교환 지점의 갯수 등에 영향을 받게 된다. 이러한 실시예에서, 상기 아암은 적절한 연결부/트랜스미션에 의해 플레이튼(22b)에 작동가능하게 연결되어, 상기 플레이튼이 서로간의 상대 운동으로 구동 모터에 의해 이동하면, 상기 아암은 수축 또는 신장된다. 예를 들어, 상기 트랜스미션은 상기 플레이튼이 레일을 따라 분리되어 이동할 때 상기 아암이 좌측으로 신장되고, 상기 아암과 함께 가깝게 뒤로 이동할 때 상기 아암은 좌측으로부터 수축되도록 배 치된다. 상기 플레이튼은 우측으로/우측으로부터 상기 아암(22a)을 신장/수축시키도록 선형 모터에 의해 적절하게 작동된다. 상기 선형 모터를 구비한 슬라이드 레일 위로 플레이튼의 운동을 제어하고, 상기 플레이튼의 위치 감지, 카트의 위치 감지 및 아암의 신장/수축된 위치를 감지하는 것은 본원에 편입되어 참조되는 WO99/23504호 99/33691호, 01/02211호, 01/38124호 및 01/71684호의 다수의 국제 출원 공보에 따라 달성될 수 있다. 상기 플레이튼은 상기 운송 챔버 내부의 길이 방향으로 상기 전체 카트/장치를 이동시키도록 하나의 방향으로 단일하게 구동된다.
도 3은 상기 장치(10)에 유사한 기판 처리 장치(10')의 다른 실시예를 도시한다. 이러한 실시예에서, 상기 운송 챔버(18')는 두개의 카트(122a, 122b)를 가진 기판 운송장치를 구비한다. 상기 카트(122a, 122b)를 가진 기판 운송 장치는 전술한 실시예의 기판 운송 장치(22)와 실질적으로 동일하다. 두개의 카트(122a, 122b)는 후술하는 바와 같이 길이방향 슬라이드 레일의 공통 세트로부터 지지된다. 각 장치에 대응하는 카트의 플레이튼은 동일한 선형 모터 구동에 의해 구동된다. 상기 선형 모터의 서로 다른 구동 영역으로 인하여 각각의 카트상에서 개별 플레이튼은 독자적으로 구동하게 되고 따라서 각각의 개별 카트(122a, 122b)의 독립적인 구동이 가능하게 된다. 따라서, 각각의 장치의 아암은 전술한 바와 유사한 방식으로 선형 모터를 사용하여 독립적으로 신장/수축될 수 있게 된다. 그러나, 이러한 경우에, 상기 카트(122a, 122b)를 가진 기판 운송 장치는 기판 슬라이드 시스템이 전개되지 않는 한, 상기 운송 챔버에서 서로를 통과할 수 없게 된다. 따라서, 상 기 처리 모듈은 상기 운송 챔버의 길이를 따라 배치되어, 상기 기판은 상기 운송 장치가 서로 간섭하기 않는 순서로 상기 처리 모듈에서 처리되도록 운송된다. 예를 들어, 코팅을 위한 처리 모듈은 가열 모듈 이전에 배치되며, 냉각 모듈 및 에칭 모듈은 마지막에 배치된다.
그러나, 운송 챔버(18')는 두개의 운송 장치가 서로 위로 통과하게 하는 다른 운송 영역(18'a, 18'b)을 구비한다(레일을 필요로 하지 않는 자성의 현수 구간(suspended zone) 또는 측면 레일, 또는 바이패스 레일과 동종이다). 이 경우, 다른 운송 영역은 상기 처리 모듈이 위치되는 수평면 위 또는 아래에 배치된다. 이러한 실시예에서, 상기 운송 장치는 2개의 슬라이드 레일을 구비하며, 각각의 운송 장치에 하나씩 대응된다. 하나의 슬라이드 레일은 바닥, 또는 상기 운송 챔버의 측벽에 배치되며 다른 슬라이드 레일은 상기 챔버의 상부에 배치된다. 선택적인 실시예에서, 선형 구송 시스템은 카트가 수평 및 수직하게 독립적으로 이동할 수 있어서 서로간의 독립적으로 기판을 통과하고 운송할 수 있게 되는 카트를 동시에 구동하고 현수시키도록 채용된다. 전기적 권취부를 구비하는 모든 실시예에서, 이러한 권취부들은, 예를 들어 증기를 제거하는 경우에서의 탈가스를 위하여 챔버가 가열되는 경우처럼 저항성 히터로서 사용된다. 이 경우의 각각의 운송 장치는 상기 카트가 전술한 것에 유사하게 놓이는 전용의 선형 구동 모터에 의해 구동된다.
도 6 및 도 7을 참조하면, 본 발명의 다른 실시예에 따른 다른 기판 처리 장치가 도시된다. 도 6 및 도 7에 도시된 바와 같이, 이러한 실시예에서의 운송 챔 버는 추가적인 처리 모듈을 수용하도록 신장된다. 도 6에 도시된 장치는 상기 운송 챔버에 연결된 12개의 처리 모듈을 구비하며, 도 7에 도시된 각각의 장치(2개로 도시됨)는 상기 운송 챔버에 연결된 24개의 처리 모듈을 구비한다. 이러한 실시예에 도시된 처리 모듈의 개수는 예시적인 것이며, 상기 장치는 전술한 바와 다른 갯수의 처리 모듈을 구비한다. 이러한 실시예에서의 처리 모듈은 전술한 것에 유사한 카테시안 배열에서의 운송 챔버의 측면을 따라 배치된다. 그러나, 이러한 경우의 처리 모듈의 행(row)의 갯수는 현저하게 증가된다(예를 들어, 도 6에 도시된 장치에서는 6개의 행, 도 7에 도시된 각각의 장치에서는 12개의 행). 도 6의 실시예에서, 상기 EFEM 은 제거되며, 상기 로드 포트부는 상기 로드 로크부에 직접 교합된다. 도 6 및 도 7에 도시된 장치의 운송 챔버는 상기 로드 로크부와 상기 처리 챔버 사이에서 기판을 다루는 복수개의 운송 장치(예를 들어 도 6의 경우 3개의 장치, 도 7의 경우 6개의 장치)를 구비한다. 도시된 상기 운송 장치의 갯수는 단지 예시적인 것일 뿐이며, 그 이상 또는 그 이하의 갯수의 장치가 사용될 수도 있다. 이러한 실시예에서의 운동 장치는 전술한 바와 유사하며, 아암 및 카트를 구비한다. 그러나, 이 경우, 상기 카트는 상기 운송 챔버의 측벽에서 영역을 구획하는 선형 모터 구동부로부터 지지된다. 이 경우의 선형 모터 구동부는 2개의 서로 수직한 축에서 카트의 병진 운동을 일으키게 된다(즉, 상기 운송 챔버에서 길이방향으로, 그리고 상기 운송 챔버에서 수직방향으로). 따라서, 상기 운송 장치는 상기 운송 챔버에서 서로를 지나서 이동할 수 있게 된다. 상기 운송 챔버는 상기 처리 모듈의 평면 상측 및/하측에서 "통과부" 또는 운송 영역을 구비하며, 이를 통하여 상기 운송 장치는 정적인 운송 장치(예를 들어, 처리 모듈에서 기판을 들어올리고 해제하는 것) 또는 그 반대방향에서 이동하는 운송 장치를 피하도록 경로가 설정된다. 알려진 바와 같이, 상기 기판 운송 장치는 다중 기판 운송 장치의 운동을 제어하도록 제어기를 구비한다.
도 7을 참조하면, 상기 기판 처리 장치는 이 경우에 공구(300)에 직접 맞물리게 된다.
도 3, 5, 6-7에 도시된 바와 같이, 상기 운송 챔버(18)는 상기 처리 수단(P)을 통하여 운동하여 원하는 대로 신장된다. 도 7에 도시되고, 하기에서 더욱 상세하게 설명되는 바와 같이, 상기 운송 챔버는 예를 들어, 저장부, 리소그래피 공구, 금속 증착 공구, 또는 다른 적절한 공구 베이(bay)와 같은 처리 수단(P)에서 다양한 구간 또는 베이(18a, 18b)에 연결되어 연통하게 된다. 상기 운송 챔버(18)에 의해 연결된 베이는 처리 베이(18a, 18b) 또는 처리부로서 구성된다. 각각의 베이는 반도체 제품에서 주어진 제조 과정을 달성하도록 원하는 공구(예를 들어, 리소그래피, 금속 증착, 가열 침적, 세척)를 구비한다. 이 경우에도, 상기 운송 챔버(18)는 상기 수단 베이에서의 다양한 공구에 대응하는 처리 모듈을 구비하여, 이들은 전술한 바와 같이 서로 연통가능하게 연결되어, 챔버와 처리 모듈 사이에서 반도체 제품의 운송이 가능하게 된다. 따라서, 상기 운송 챔버는, 상기 운송 챔버에 연결된 다양한 처리 모듈의 환경에 대응하는 길이 전체에서, 대기, 진공, 초진공, 불활성 기체, 또는 다른 것과 같은 다양한 환경 조건을 구비한다. 따라서, 주어진 처리부 또는 베이(18a, 18b)내에서 또는 상기 베이의 일부분에서 상기 챔버의 부분(18p1)은 예를 들어, 하나의 환경 조건(예를 들어, 대기상태)을 구비하고, 상기 챔버의 다른 부분(18p2, 18p3)은 다른 환경 조건을 구비한다. 전술한 바와 같이, 그 내부에 서로 다른 환경을 가진 챔버의 부분(18p1, 18p2, 18p3)은 상기 수단의 다른 베이에 위치되거나, 상기 수단의 하나의 베이에 모두 위치된다. 도 7은 예시된 목적만을 위하여 서로 다른 환경을 가진 세개의 부분(18p1, 18p2, 18p3)을 구비하는 운송 챔버(18)를 도시한다. 이러한 실시예에서의 상기 운송 챔버(18)는 원하는 바와 같은 많은 서로 다른 환경을 가진 많은 부분을 구비한다.
도 7에 도시된 바와 같이, 상기 운송 챔버(18)에서의 기판 운송 장치의 카트(122a: 도 3 참조)에 유사한 운송 장치는 그 내부에 서로 다른 환경을 가진 챔버의 부분들(18p1, 18p2, 18p3) 사이에서 전이될 수 있다. 따라서, 도 7에서 알 수 있는 바와 같이, 상기 카트(122a)는 한번 집어올림으로써 상기 처리 수단의 하나의 처리부 또는 베이(18a)에서의 공구로부터 상기 처리 수단의 다른 처리부 또는 베이(18b)에서의 다른 환경을 가진 다른 공구로 반도체 제품을 이동시키게 된다. 예를 들어, 카트(122a)는 상기 운송 챔버(18)의 처리 모듈(301)에서 기판을 집어올리게 되며, 상기 처리 모듈은 대기 상태의 모듈, 리소그래피, 에칭, 또는 부분(18p1)에서의 다른 원하는 처리 모듈일 수 있다. 상기 카트(122a)는 상기 챔버의 부분(18p1)에서 부분(18p3)으로 도 7의 화살표(X3)에 의해 표시된 방향으로 이동하게 된다. 부분(18p3)에서, 상기 카트(122a)는, 원하는 처리 모듈이 되는, 처리 모듈(302)에서 기판을 배치하게 된다.
도 7에 도시된 바와 같이, 상기 운송 챔버는 모듈식이며, 챔버 모듈은 운송 챔버(18)를 형성하도록 원하는 대로 연결된다. 상기 모듈은 상기 챔버의 부분들(18p1, 18p2, 18p3)을 분리하도록 도 2의 벽(18f, 18r)에 유사한 내측 벽(18i)를
구비한다. 내측 벽(18i)은 슬롯 밸브, 또는 상기 챔버(18p1, 18p4)의 하나가 연결부와 연통하도록 하게 하는 적절한 밸브를 구비한다. 상기 슬롯 밸브(18v)는 하나의 부분(18p1, 18p4)으로부터 다른 것으로 밸브를 통하여 하나 이상의 카트가 전이되게 하는 크기로 된다. 이러한 방식으로, 상기 카트(122a)는 상기 운송 챔버(18) 어디에서도 이동하게 된다. 상기 밸브는 상기 챔버의 부분들(18p1, 18p2, 18p3, 18p4)를 격리시키도록 폐쇄되어, 서로 다른 부분들은 전술한 바와 같은 서로 다른 종류의 환경을 구비하게 된다. 또한, 상기 챔버 모듈의 내측 벽은 도 2에 도시된 바와 같은 로드 로크부를 형성하도록 배치된다. 상기 로드 로크부(18p4)(예시적인 목적으로 도 2에서는 하나만이 도시됨)는 원하는 대로 운송 챔버(18)내에 배치되며 그 내부에 원하는 갯수의 카트(122a)를 지지하게 된다.
도 7에 도시된 실시예에서, 처리부 또는 베이(18a, 18b)는 예를 들어 에칭과 같은 동일한 처리부 또는 베이이며, 여기서 공구와 결합되어 스토커(stocker)가 되는 상기 베이(18a, 18b)는 스토커로부터 AMHS를 경유하여 개별 처리 공구로 FOUPS 를 운송하고 각각의 처리 공구로 EFEM의 것을 경유하여 개별 웨이퍼를 운송하는 것과 관련된 큰 헤드부를 취급하는 관련된 재료 없이도 도 9에 도시된 장치과 같은 기판과 동일한 양을 처리할 수 있다. 대신에, 스토커 내부의 로봇은 FOUPS를 웨이퍼가 필요한 처리 및/또는 작업량 조건에 의존하여 각각의 처리 모듈로 보내지거나 로크부에 배치 이동되는 로드 포트(공구당 3개 도시되며 다소 전체 조건에 따라서 제공될 수 있다)로 직접 운송한다. 이러한 방식으로, 일정한 상태에서, 도 7의 장치와 도 9의 장치는 동일한 작업량을 가지지만 도 7의 장치는 낮은 비용과 낮은 족적(footprint), 필요한 WIP가 낮게 동일한 작업량을 행하게 되어, 패브 조작자에 대하여 충분히 바람직한 결과를 나타내는 단일 캐리어 로트(또는 "열간 로트")를 처리하는 시간을 볼 경우 신속한 반전과 낮은 목록으로 동일한 작업량을 처리하게 된다. 베이(18a, 18b) 또는 상기 스토커(300) 내에서, 계측 성능, 정렬 성능, 재료 인식 성능, 테스트 성능, 조사 성능(박스에 넣기) 등 기판을 효과적으로 처리하고 테스트하는데 필요한 것을 추가적으로 구비한다.
도 7의 실시예에서, 몇몇 베이(18a, 18b)는, 예를 들어, 상기 베이(18a, 18b) 등이 예를 들어 포토리소그래피 셀이 되는 공구(300)와 결합하여 도 9에 도시된 다중 장치를 위한 기판의 양과 동일한 양을 처리할 수 있지만 스토커로부터 개별 초리 공구 베이와 AMHS를 경유하여 리소그래피 베이로 FOUPS를 운송하고 개별 웨이퍼를 EFEM을 경유하여 각각의 처리 공구로 운송하는 것과 관련하여 큰 헤드부를 취급하는 관련된 재료 없이도 처리할 수 있는 예를 들어, 에칭, CMP, 구리 증착, PVD, CVD 등과 같은 서로 다른 처리에 제공될 수 있다.
대신에, 상기 리소그래피 셀 내의 자동 제어 기계는 FOUPS, 기판 또는 재료를 기판이 원하는 처리 또는 필요한 작업량에 따라 각 처리부로 보내지는 로드 포트(처리 유형당 3으로 도시됨, 다소 작업량 조건에 따라 제공됨)에 직접 운송한다. 선택적인 예는 도 7a 에 도시된다. 이러한 방식으로, 도 7의 장치는 낮은 비용과, 낮은 족적, 필요한 낮은 WIP로 기판을 처리하여, 단일 캐리어 로트(또는 "열간 로 트")를 처리하는 시간을 볼 경우 목록이 작으며 반전이 빠르게 되며, 높은 수준의 오염 제어는 패브(fab) 조작자에게 현저한 유익한 점을 나타낸다. 베이(18a, 18b) 또는 공구 또는 셀(300) 내에는 계측 성능, 처리 성능, 정렬 성능, 재료 인식 성능, 테스트 성능, 조사 성능(박스 넣기) 등 기판을 효과적으로 처리하고 테스트하는데 필요한 추가적인 성능을 가진다. 도 7에 도시된 바와 같이, 상기 베이(18a, 18b) 및 공구(300)는 공통 제어부 환경(예를 들어 불활성 대기, 또는 진공)을 공유하도록 연결된다. 이러한 것은 기판이 공구(300)로부터 제어된 환경에 남게하고 베이(18a, 18b)에서의 전체 처리과정에서 남게한다. 이것은 도 8에 도시된 일반적인 구조의 장치인 FOUPs의 특별한 환경 제어를 사용하는 것을 제거한다.
도 7a를 참조하면, 도 7에 도시된 실시예의 특징을 구비한 예시적인 제조 장치 레이아웃(601)이 도시된다. 카트(22c, 122a)에 유사한 카트(406)는 운송 챔버(602, 604, 606, 608, 610, 612, 614, 616, 618, 620, 624, 626)를 통하여 제조 장치(601)내에서 처리 단계를 통하여 기판 또는 웨이퍼를 운송한다. 처리 단계는 에피택셜 실리콘(630), 유전체 증착(632), 포토리소그래피(634), 에칭(636), 이온이식(ion implantation: 638), 급속 열처리(640), 계측(642), 유전체 증착(644), 에칭(646), 금속 증착(648), 전기 도금(650), 화학적 기계적 연마(652)를 포함한다. 선택적인 실시예에서, 다소의 처리 단계는 관련되거나 혼합되어; 에칭, 금속 증착, 가열 및 냉각 작업이 동일한 순서로 이루어진다. 전술한 바와 같이, 카트(406)는 단일 웨이퍼 또는 다중 웨이퍼를 운반할 수 있으며, 카트(406)가 처리된 웨이퍼를 집어올리는 능력을 구비하고 동일한 모듈에서 처리되지 않은 웨이퍼를 배 치할 수 있는 운송 능력을 가진다. 카트(406)는 처리 운동을 위하여 처리 또는 운송부를 베이(bay)하는 베이 또는 공구 처리하는 직접 공구를 위하여 고립 밸브(654)를 통하여 이동한다. 상기 밸브(654)는 압력차이 또는 주어진 밸브(654)의 양측상의 가스 종류의 차이에 의존하는 밀봉 밸브 또는 단순 도전성 밸브일 수 있다. 이러한 방식으로, 웨이퍼 또는 기판은 하나의 처리 단계에서 단일 처리 단계 또는 "한번의 접촉"을 가진 다음의 단계로 이동된다. 그 결과, 처리에 기인한 오염이 최소화된다. 이와 같은 압력 또는 종류 차이의 예는 일측상의 깨끗한 공기와 타측상의 질소; 일측상의 대략적인 압력 진공 수준와 타측상의 고진공; 일측상의 진공 및 타측상의 질소의 경우가 있다. 챔버(184p4)에 유사한 로드 로크부(656)는 하나의 환경과 다른 환경 간의 전이에 사용되는데, 예를 들어, 진공 또는 질소 또는 아르곤 사이의 전이에 사용된다. 선택적인 실시예에서, 임의의 갯수의 결합에 다른 압력과 종류가 제공된다. 로드 로크부(656)는 단일 운반부 또는 다중 운반부를 전이시킬 수 있다.
선택적으로, 기판은 선반(미도시)상의 로드 로크부(656)로 운송되거나, 그렇지 않으면, 카트가 밸브를 통하여 통과하는 것이 필요하지 않다. 정렬 모듈, 계측 모듈, 세척 모듈, 처리 모듈(예: 에칭, 증착, 연마, 등)과 같은 추가적인 특징(658)이 로크부(656) 또는 운송 챔버에 편입될 수 있다. 서비스 포트(660)는 공구로부터 카트 또는 웨이퍼를 제거하도록 제공된다. 웨이퍼 또는 운송부 스토커(662, 664)는 웨이퍼를 저장하고 버퍼 처리하며 테스트하기 위하여 제공된다. 선택적인 실시예에서, 스토커(stocker: 662, 664)는 카트가 직접 리소그래피 공구 로 배향되는 곳에 제공되지는 않는다. 색인 작성자(indexer) 또는 웨이퍼 저장 모듈(666)이 공구 세트상에 제공되는 예시적인 경우도 있다. 재순환 유니트(668)는 공구 섹션(612)와 같은 임의의 주어진 섹션에서 공기 또는 기체 종류를 순환시키고 여과하도록 제공된다. 재순환 유니트(668)는 기체 정화부, 입자 필터, 화학 필터, 온도 제어부, 습도 제어부 또는 기체가 처리되도록 기체를 제어하는 다른 특징부를 구비한다. 임의의 주어진 공구 섹션에서, 다소의 순환 및 또는 필터 또는 제어 유니트가 제공된다. 고립 저장부(670)는 크로스 오염될 수 없는 공구 섹션 또는 다른 처리로부터 카트 및/또는 웨이퍼를 고립시키도록 제공된다. 로크부 또는 연결부(672)는 카트가 배향 방향의 변화 없이 일반적인 제품 내에서 들어올리고 배치하는 경우에 카트의 배향 방향을 변화시키도록 제공된다. 선택적인 실시예 또는 처리 순서의 적절한 조합 방법이 제공될 수 있다.
도 10을 참조하면, 일실시예에 따른 예시적인 단일 축 플레이튼 구동 시스템(320)의 단부측이 도시된다. 구동 시스템(320)은 도 2, 3, 7-7a에 도시된 운송 장치 또는 카트(22c, 122a, 406)를 구동하기 위한 적절한 구동부의 예시이다. 상기 시스템(320)은 플레이튼(324)을 구동시키는 고정식 권취 세트를 구비한다. 플레이튼(324)는 레일(328)상에서 활주할 수 있는 슬라이드 블록(326)상에서 지지된다. 레일(328)은 운송 챔버의 측벽 또는 베이스(330)에 연결된다. 베이스(330)는 권취부(322) 및 플레이튼(324) 사이에 배리어(332)를 제공한다. 상기 배리어(332)는 상기 챔버의 내부 환경으로부터 상기 권취부(322)를 분리한다. 상기 권취부(322)는 베이스(330)에 연결된다. 플레이튼은 권취부(322)와 플레이튼(324)를 연 결하도록 그것에 연결되는 자석부(334)를 구비한다. 센서(336)는 마그네토 한정적인 유형(magneto-restrictive type)의 홀 효과 센서일 수 있으며, 플레이튼(324)에서 자석의 존재를 감지하고 적절한 대체물을 결정하도록 제공된다. 또한, 상기 센서(336)는 플레이튼(324)의 세밀한 위치 결정을 위하여 채용될 수 있다. 위치 피드백 장치(340)는 정확한 위치 피드백을 위하여 제공된다. 상기 장치(340)는 예를 들어 유도성 또는 광학적 장치이다. 유도성인 경우, 여기원(excitation source: 342)은 권취부 또는 패턴부(346)를 여기시키고, 패턴부(346) 사이의 연결부를 경유하여 수신기(344)로 다시 유도되어 연결되어 제공된다. 상대적인 상(phase) 및 진폭 관계는 플레이튼(324)의 위치를 결정하는데 사용된다. IR 태그와 같은 카트 인식 태그(347)는 스테이션에 의해 카트 ID를 결정하도록 적절한 스테이션에 제공된 판독기(348)를 구비한다.
도 11a를 참조하면, 다른 실시예에 따른 플레이튼 구동 시스템(400)의 단부가 도시된다. 도 11b를 참조하면, 도 11a의 11b-11b 선을 따라 취한 구동 시스템(400)의 단면이 도시된다. 하기에서 설명되는 바와 같이, 시스템(400)은 플레이튼 또는 카트(카트(406)는 전술한 바와 같은 기판 운송 장치 또는 카트(22c, 122a)에 유사하다)의 유효 운송을 일으킬 수 있다. 시스템(400)은 카트(406)를 구동하는 대향하는 고정 권취부 세트(402, 404)를 구비한다. 권취부 세트(402, 404)는 2차원 구동 배열, 수직부(408) 및 측부(410)에서 권치된다. 선택적인 실시예에서, 추가적인 배열은 그로부터 90도로 배향된 다른 시스템에 시스템(400)을 연결함으로써 다른 방향으로 카트(406)를 구동하도록 제공된다. 상기 배열은 다중 카트들이 독립적으로 구동되도록 하기 위하여 다중 구간에서 구동된다. 예를 들어, 구간(424)은 공급 구간이 될 수 있으며, 구간(426)은 운송 구간이 될 수 있으며, 구간(428)은 복귀 구간이 될 수 있다. 각각의 구간에서, 다중 카트들이 각각의 구간에서 구동되게 하는 서브 구간이 존재한다. 다른 실시예에서, 다소의 구간 또는 서브 구간들이 다수의 조합으로 제공된다. 카트(406)는 권취부 세트(402, 404)에 의해 형성되는 필드에 의해 지지되며, 권취부 세트(402, 406) 사이에서 필드를 바이어스 함으로써 비접촉식으로 위치될 수 있다. 챔버(412)는 권취부 세트(402, 404) 및 카트(406) 사이에서 배리어(414)로서 제공된다. 권취부는 도시된 바와 같이 구간(416)에 존재한다. 카트(406)는 권취부를 구비한 플레이튼(418, 420)을 포함한다. 선택적인 실시예에서, 다소의 플레이튼이 제공된다. 센서 어레이는 카트 및 플레이튼의 정밀한 위치 결정 및 위치와 적절한 대체물을 결정하도록 플레이튼 또는 카트 또는 플레이튼의 자석부의 존부를 센싱하도록 제공된다. 카트 인식 태그는 스테이션에 의해 카트 ID 를 결정하도록 적절한 스테이션에 제공된 판독기를 구비한다.
도 12를 참조하면, 본 장치의 다른 실시예에 따른 처리 장치(10)를 위한 예시적인 카트(229)의 평면도이다. 카트(229)는 도 2, 3, 7-7a에 도시되었고, 전술한 카트(22c, 122a, 406)에 유사하다. 카트(229)는 축방향 경로(150) 및/또는 반경방향 경로(152)를 따라 기판을 운송할 수 있는 것으로 도시된다. 상기 카트(229)는 도 12에 도시된 경로(154)를 따라 기판을 이동시킬 수 있다. 카트(229)는 간단히 하기 위하여 2차원 시스템으로 도시되어 있으나, 선택적인 실시예에서, 예를 들어 z-운동(미도시 - 지면방향) 또는 각 운동(154)과 같은 추가적인 축방향 운동이 제공된다. 카트(229)는 간단히 하기 위하여 단일 기판(148)을 취급할 수 있는 것으로 도시된다. 그러나, 선택적인 실시예에서, 추가적인 취급부가 제공된다. 예를 들어, 카트는 처리 모듈(즉, 첫번째로, 처리된 기판이 들어올려지고, 다음으로 미처리된 기판이 동일한 카트(229)로부터 동일한 처리 모듈에 배치된다)에서 기판이 교체되는 것이 희망되는 경우에, 제 2 기판을 다루는 능력을 포함한다.
카트(229)는 프레임(156), 단부 이펙터(158) 및 제 2 프레임(160)을 포함한다. 슬라이드부(162)는 선형 경로(152)와 도시된 바와 같은 프레임(156)의 좌우측으로 슬라이딩할 수 있는 제 2 프레임(160), 단부 이펙터(158), 프레임(156)을 구속하게 된다. 선형 메커니즘이 도시되어 있지만, 선택적인 실시예에서, 적절한 아암 시스템은, 예를 들어 도 17에 도시되어있고 하기에서 설명되는 바와 같이 프레임(156)에 연결된 스카라 타입(scara type) 아암으로 사용된다. 기판(148)은 단부 이펙터(158)상에서 지지된다.
도 12a를 참조하면, 챔버(229)(도 2-3, 7-7a에 도시된 운송 챔버(18, 602-626)에 유사함)의 일부분에서의 예시적인 카트(229)의 평면도이다. 상기 카트는 예시적인 모듈(166)로 연장되는 단부 이펙터(158)를 구비한다. 모듈(166)은 운송 챔버에 연결된 것과 같이 전술한 모듈 중 임의의 것에 유사하다. 카트(229)는 축방향 경로(150) 또는 반경 방향 경로(152)를 따라 기판(148)을 운송할 수 있는 것으로 도시된다. 카트(229)는 프레임(156), 단부 이펙터(158), 및 제 2 프레임(160)을 구비한다. 슬라이드부(162)는 선형 경로(152) 및 도시된 바와 같은 프레 임(1456)의 좌우측으로 슬라이딩할 수 있는 제 2 프레임(160), 단부 이펙터(158), 프레임(156)을 구속하게 된다. 프레임(156)은 동조 모터(170)와 연결되는 하측면상에 자성 플레이튼(168)을 구비한다. 구동 플레이튼(172)은 동조 모터(174)에 연결된다. 구동 플레이튼(172)은 베어링(178)을 사용함으로써 방향(150)에 실질적으로 나란한 방향(176)으로 프레임(156)에 대하여 슬라이딩할 수 있으며 그 하측에 장착된다. 방향(150)을 따라서 플레이튼(168, 172)의 동시의 운동으로 인하여 카트는 방향(152)으로의 운동 없이 방향(150)에서 운동하게 된다. 프레임(156)에 대하여 방향(176)을 따라 플레이튼(172)을 동시에 이동시키면서 플레이튼(168)을 고정 유지함으로써, 단부 이펙터(148, 158) 및 기판의 방향(152)으로의 반경 방향 운동이 가능하게 된다.
방향(176)으로의 플레이튼(172)의 선형 운동은 방향(152)을 따라 제 2 프레임(160)의 선형 운동으로 옮겨진다. 풀리(186)는 프레임(156)에 회전가능하게 연결되며, 제 2 풀리(188, 182)를 구비한다. 풀리(182)는 밴드(184)를 구비한 플레이튼(172)에 연결되어, 플레이튼(172)의 방향으로의 운동은 풀리(182)가 대향 방향으로 대향하여 가해지는 방향(190)으로 회전하게 한다. 풀리(192, 194)는 프레임(156)에 회전가능하게 연결된다. 케이블(196)은 지점(198)에서 풀리(188)에 연결되며, 도시된 바와 같이 풀리(192) 주위에서 둘러싸면, 제 2 프레임(160)상에서 200 까지 연장된다. 케이블(202)은 지점(198)에서 풀리(188)에 연결되며 시계 반대방향으로 풀리(188) 주위에서 둘러싸며, 도시된 바와 같이 풀리(194) 주위를 둘러싸며, 제 2 프레임(160) 상의 204까지 연장된다. 이러한 방식으로, 방향(176)으 로의 플레이튼(172)의 선형 운동은 방향(152)으로 제 2 프레임(160)의 선형 운동으로 변화된다.
방향(176)으로의 플레이튼(172)의 선형 운동과 방향(152)으로의 제 2 프레임(160)의 변화된 선형 운동은 도시된 바와 같이 방향(152)으로 단부 이펙터(158)를 추가적으로 연장시킨다. 풀리(210, 212)는 제 2 프레임(160)에 회전가능하게 연결된다. 케이블(214)은 지점(216)에서 단부 이펙터(158)에 연결되며, 도시된 바와 같이 풀리(210) 주위를 둘러싸며, 프레임(156) 상의 218 까지 연장된다. 케이블(220)은 지점(222)에서 단부 이펙터(158)에 연결되며 풀리(212) 주위를 둘러싸며, 프레임(156)상의 224까지 연장된다. 이러한 방식으로, 방향(176)으로의 플레이튼(172)의 선형 운동은 도시된 바와 같이 방향(152)로 단부 이펙터(158)를 추가적으로 연장하도록 옮겨지게 되는 방향(152)를 따라 제2 프레임(160)의 선형 운동으로 옮겨지게 된다. 케이블 풀리 대신에, 플레이튼 및 단부 이펙터 간의 동력 전달은 벨트, 밴드 또는 적절한 재료로 된 적절한 동력전달 수단을 사용하게 된다. 선택적인 실시예에서, 적절한 링크 시스템은 플레이튼으로부터 단부 이펙터로의 운동을 전달하기 위한 케이블 풀리에 사용된다. 도 12에 도시된 위치로의 단부 이펙터(158)의 후퇴는 유사하지만 그 반대의 방식으로 행해진다. 도 12b에 도시된 바와 같이 유사하지만 그 반대의 위치로 단부 이펙터(158)을 신장시키는 것은 전술한 바에 반대되는 방식으로 플레이튼(168, 172)을 이동시킴으로써 구현된다.
도 12b를 참조하면, 예시적인 처리 모듈(166)로 신장되기 전에 카트(229)의 단부가 도시된다. 슬라이드부(240)는 도시된 바와 같이 선형 경로(150)를 따라 슬 라이딩할 수 있는 프레임(156)을 구속하게 된다. 프레임(156)은 동조 모터(170)와 연결되는 그 하측면상에 자석의 플레이튼(168)을 구비한다. 구동 플레이튼(172)은 동조 모터(174)와 연결된다. 구동 플레이튼(172)은 화살표(150: 도 12)에 의해 표시된 방향에 실질적으로 나란한 방향으로 프레임(156)에 대하여 슬라이딩할 수 있으며 그 하측면에 장착된다. 방향(150)으로의 플레이튼(168, 172)의 동시의 운동으로 인하여 카트는 방향(152)으로의 운동 없이 화살표(150)에 의해 표시된 방향으로 이동하게 된다. 프레임(156)에 대하여 방향(176)을 따라 플레이튼(172)을 이동시키면서 플레이튼(168)을 동시에 지지함으로써, 기판 및 단부 이펙터(148, 158)의 방향(152)으로의 방사상 운동이 야기된다. 플레이튼(172, 168)은 모터(170, 174)와 연결되는 자석부(244)를 구비한다. 챔버(244)는 비자성 재료, 예를 들어 비자성의 스테인레스강으로 형성되며 모터 권취부와 그 각각의 플레이튼 사이에 배리어(246, 248)을 제공한다. 선택적인 실시예에서, 다소의 선형 구동부 또는 카트가 제공된다. 예를 들어, 단일 구동 모터는 플레이튼(168, 172)이 동일한 구동 모터와 연결되지만 서로 다른 구간에서 독립적으로 구동되는 추가적인 구동 구간을 가지도록 제공된다. 추가적인 예로서, 추가적인 카트는 플로어(250), 상기 챔버의 커버(256) 또는 슬롯 개구 아래 또는 그 위에서의 벽(252, 254)의 서로 다른 구동 시스템에 의해 구동될 수 있다.
도 13a를 참조하면, 장치(10)의 챔버(716)의 일부분이 도시되며, 상기 장치가 사용되는 예시적인 카트(700)를 구비한 예시적인 구동 시스템(701)의 평면도가 도시된다. 챔버(716)는 운송 챔버(18)의 다른 대표적인 부분 또는 상기 장치(도 2, 3, 7-7a 참조)의 챔버(602-624)이다. 카트(700)는 기판(702a, 702b)을 축방향 경로(704) 및 방사상경로(706) 또는 Z 방향 운동(미도시: 지면 방향)으로 운송할 수 있는 것으로 도시된다. 선택적인 실시예에서, 각 운동이 제공된다. 선택적인 실시예에서, 다소의 기판 취급부가 제공된다. 카트(700)는 선형 메커니즘이 될 수 있는 운송 메커니즘(724a, 724b)을 구비하거나, 적절한 아암 시스템이, 예를 들어, 스카라 유형의 아암이 사용될 수 있다. 선택적인 실시예에서, 아암이 제공되지 않을 수도 있다. 운송 메커니즘(724a, 724b)은 처리 모듈로 연장되거나 도 12a에 도시된 바와 유사한 방식으로 원하는 다른 모듈로 연장될 수 있다. 카트(700)는 운송 챔버(716)의 벽에서 동조 모터와 연결되는 그 측면상에 플레이튼(722, 720, 710, 712)을 구비한다. 구동된 플레이튼(712)은 카트(700)의 측면상에 장착되며 방향(704)으로 카트(700)에 대하여 슬라이딩할 수 있다. 플레이튼(712)은 메커니즘(724a)을 구동하여, 카트(700)에 대한 방향(704)(도 13a 참조; 712a 위치로부터 712b 위치로)을 따라 플레이튼(712)의 운동으로 인하여 메커니즘(724a)은 슬롯(718a 718b)을 통하여 위치(708a, 708b)들 사이에서 웨이퍼(702a)를 운송하게 된다. 유사하게, 구동된 플레이튼(710)은 카트(700)의 일측면에 장착되며 방향(704)을 따라 카트(700)에 대하여 슬라이딩할 수 있게 된다. 플레이튼(710)은 메커니즘(724b)을 구동하여, 카트(700)에 대한 방향(704: 도 13a 참조, 710a 위치로부터 710b 위치로)을 따라 플레이튼(710)의 운동으로 인하여 메커니즘(724b)은 슬롯(718a, 718b)을 통하여 위치(708a, 708b) 사이에서 웨이퍼(702b)를 운송하게 된다.
플레이튼(710, 712)들은 카트(700)에 대하여 독립적으로 이동하게 된다. 플레이튼(722, 720)은 카트(700)에 대하여 고정된다. 플레이튼(720, 722)을 고정시켜 지지함과 동시에 방향(704)으로 플레이튼(712)을 이동시킴으로써, 방향(706)을 따라 방사상 운송이 야기된다. 플레이튼(720, 722)을 고정시켜 지지함과 동시에 방향(704)으로 플레이튼(710)을 이동시킴으로써, 방향(706)을 따라 개별 방사상 운송 운동이 야기된다. 방향(704)을 따라 플레이튼(720, 722, 710, 712)을 동시에 이동시킴으로써, 카트(700)는 방향(704)을 따라 이동하게 되고, 카트(700)는 예를 들어 관통 밸브(714)로서 처리 위치로부터 처리 위치로 이동하게 된다.
도 13b를 참조하면, 도 13a의 13b-13b 선을 따라 취한 예시적인 구동 시스템(701) 및 카트(700)의 단면이 도시된다. 도 13c를 참조하면, 도 13b에는 예시적인 구동 시스템(701)의 다른 쪽의 단면이 도시된다. 시스템(701)은 카트(700)를 구동하는 대향하는 고정 귄취부 세트(727, 729)를 구비한다. 권취부 세트(727, 729)는 예를 들어 수직(705) 및 측방(704)의 일차원 및 이차원 어레이의 조합으로 권취된다. 상기 구동 어레이는 1차원 또는 2차원 어레이에서의 선형 모터 또는 선형 스테핑 타입 모터일 수 있다. 이러한 구동 어레이의 예들은 그 전체가 본원에 참고적으로 편입되는 미국 특허 제4,958,115호, 제5,126,648호, 제4,555,650호, 제3,376,578호, 제3,857,078호, 제4,823,062호에 설명되어 있다. 선택적인 실시예에서, 집적된 2차원 권취부 세트는 2차원 자석부 또는 패턴을 가지는 플레이튼을 구비할 수 있다. 다른 선택적인 실시예에서, 다른 유형이 1차원 또는 2차원 구동 시스템이 채용될 수 있다. 선택적인 실시예에서, 추가적인 어레이는 다른 방향, 예 를 들어 그로부터 90도 배향된 다른 유사한 시스템으로 시스템(701)을 연결시킴으로써 카트(700)를 구동하도록 제공될 수 있다. 상기 어레이는 다중 카트가 독립적으로 구동되도록 하기 위하여 다중 구간에서 구동된다. 예를 들어, 구간(685)은 공급 구간이며, 구간(683)은 운송 구간일 수 있으며, 구간(681)은 복귀 구간일 수 있다. 각각의 구간에서 구간은 다중 카트를 구동하는 것이 각 구간에서 가능하게 되는 서브 구간을 수 있다. 선택적인 실시예에서, 다소의 구간 또는 서브 구간은 임의의 갯수의 조합으로 제공될 수 있다. 카트(700)는 권취부 세트(727, 729)에 의해 형성된 필드(field)에 의해 지지되며 권취부 세트(727, 729)들 사이에서 필드를 바이어스함으로써 떠있는 상태로 비접촉 방식으로 배치될 수 있다. 도 13c는 도 13d에 도시된 시스템에 의해 구동될 수 있으며, 카트(700)를 띄우도록 채용된 하나의 가능한 권취 조합을 도시한다(예를 들어, 도 14a를 참조하여 하기에서 예시적으로 설명되는 것 또는 다중 축 활동 부양을 통하여 예시될 수 있다). 1차원 권취부 세트는 권취부 구간(732a-c, 730a-c, 734a-c, 742a-b, 740a-b)에 제공된다. 2차원 권취부 세트는 권취부 구간(736a-e, 738a-c)에 제공된다. 선택적인 실시예에서, 적절한 권취부 세트의 조합이 제공되거나, 완전 2-D 어레이 또는 다른 것이 제공될 수 있다. 카트(700)는 플레이튼(710)을 위한 어레이(736b, c, d) 및 플레이튼(720)을 위한 어레이(738b)의 조합으로 사용되는 플레이튼(720, 710)을 구비한다. 방향(704: 도 13a참조)으로 플레이튼(710)을 이동시키고 고정시켜서 플레이튼(720)을 지지함으로써, 웨이퍼는 슬롯(718a)을 통하여 방사상으로 움직이게 된다. 방향(705:도 13b 참조)으로 플레이튼(710, 720)을 동시에 이동시킴으로써, 웨 이퍼는 들어올려지거나 배치된다. 구간들 사이에서 권취부 대체부와 권취부 스위칭부를 배치함으로써, 카트(700)는 수직하게 이동되거나, 서로 다른 권취부 및 구동 구간을 토아ㅎ여 측방향으로 선택적으로 이동될 수 있다. 챔버(716)는 권취부 세트(727, 729) 및 카트(700) 사이에서 배리어로서 제공된다. 선택적인 실시예에서, 아무런 배리어도 존재하지 않을 수도 있는데, 이 경우 권취부 세트(727, 729)는 예를 들어 맑은 공기 또는 질소 환경이 있는 포위부(716) 내에 존재하게 된다. 선택적인 실시예에서, 다소의 플레이튼 또는 권취부가 제공된다. 센서 어레이(746, 747, 748)는 적절한 대체물 및 위치를 경정하고 상기 플레이튼 및 카트의 정밀한 위치 결정과 플레이튼 및 권취부 사이의 간격과 같은 위치 결정을 위한 카트 또는 플레이튼 또는 플레이튼 내의 자성부의 존재 여부를 센싱하도록 제공된다. 전술한 바와 같은 카트 인식 태그는 스테이션에 의해 카트 ID 를 결정하도록 적절한 스테이션에 제공된 판독기를 구비한다.
도 14a를 참조하면, 다른 실시예에 따른, 단일의 축방향 선형 모터 권취부 세트(762, 764)에 의해 형성된 필드에 의해 지지되는 다른 예시적인 카트(760)의 단부가 도시된다. 예시적인 카트(760)는 권취부 세트(762, 764)들 사이의 필드에서 바이어스부(776)에 의해 비접촉 방식으로 위치될 수 있다. 위치 센싱부(766, 768)는 바이어스부(776)을 구비한 폐루프 방식으로, 카트(760)를 부양시키도록 제공된다. 부양은 도 14b에 도시된 바와 같이 카트가 Z 방향으로 적극적으로 안정화되는 간단한 방법으로 달성된다. 카트(760)는 권취부 세트(762, 764)와 연결되는 자성 또는 전도성 재료로 형성되거나 자성부를 구비하는 그 측면에 자성의 플레이 튼(772, 774)를 구비한다. 선택적인 실시예에서, 다소의 플레이튼이 제공되어, 구동 아암, 예를 들어 챔버(770: 도 2-3, 7-7a 참조, 상기 장치의 챔버(18, 602-624)의 대표적인 부분에 유사함)는 예를 들어 비자성의 스테인레스강과 같은 비자성 재료로 형성될 수 있으며, 전술한 바와 같은 각각의 플레이튼과 모터 권취부 사이에 배리어를 제공한다. 선택적인 실시예에서, 다소의 선형 구동부 또는 카트가 제공된다. 예를 들어, 단일 구동 모터는 플레이튼이 동일한 구동 모터와 연결되지만 서로 다른 구간에 의해 독립적으로 구동될 수 있는 추가적인 구동 구간을 가지도록 제공된다. 추가적인 예로서, 추가적인 카트는 챔버의 커버 또는 슬롯 개구 위 또는 아래에서 벽, 플로어의 서로 다른 구동 시스템에 의해 구동될 수 있다.
도 14b에서, 카트(760)의 원하는 위치로부터의 축방향 만곡(Z)과 복원력(F) 사이의 관계가 그래프로 도시된다. 각각의 축방향의 양의 방향과 음의 방향에서(Z 방향), 우선 복원력은 FMAX 또는 -FMAX의 총합으로 각각 ZMAX 또는 -ZMAX 까지의 최대 만곡으로 증가하지만, 이러한 만곡이 초과되면 다시 감소하게된다. 따라서, 힘이 FMAX를 능가하여 카트(760)에 가해지면(예를 들어 카트 중량 또는 외부 힘, 예를 들어 동일하거나 다른 플레이튼 또는 다른 것을 구동시키는 다른 권취부 세트), 권취부(762, 764)로부터 카트가 이탈하게 된다. 그렇지 않으면, 카트(760)는 그것이 가해진 동안에는 필드 내에 머무르게 될 것이다. 이러한 원리는 본 출원에 그 전체 내용이 참고적으로 편입된 로터리 장치에 대한 미국 특허 제6,485,531호, 제6,559,567호, 제6,386,505호, 제6,351,048호, 제6,355,998호는 예시적인 카트(760)를 부양시키는 선형 형상으로, 본 출원에서 설명된 장치의 구동 시스 템(701)에 적용된다. 선택적인 실시예에서, 다른 구동 시스템 또는 부양 시스템이 사용된다.
도 13d를 참조하면, 도 13a의 카트/플레이튼 구동 시스템(701)을 사용하는데 적절한 예시적인 권취부 구동 시스템(790)의 다이아그램이 도시된다. 권취부 구동 시스템(790)은 권취부(792), 멀티플렉서(793) 및 증폭 모듈(794)를 구비한다. 권취부(792)는 홀센서, 위치 센서, 유도 센서, 캐리어 인식 센서, 지위 및 오류 탐지 로직 및 회로 또는 그와 다른 것과 같은 선세 및/또는 권취부를 구비한다. 증폭 모듈(794)은 단일 또는 다중 상 증폭기, 위치 및/또는 존재 센서 입력 또는 출력, CPUs 및/또는 메모리, 인식 판독기 입력 또는 출력, 지위 및 오류 탐지 로직 및 회로와 그외 다른 것을 구비한다. 증폭기 모듈(794)은 권취부(792)로 직접 연결되거나 멀티플렉서 유니트(793)를 통하여 연결된다. 멀티플렉서 유니트(793)를 통하여 사용시에, 증폭기 A1-Am은 권취부 W1-Wn 중 임의의 것에 선택적으로 연결된다. CPU는 선택적인 연결을 관리하고 구동 장치의 상태에 대한 모니터링을 하게 된다. 이러한 방식으로 CPU는 증폭기 모듈 또는 권취부를 공구 차단하지 않고서 서비스를 위한 라인에서 선택적으로 정지하게 된다.
전술한 바와 같이, 운송 챔버(18, 602-624)에 사용되기 적절한 운동 장치 또는 카트는 상기 장치의 원하는 위치와 카트 사이에서 반도체 제품을 운송하는 운송 아암을 구비하거나 구비하지 않는 카트를 포함한다. 도 12 및 도 13a는, 전술한 바와 같이, 상기 장치에서 반도체 제품을 다루는 운송 아암을 구비한 운송 카트(229, 700)에 대한 두가지 실시예를 도시한다. 도 22 및 도 23을 참조하면, 상 기 장치(10)의 챔버에 사용되기 적절한 운송 카트(1557)의 다른 실시예가 도시된다. 카트(1557)는 베이스 기판에 장착된 운송 아암(1577) 및 베이스 기판(1558) 또는 베이스부를 포함한다. 도 22에 도시된 바와 같이, 카트 메커니즘 베이스 기판(1558)은 기판의 대향하는 측면상에서 연결된 2개의 자성 어레이(1502)를 구비하는데, 기판의 대향하는 코너에 한정되는 것은 아니다. 로봇 베이스 기판(1558)의 대향하는 코너에서, 2개의 추가적인 자성 어레이(1502)는 선형 베어링 캐리지(1560)에 연결되며 선형 베어링 레일(1562)상에서 슬라이딩하도록 형성된다. 이러한 선형 베어링 레일(1562)은 베이스 기판(1558)에 연결된다. 구동 벨트(1564) 또는 선형 운동을 회전 운송을 변환하는 다른 수단이 선형 베어링 캐리지(1560)에 부착된다. 도시된 경우에 있어서, 상기 구동 벨트(1564)는 아이들 풀리(1566)와 풀리 인장부(1568) 주위에서 둘러싸여지며, 구동 풀리(1570)에 부착된다. 상기 자성 어레이(1502)를 통하여 상기 베어링 캐리지(1560)에 가해지는 선형 운동으로 인하여, 피동 풀리(1572)의 회전 운동이 나타나게 된다. 2자유도의 경우, 설명된 메커니즘의 부가적인 유형은 로봇 카트 메커니즘의 대향하는 측면에 가해지며, 복제 회로는 구동 풀리(1572)에 부착된다. 이러한 조합은 동심 풀리 조립체를 나타낸다. 고정된 자성 어레이(1502) 및 결합된 자성 어레이(1502)와 선형 베어링 캐리지(1560) 간의 상대적인 운동은 운송 아암 링크를 구동하는 수단을 제공한다. 로봇 캐리지의 선형 운송의 경우에, 선형 베어링/자성 어레이(1560/1502) 및 연결된 자성 어레이/카트 베이스 기판(1502/1558)은 고정된 세트로서 구동되며, 어떠한 구동되는 풀리(1570 & 1572)의 회전도 나타나지 않는다. 상기 베이스 기판(1558)의 구동 메커니즘은 다른 적절한 운송 아암 링크를 작동시키는데 사용되며, 몇가지 예들이 도 24-24c, 25-25c에 도시되어 있다. 도 23에 도시된 실시예의 운송 아암(1577)은 일반적인 단일 스카라 아암 구조를 구비한다. 구동 풀리(1572)는 저부 링크 아암(1574)에 연결되며, 구동 풀리(1570)는 전방아암 구동풀리(1586)에 고정된다. 전방아암 풀리(1586)의 회전 운동은 상기 구동 벨트(1582) 및 엘보 풀리(1576)을 통하여 전방아암(1578)에 연결된다. 손목관절/단부 이펙터(1584)는 저부 링크 아암(1574)에 그것이 그라운드되는 경우에 손목관절 엘보 풀리(1580)에 대하여 상기 전방아암(1578)의 상대적인 회전 운동에 의해 구동된다. 일반적으로, 이러한 운동은 풀리(1572, 1570)의 입력 구동 비에 대하여 각각의 조인트에서의 풀리 비에 의해 달성된다. 도 23a-23b 를 참조하면, 상기 운송 아암 링크(1577)는 각각 수축된 위치와 연장된 위치로 도시된다. 수축된 위치와 연장된 위치 사이에서의 운동은 베이스 기판에 대하여 원하는 바와 같이 이동식 자성 어레이(1502)를 이동시킴으로써 (전술한 바와 같은 방식으로) 달성된다. 상기 아암 링크의 운동은 상기 운송 챔버에 대하여 카트와 함께 고정되거나 이동되어 행해진다. 도 23a-23b는 연장된 아암(1577)이 카트의 측면(1576r: 즉 챔버 벽을 향하는 카트의 측면)으로 연장할 때 위치된 운송 아암(1577)을 도시한다. 이러한 것은 도 13a의 카트(700)의 운송 메커니즘(724a, b)의 연장운동/수축운동에 유사하다. 카트(1557) 상의 상기 운송 아암(1577)은 상기 카트 베이스 기판에 대하여 임의의 원하는 방향으로 회전축(S: 도 22)에 대하여 유니트(이동식 자성 어레이(1502)를 사용함)로서 회전될 수 있다. 예를 들어, 도 23a-23b에 도시된 방향으로부터 약 180도 회전하 면, 상기 운송 아암(1577)은 도 23b에 도시된 것으로부터 반대측(1575l)으로 연장된다. 또한, 상기 운송 아암은 약 90도 회전하여, 아암 연장부는 상기 챔버의 선형 방향(도 22에서 화살표 15x 로 표시됨)을 따르게 된다. 임의의 갯수의 아암 링크는 이러한 카트에 채용된다. 상기 카트와 함께 사용되는 적절한 아암 링크의 예는, 그 전체가 본 출원에 참조로 편입된 미국 특허 제5,180,276호, 제5,647,724호, 제5,765,983호 및 제6,485,250호에 설명되어 있다.
도 24는 상기 카트 베이스 기판(1558')에 장착된 이중 회전 단부 이펙터를 구비한 카트(1557')의 다른 실시예에 대한 도면이다. 카트(1557')는 도 22-23에 도시된 것과 전술한 카트(1557)와 유사하다. 유사한 구성에는 도면부호를 유사하게 부여하였다. 도 24a-24c는 카트가 이동할 때 베어링 캐리지 어레이의 연결 상대 운동과 선형 운송 양자의 사용 형태를 도시한다. 도 22를 참조하여 전술한 바와 같이, 풀리(1570', 1572')의 회전은 카트의 베이스 기판에 연결된 고정식 자성 어레이에 대하여 이동하는 베어링 캐리지와 자성 어레이로부터 기인한다. 결합된 경우로서, 상기 로봇 카트 운송은 화살표 15x'에 의해 표시된 방향으로 상기 선형 챔버를 따라 이동하게 되며, 상기 베어링 캐리지와 자성 어레이는 그라운드된 어레이에 대하여 이동하게 된다. 이러한 동작으로 인하여, 상기 단부 이펙터(1588', 1590')는 회전하게 되어 로봇 단부 이펙터는 전술한 바와 같이 도 23a-23b에 유사한 카트의 선형 방향에 실질적으로 수직하게 연장된다. 도 24a-24c는 예시적인 목적을 위하여 일측으로 연장된 단부 이펙터(1588', 1590')를 도시한다. 그러나, 상기 단부 이펙터(1588', 1590')는 상기 베이스 기판의 임의의 측면으로 연장된다. 또한, 상기 단부 이펙터(1588', 1590')는 상기 베이스 기판의 임의의 측면으로 연장된다. 그러나, 상기 단부 이펙터(1588', 1590')는 단부 이펙터가 도 24a-24c에 도시된 바와 같이 90도 이상 또는 이하의 각으로 배향되는 위치로 연장된다.
도 25는 도 23에 도시된 것에 유사한 아암 링크를 구비한, 카트(1557")의 다른 실시예에 대한 개략적인 입면도이다. 이 경우, 상기 구동 풀리(1572")는 상기 저부 링크 아암(1592")에 부착된다. 상기 구동 풀리(1570")는 상기 단부 이펙터 구동 풀리(1600")에 연결되며, 상기 구동 벨트(1598")을 통하여 상기 엘보 풀리(1596")에 연결된다. 상기 엘보 구동 풀리는 상기 로봇 단부 이펙터(1594")에 부착되며, 구동되는 단부 이펙터(1594")로 구동 풀리(1570")의 회전을 전달하는 수단을 제공한다. 도 25a-25c 는 3개의 서로 다른 위치에서의 아암 링크를 구비한 카트를 도시한다. 도 25a-25c는 예시적인 목적만으로 사기 카트의 베이스 기판(1558")의 일측으로 연장된 상기 단부 이펙터(1594")를 도시한다. 도 22-23 및 도 24에 도시된 운송 아암에 유사하게, 상기 운송 아암(1577")은 축(S")에 대하여 회전하게 되어, 상기 단부 이펙터는 상기 카트(1557")의 베이스 기판(1558")에 대하여 임의의 방향으로 연장되거나 수축된다. 도 2-7a를 참조하면, 관절 운송 아암을 구비한 카트(도 12, 13a, 22, 23, 24, 25에 도시된 카트(22c, 122a, 406, 229, 700, 1557, 1557' 1557")를 사용하는 중요한 장점은 상기 운송 아암의 주어진 범위에 있어서, 상기 운송 챔버에는 최소의 폭이 제공된다. 서로 다른 카트 실시예상에서의 운송 아암의 다중 축 관절로 인하여, 관절 아암의 경로에 대하여 카트의 실질적으로 독립적인 배치가 가능하게 되고, 이로 인하여 운송 챔버(18)의 폭은 최소 로 감소하게 된다. 유사하게, 상기 운송 챔버에 저장 처리 모듈을 연결하는 경로와 슬롯 밸브의 폭은 최소 크기로 감소된다.
도 15를 참조하면, 상기 장치(10)를 사용하는 예시적인 웨이퍼 정렬기(500)가 도시된다. 상기 웨이퍼 정렬기 캐리어(500)는 2개의 부분, 웨이퍼 척(504) 및 웨이퍼 운송 캐리어(502)를 일반적으로 포함한다. 상기 정렬기는 상기 선형의 카테션 운송 공구 내에서 웨이퍼 정렬과 이동을 제공하게 된다. 상기 정렬기는 상기 장치의 운송 카트(예를 들어, 카트(22c, 122a, 406, 700, 1557))와 연결되도록 형성되며, 몇가지 경우에는, 상기 선형 처리 공구 구조체의 로봇 카트에 포함된다.
도 16을 참조하면, 상기 웨이퍼 척(504)는 상기 웨이퍼 운송 캐리어(502)로부터 분리되어 도시된다. 마찰 패드는 상기 선형 카테션 장치를 통하여 운송시에 2개의 장치를 연결하게 된다. 분해시에, 상기 웨이퍼 척(504)은 상기 웨이퍼 운송 캐리어(502)에 대하여 자유롭게 회전하게 된다. 상기 웨이퍼 척(504)은 상기 기판(웨이퍼)(506)에 대하여 각지게 램프된 웨이퍼 에지 패드(508)를 사용하여 능동 웨이퍼 에지 지지체의 수단을 제공한다. 상기 웨이퍼 척(504)의 일부로서 추가적인 구성은 상기 웨이퍼 캐리어(500) 상으로 웨이퍼를 이동시키고 제거하는 로봇 아암 카트의 능력에 대하여 웨이퍼(506) 아래의 릴리프부이다.
상기 선형 운송 카트에 대한 웨이퍼 회전 방법은 상기 로봇 단부 이펙터에 바로 적용될 수 있다. 이러한 방법은 도 17에 도시된다. 상기 로봇 아암 카트(534)은 상기 웨이퍼 척(504)이 상기 로봇 아암 이펙터(536)로부터 제거될 수 있도록 구성된다. 이 경우, 상기 척은 자유롭게 회전하여 처리 모듈 또는 로드 로크 부에서 발견되는 하강 지점 변화에 기초한 약간의 웨이퍼 노치 방향 요건을 수정하게 된다.
도 18을 참조하면, 상기 웨이퍼 척 회전 장치가 도시된다. 상기 선형 운동 공구 내에서의 여러 지점에서, 이러한 회전 웰(well)이 배치될 수 있다. 이러한 장치는 그 전체가 본원에 참고적으로 편입된 미국 특허 제5,720,590호에서 발견되는 모터 고립 기술에 기초한다. 선택적인 실시예에서, 일반적인 모터 및 밀봉 조립체가 사용될 수 있다. 고정 모터(522)는 상기 선형 운동 챔버 베이스(530)에 장착된다. 진공 고립 배리어(520)는 상기 모터 아마츄어(540) 및 자성 어레이(524) 사이에 배치된다. 상기 자성 어레이는 상기 회전 샤프트(542)에 직접 장착된다. 이로 인하여 진공 시스템에 직접 구동 연결이 가능하게 된다. 가능한 지지 베어링(518)이 필요하지만, 이상적으로는 자성 서스펜션이 사용된다. 광학적 엔코더 디스크(526)는 회전 샤프트(542)의 각을 위한 제어기에 위치 피드백을 제공하는 위치에 배치된 판독 헤드(528)을 구비한 회전 샤프트(542)에 부착된다. 정렬기 척(504)은 마찰 패드 또는 운동성 핀(516)상으로 내려지게 된다. 이러한 패드/핀은 일단 웨이퍼 척(504)가 로봇의 단부 이펙터(536) 또는 웨이퍼 캐리어(502)로부터 분리되면, 웨이퍼 척(504)의 회전 수단을 제공한다. 이와 동일한 회전 제공 수단이 도 17에 도시된 로봇 아암 캐리어의 일부로서 가해지는 로봇 아암 링크(538)의 회전 위치를 제어하도록 적용될 수 있다.
도 19를 참조하면, 웨이퍼 척(504) 및 웨이퍼 운송 캐리어로 구성되는 웨이퍼 운송 캐리어(500)는 상기 웨이퍼 척 회전 장치(532) 위의 위치로 이동하게 된 다. 도 20에서, 상기 웨이퍼 운송 캐리어는 내려져서, 상기 웨이퍼 척(504)은 상기 운송 캐리어(502)상에서 들어올려지게 된다. 상기 운동 챔버 리드(546)에 배치된 카메라(544)는 상기 웨이퍼의 이미지를 볼 수 있게 되며, 상기 웨이퍼의 x-y위치 및 웨이퍼 노치의 위치각을 인식할 수 있게 된다. 상기 웨이퍼 캐리어는 상기 운송 캐리어(502)에 대하여 웨이퍼 척(504)의 x-y위치 변화를 제공하도록 이동하게 되며, 회전은 노치 정렬을 보정하도록 제공될 수 있다. 로봇 아암 캐리어 장치의 방법으로서 사용시에 웨이퍼 척 회전 구동부를 위한 다른 선택사항은 로봇 링크 아암을 연장시키는 동안에 회전 결합을 허용하며, 수직축 운동에 대한 필요성은 기판 또는 웨이퍼가 처리 모듈 또는 로드 로크부로부터 들어올려지거나 내려지는 것을 허용한다. 이러한 접근 방식은 도 21에 개략적으로 도시된다. 고정 모터(522)는 가이드된 플레이트(548)에 장착된다. 가이드된 플레이트는 금속 벨로우즈(550) 또는 다른 선형 고립 밀봉부(립 밀봉, 오링, 등)를 경유하여 선형 운송 챔버의 베이스(530)에 부착된다. 진공 고립 배리어(520)는 상기 모터 아마추어(540) 및 자성 어레이(524) 사이에 배치된다. 상기 자성 어레이는 상기 회전 샤프트(542)에 바로 장착된다. 이로 인하여, 상기 진공 시스템에 직접 구동 연결이 가능하게 된다. 가능한 지지 베어링(518)이 필요하지만, 이상적으로는 자성 서스펜션이 사용된다. 광학적 엔코더 디스크(526)는 상기 회전 샤프트(542)이 각을 위한 제어기에 위치 피드백을 제공하는 위치에 배치된 판독 헤드(528)을 구비한 회전 샤프트(542)에 부착된다. 이동 정지부(556)의 단부를 구비한 지지 구조체(554) 및 추가적인 가이드 롤러(552)로 인하여 작동 장치로서 상기 선형 웨이퍼 운송 캐리어(500)를 사용하는 것보다는 웨이퍼 척 또는 로봇 아암을 연결하는데 필요한 위치에서 회전구동이 허용된다. 로봇 구동부가 위치되는 상태를 나타내게 되는 운송 챔버가 가압되는 경우에, 상기 벨로우즈의 힘은 스프링으로서 작용하며 회전 장치가 다양한 선형 로봇 아암 카트 수직 돌출부(예를 들어 들어올리고 배치할 경우에)에 연결되지만 실질적으로 제한된 수직 이동 범위를 넘어서서 연결된다. 일단 상기 장치가 마찰 패드 또는 운동성 핀(516)에 연결된다. 이러한 패드/핀은 웨이퍼 척(504)이 도 20에 도시된 바와 같이 로봇 단부 이펙터(536) 또는 웨이퍼 캐리어(502)로부터 분리될 때, 회전하는 웨이퍼 척(504)의 수단을 제공한다. 이러한 회전을 제공하는 동일한 수단은 도 17에 도시된 로봇 아암 캐리어의 부분으로서 적용된 로봇 아암 링크(538)의 회전 위치를 제어하는데 사용될 수 있다.
도 2-7에 도시된 바와 같이, 시스템은 제어기(C)에 저장된 구성가능하고 스케일 조절가능한 소프트웨어에 의해 제어된다. 도 26을 참조하면, 상기 처리 시스템에 통신가능하게 연결된 제어기(C)에 제공된 제조 수행 (MEM) 시스템이 도시된다. 상기 MES 시스템(2000)은 소프트웨어 모듈(2002-2016) 또는 상기 MES 의 성능을 증진시키는 선택사항을 포함한다. 상기 모듈은 재료 제어 시스템(MCS)(2002), 실시간 디스패쳐(RTD: real time dispatcher)(2004), 작업순서 또는 활동 관리자(AM)(2006), 엔지니어 데이터 관리자(EDA)(2008) 및 컴퓨터 유지 관리 시스템(CMMS)(2010)을 포함한다. 상기 MES(2002)는 제조자가 제조원 및 처리 계획, 트랙 목록 및 주문을 이해하고, 제조 데이터 모니터 장비, 제조 작업자에 대한 처리 사항 주문 및 마무리된 제품으로 구성요소의 소비의 흔적을 수집하고 분석하게 한 다. MCS 소프트웨어 모듈(2002)은 제조자가 충분히 개별 카트(예를 들어 도 2-3, 7-7a, 12, 13a 및 22에 도시된 카트(22c, 122a, 406, 228, 700, 1557))들을 효과적으로 계획하여 전체 시스템 효율을 최대화하도록 처리 공구에 도달하게 한다.
MCS는, 개별 카트가 도착하고 출발할 때, 특정된 처리 공구(예를 들어, 도 7의 베이(18a, 18b) 및 도 7a의 모듈(602-626))를 계획한다. 상기 MCS는 각각의 처리 공구에서의 처리 행렬 및 경로 조건을 처리하며 상기 카트 운송 싸이클 시간을 최소화하는 동안 시스템 수율을 최적화한다. RTD(2004)는 처리 공구의 상태로부터 피드백된 것에 기초하여 실시간으로 제조자가 카트 경로 결정을 형성하게 허용한다. 추가적으로, 카트 경로 결정은 MES 작동자에 의해 정해진다. MES 작동자는 특정 제품이 제조될 필요가 있는 우선권을 변화시킨다. AM(2006)은 제조자가 전체 제조 과정을 통하여 하나 이상의 기판을 탑재하는 임의의 주어진 카트의 과정을 모니터링하게 허용한다 . 처리 공구가 오류를 발생시킨다면, AM(2006)은 처리 공구에서 처리되는 모든 기판에 대하여 가장 우수한 잔류 경로를 결정한다. EDA(2008)는 제조자가 제조 데이터를 분석하고 처리 공구의 효율을 향상시키도록 하는 노력에서 이러한 데이터상의 통계적인 처리 제어 알고리즘을 실행하게 한다. CMMS(2010) 시스템은 제조자가 개별 처리 공구에서 유지가 필요한 경우에 예측할 수 있게 한다. 상기 처리 공구의 처리에서의 가변성은 공지의 처리 결과 및 처리에 대한 변화에 대하여 모니터링되고 비교되며 처리공구에 대한 계획된 수선이 예상된다.
도 27을 참조하면, 본 발명의 예시적인 실시예에 따른 기판 처리 시스템(3010)이 도시된다. 도 27의 상기 시스템(3010)은 하기에서 달리 설명되는 경우 를 제외하고 도면과 전술한 바와 같이 처리 시스템 및 공구에 일반적으로 유사하다. 유사한 구조에는 유사한 도면부호가 부여된다. 시스템(3010)은 기판 처리 공구(3014)를 일반적으로 포함하며, 이러한 실시예에서 공구 인터페이스(3012, 3016)을 포함한다. 전술한 실시예와 같이, 공구(3018)는 제어된 대기를 구비하며, 외부 대기와 분리되어 있다. 상기 공구 인터페이스(3012, 3016)는 패브(fab)에의 다른 동시작동하는 시스템과 공구(3014) 간에 인터페이스를 제공한다. 예를 들어, 공구 인터페이스(3012)는 작동화된 가이드 차량 또는 다른 원하는 대로 자동화된 재료 취급 시스템과 같은 패브 매스 기판 운송 시스템(3001)과 반응하도록 적절하게 구성된 EFEM(Equipment End Front Module)와 같은 제1단부일 수 있다. 상기 EFEM(3012)은 전체 운송 시스템(3001) 및 EFEM 간에 기판을 로딩하고 오프로딩하는 것을 허용하거나 제공하며, 상기 처리 공구(3018)로 진입을 위하여(화살표(3000s)에 의해 표시된 방향) 처리되지 않은 기판을 지지한다. EFEM(3012)은 상기 처리 공구로부터(3018: 화살표(3000p)에 의해 표시된 방향) 상기 패브 운송 시스템(3001)으로의 복귀 운송을 위한 처리된 기판을 수용할 수 있게 된다. 전술한 바와 같이, 이러한 실시예에서, 시스템(3010)은 EFEM(3012)로부터 공구(3018)의 대향하는 단부에서 환경적 제2 단부(ESEM)와 같은 다른 공구 인터페이스(3016)를 구비한다. ESEM(3016)은, 이러한 실시예에서 EFEM(3012)에 실질적으로 유사하며, 공구(3018)로부터(도 27에서 화살표(3000p)에 의해 표시된 방향)처리된 기판을 수용할 수 있으며, 패브 운송 시스템(3001)의 결합부로 기판의 연속적인 운송을 촉진시킬 수 있게 된다. 원한다면, ESEM(3016)은 공구(3018)에 처리되지 않은 기판을 공 급하는데 사용된다. 선택적인 실시예에서, 처리 시스템은 공구 단부들 중 하나에서 공구 인터페이스를 구비한다. 이 경우, 상기 공구 인터페이스가 위치되는 상기 처리 공구의 일단부를 통하여, 처리되지 않은 기판은 입력이 되고, 처리된 기판은 출력이 된다.다른 선택적인 실시예에서, 상기 공구는 다른 공구에 접하거나 직접 연결되거나 제어된 대기(운송 챔버(602-626)를 위하여 도 7a에 도시된 바와 유사한 방식으로)를 가지는 운송 챔버로 연결된다. 도 27을 참조하면, 공구(3018)은 기판 운송 챔버(3014) 및 처리 모듈(3020, 3020a)을 포함한다. 전술한 바와 같이, 챔버(3014)는 진공 또는 불활성 가스와 같은 제어된 대기를 구비하며, 외측 대기와 분리된다. 운송 챔버(3014)는 다른 부분(3014a, 3014b, 3014c)을 구비하며, 이들은 각각의 부분이 서로 다른 대기(예를 들어, 진공, 거의 고진공, 고진공)를 지지할 수 있도록 서로로부터 분리될 수 있다. 도 27에 도시된 바와 같이, 상기 운송 챔버(3014)는 일반적으로 선형 형상을 가진다. 처리 모듈(3020, 3020a)은 이러한 실시예에서 운송 챔버(3014)의 측면에 장착된다. 처리 모듈(3020, 3020a)은 유사하거나 서로 상이할 수 있다. 예를 들어, 처리 공구(3018)는 공구의 제어된 대기에 영향을 주지 않고서 공구로 기판을 운송하거나 공구로부터 기판을 운송하도록(화살표 3000 I/O 로 표시된 방향) 원하는 대로, (각각의 공구 인터페이스(3012, 3016)와 연통하는 2개와 4개의 로드 로크부 챔버 모듈(3020a)가 도 27에 도시되어 있는 실시예에서), 상기 처리 공구(3018)는 하나 이상의 로드 로크부 챔버 모듈(3020a)을 구비한다. 다른 처리 모듈은 유전체 증착, 금속 증착, 에칭, 이온 주입, 금속 열처리, 화학 또는 기계적 연마, 계측, 및 다른 것과 같은 공구에서의 기 판상의 원하는 처리를 행하도록 구성된다. 상기 처리 모듈은 챔버로써 밀봉부를 형성하도록 운송 챔버(3018)의 측면에 연결되며, 챔버에서 제어된 대기를 유지한다. 상기 처리 모듈(3020)은 예를 들어 방향(3000s)으로 공구를 통하여 기판이 진행할 때, 원하는 일련의 처리 순서를 제공하도록 챔버(3014)를 따라 원하는 순서로 배열된다. 하기에서 상세히 설명되는 바와 같이, 공구(3018)은 기판에 가해지는 처리 순서를 공구상의 처리 모듈 배열의 일련의 순서만으로 한정하지 않으며, 처리 단계의 선택성을 허용한다. 선택적인 실시예에서, 상기 공구(3018)의 처리 모듈은 실질적으로 동일한 처리를 각각 제공한다. 도 27에 도시된 바와 같이, 공구(3018)는 챔버(3014)에 위치된 적어도 하나의 운송 차량 또는 카트(3229)를 구비하며 그 상측에 하나 이상의 기판을 지지할 수 있다. 상기 카트(3229)는 상기 챔버(3014)의 내측에서(화살표 3000z로 표시된 방향) 선형적으로 횡단할 수 있다. 하기에서 설명되는 바와 같이, 상기 카트(3229)는 상기 운송 챔버(3014) 내부에서 카트 및 철 모듈(3020, 3020a)(도 27에서 화살표 3000y로 표시된 방향) 사이로 기판을 운송하도록 적절히 작동하는 기판 운송 장치(3160)을 구비한다. 이러한 실시예에서의 카트(3229)는 수동적이며, 모터 또는 동력 시스템을 구비하지 않는다. 상기 운송 챔버(3014)는 상기 챔버내에서 (방향(3000a)) 카트를 이동시키고 기판을 운송하도록(화살표 3000y에 의해 표시된 방향) 카트 기판 운송 장치(3160)의 작동에 영향을 주는 카트와 연결되는 구동 시스템(3400)을 포함한다. 상기 운송 챔버(3014)는 상기 카트(3229) 및 기판의 위치를 인식하기 위한 위치 피드백 시스템(3336)을 포함한다. 상기 구동 시스템(3400) 및 위치 피드백 시스템(3336)은 상기 공구에 의해 처리되는 기판을 위한 원하는 처리 순서를 선택하기 위하여 기판을 운송하고 카트를 이동시키는 CPU에 의해 작동된다. 도 27에 도시된 바와 같이, 상기 운송 챔버(3014)는 서로 접하는 모듈(3016, 3016a, 3016b, 3016c)에 의해 형성된다. 하기에서 설명되는 바와 같이, 각각이 모듈(3016, 3016a, 3016b, 3016c)는 일체형 구동 시스템을 구비한 자기 억제 시스템이며, 각각의 모듈이 개별 운송 챔버로서 작동하게 하고 원하는 갯수의 모듈의 집합이 원하는 길이의 운송 챔버(304)를 형성하게 하는 위치 피드백 시스템부이다.
상기 운송 챔버(3014)를 형성하는 운송 챔버 모듈(3016, 3016a, 3016b, 3016c)은 서로 일반적으로 유사하다. 상기 운송 챔버 모듈(3016, 3016a, 3016b, 3016c)는 서로 다른 길이를 가지며, 각각의 운송 챔버 모듈에 처리 챔버 모듈의 원하는 갯수의 연결부에 대한 서로 다른 연결부를 가진다 . 도 27에 도시된 실시예를 통하여, 각각의 운송 챔버 모듈은 상기 운송 챔버 모듈의 각각의 측면에 연결된 처리 챔버 모듈(3020, 3020a)를 구비할 수 있으며, 선택적인 실시예에서, 운송 챔버 모듈은 모듈(3020)에 유사한 다중 처리 챔버 모듈에 연결되도록 구성된다. 상기 운송 챔버 모듈(3016, 3016a, 3016b, 3016c)은 교환가능하여서, 상기 챔버 모듈은 상기 운송 챔버를 형성하는 임의의 원하는 순서에서 서로 결합된다.
도 28 및 도 29는 예시적인 운송 챔버 모듈(2016)(도 29는 상기 챔버 모듈(3016)에 접하거나 맞물린 경우 인접한 운송 챔버 모듈(3016)을 파선 부분을 추가적으로 도시한다. 전술한 바와같이, 상기 운송 챔버 모듈(3016, 3016a, 3016b, 3016c)는 실질적으로 유사하다. 챔버 모듈(3016)는 적절한 형상일 수 있으며 적절 한 재료로 형성되는 프레임(3016f)를 구비한다. 상기 프레임(3016f)은 예를 들어 제거가능한 상부 패널(3016t)과 같은 제거가능한 패널 또는 부분을 구비한다. 상기 제거가능한 패널(3016t)은 상기 모듈 프레임(3016f)의 나머지에 장착되어 상기 모듈이 챔버를 형성하는 다른 모듈에 연결될 때 모듈로부터 제거를 허용하게 된다. 이것은 상기 챔버로부터의 전체 모듈의 제거 없이도 상기 모듈의 내부의 구성요소/카트로의 접근을 허용한다. 접근 패널(3016t)은 상기 챔버 모듈 프레임의 결과적인 개구(30160)를 통하여 카트(3229)의 삽입/제거를 허용하도록 충분히 크게 형성된다. 밀봉부(3016a)는 상기 운송 챔버(3014)에서의 제어된 대기의 손상을 방지하기 위하여 프레임 및 패널(3016t)의 인터페이스에서 제공된다. 도 28에 도시된 바와 같이, 상기 프레임은 처리 챔버 모듈(3020)과 연통하도록 그 내부에 형성된 포트(3016p)를 구비한다. 상기 포트(3016p)는 그 상측상의 기판(s)을 구비한 기판 운송 장치(3360)가 상기 처리 모듈로 포트를 통하여 통과하도록 허용하도록 크기와 형상이 설정된다. 상기 포트(3016p)는 상기 운송 챔버 모듈 프레임(3016f)으로 집적되며 상기 처리 모듈상에 장착되는 로더 또는 적절한 밸브에 의해 폐쇄된다. 도 29에 도시된 바와같이, 상기 프레임(3016f)은 결합 모듈(3016a, 3016)에 상기 모듈(3016)을 밀봉식으로 맞물리게 하는 대향하는 길이방향 단부에서 적절한 인터페이스 구성부(3016i)를 구비한다. 상기 인터페이스(3016i)는 임의의 적절한 유형일 수 있다. 예시적으로, 상기 인터페이스(3016i)는 상기 결합 모듈의 절절한 접촉을 허용하도록 결합 모듈 인터페이스의 맞물림면을 보완하는 적절한 안착부를 구비한다. 기계적인 고정부와 같은 고정부 또는 다른 적절한 클램핑부 또는 유지부는 서 로에 대하여 상기 모듈을 포착하도록 포함된다. 상기 인터페이스(3016i1, 3016i2)는 상기 챔버 모듈(3016, 3016a)의 원하는 배향을 형성하도록 극성면(polarization facet)을 포함하여, 결합시에(상기 모듈이 원하는 방향에 있지 않을 때 그 사이에 접촉이나 연결을 방지함), 상기 인터페이스부(3016i1, 3016i2)는 상기 모듈이 전술한 바와같이 교체될 수 있도록 각 모듈에 공통적이다. 상기 프레임(3016f)은, 이러한 실시예에서, 카트(3229)에 대하여 충분한 챔버 공간을 형성한다. 최대 간극이 카트 주위에 제공되어 상기 모듈을 통하여 카트의 자유 운동이 허용된다. 상기 모듈 프레임의 단부 개구(3016r)은 상기 카트(3229)(원하는 갯수의 기판(s)를 지지함)가 상기 개구를 통하여 통과하고 상기 모듈(3016, 3016a) 사이에서 황단하도록 허용하는 크기로 된다. 상기 단부 개구(3016r)은 도어(3016d)에 의해 폐쇄된다. 상기 도어(3016d)는 상기 모듈 프레임에 일체로 되거나, 상기 챔버 모듈이 서로 결합될 때 챔버 모듈들 사이에서 추가적인 모듈부로서 장착된다.
도 27-28에 도시된 바와 같이, 상기 모듈은 상기 챔버에서 카트(3229)를 이동가능하게 지지하며, 상기 카트상의 슬라이드부(3240)와 함께 작동하는 가이드 레일(3040) 또는 지지부를 구비한다. 이러한 실시예에서, 상기 레일(3040)은 상기 모듈(카트 아래)의 저부상에 배치되며, 선택적인 실시예에서, 상기 레일은 챔버 모듈 프레임의 원하는 부분에 부착된다. 이러한 실시예에서, 2개의 레일(3040)이 도시되는데, 다소의 레일이 사용될 수 있다. 상기 레일(3040)은 상기 모듈을 통과하여 연속적으로 연장되는 것으로 도시된다. 상기 레일(3040)은 상기 카트가 모듈(3016, 3016a) 사이에서 통과할 때, 상기 카트상의 슬라이드부(3240)가 일정거 리(3040d: 각각의 모듈에서) 횡단하며 카트의 안정적인 자세로의 동료 없이 인접한 모듈(3016, 3016a)의 레일상에서 올라카는 것을 시작하도록 크기가 설정된 모듈(3016)의 인터페이스면으로부터 일정거리(3040d)까지 연장된다.
반대로, 상기 카트(3229)의 슬라이드부(3240)는 상기 카트가 모듈(3016, 3016a) 사이를 통과하고 슬라이드부(3240)가 결합 모듈의 결합 레일 요소로 하나의 모듈(3016, 2016a)로부터 횡단하게 될 때 상기 안정적인 지지부를 상기 카트로 제공하는 것을 계속하도록 크기가 설명된다.
도 27-28을 참조하면, 상기 모듈(3016)은 카트 구동 시스템(3400)의 일체부를 구비한다. 이러한 실시예에서, 상기 시스템은 선형 전자 모터이며, 선택적인 실시예를 통하여, 적절한 유형의 전자 또는 기계 구동 시스템이 케이블 구동부처럼 사용될 수 있다. 도 28-29에 도시된 실시예에서, 상기 구동 시스템은 테크노션사(tecnotion) 또는 아노라드사(Anorad)로부터 구입할 수 있는 코어가 없는 선형 모터와 같은 코어가 없는 선형 구동 시스템이다. 도 29에서, 상기 운송 챔버 모듈(3016)에 일체로 된 구동 시스템부는 상기 모듈의 각 측면상에 권취부(3402, 3402a, 3402b, 3404, 3404a, 3404b)의 3가지 세트를 가지는 것으로 도시된다. 도 28에 도시된 바와같이, 각각의 권취부(3402, 3404)의 각각의 세트는 상기 카트(3229) 상의 대응 플레이튼(3168, 3172)와 함께 작동한다. 상기 권취부(3402, 3404)는 임의의 원하는 길이일 수 있으며, 상기 길이는 상업적으로 구입할 수 있는 표준 길이를 포함한다. 선택적인 실시예에서, 권취부의 원하는 갯수는 상기 챔버의 각 측면상의 카트 플레이튼을 구동하는데 사용된다. 도 28에 도시된 바와 같 이, 상기 코어가 없는 모터 권취부(3402, 3404)는 상기 카트의 플레이튼(3168, 3172)와 연결되도록 챔버로 돌출된다. 선택적인 실시예에서, 선형 모터는 도 11a-11b에 도시되어 있으며 전술한 모터(400)에 유사한 철계 코어 선형 코터일 수 있다. 이 경우, 상기 모터 권취부는 도 11a-11b의 부분(414)에 유사한 내측 프레임부에 의해 상기 챔버로부터 분리된다. 상기 모듈의 각 측면상의 권취부(340l, 3401a, 3402b, 3404, 3404a, 3404b)는 단일축을 따라 각각 배열도어서, 각각의 측면상에 하나의 구동축을 제공하게 된다. 선택적인 실시예에서, 상기 권취부는 각각의 측면상에 다중 구동 축을 제공하도록 위치된다. 다른 실시예에서, 예를 들어, 철계 코어 선형 모터 권취부가 사용되는 경우에, 상기 권취부는 x축 방향과 z축 방향으로 구동축을 제공하도록 배열된다(즉, 수직 구동축 뿐만 아니라 챔버를 따른 선형으로, 도 13b-13c에 도시되고 전술한 권취부 장치에 유사한 길이방향 구동축들 사이에서 카트를 분로 시키는 것). 각각의 구동 축을 따라 권취부(3402-3402b, 3404-3404b)는 접하는 모듈(3016)에서 그 다음의 가장 인접한 권취부(3400b)와 협력하여, 접촉하는 모듈의 인터페이스 영역을 가로지르는 플레이튼상의 연속적인 추진력을 유지하고, 상기 카트가 하나의 모듈(3016, 3016b)로부터 다른 것으로 횡단하게 하도록 상기 모듈 인터페이스(3016i1, 3016i2)에 대하여 배치되고 크기가 정해진다. CPU에 의해 제어되는 제어 시스템(3790)은 상기 권취부의 작동을 제어하도록 제공된다. 도 29에서, 상기 모듈(3016)의 구동 축 권취부(3402-3402b)의 단지 하나의 세트는 상기 제어부(3790)에 연결되어 도시되며, 권취부의 두개의 세트는 유사한 방식으로 제어된다. 권취부 제어 시스템(3790)은 도 13d에 도시되고 전술한 바와 같이 권취부 제어 시스템(790)에 유사하다. 상기 권취부 제어 또는 구동 시스템(3790)은 멀티플렉서(3793) 또는 증폭기 모듈(3794)을 구비한다. 상기 증폭기 모듈(3794)은 상기 카트 플레이튼을 이동시키도록 원하는 순서로 각각의 구동 축을 따라 권취부(3402, 3402a, 3402b)를 구동하도록 멀티플렉서(3793)를 경유하여 연결된다. 상기 귄취부로의 증폭기의 순서와 연결은 CPU에 의해 제어된다. CPU는, 하기에서 상세히 설명되는 바와 같이, 증폭기 연결부를 결정하고 권취부 구동 순서를 결정하기 위하여, 모듈의 위치 피드백 시스템(3336)과 연통하게 된다. 상기 권취부 제어 시스템(3790)은 상기 모듈(3016)에 전용인 개별 시스템이다. 예를 들어, 상기 제어 시스템(3790)은 운반되어 장착되고 그렇지 않으면 상기 모듈(3016)에 편입된다(상기 제어 시스템(3790)은 상기 모듈 프레임상에 위치될 필요가 없으며, 원한다면 도시되지는 않았으나 별개의 하우징에 포위된다. 상기 제어 시스템(3790)은 적절한 공급을 사용할 때에 상기 챔버를 통하여 관통하는 적절한 통신 라인을 통하여 상기 권취부(3402, 3402a, 3402b)와 연통하게 된다. 도 29에서, 전용의 통신 라인은 예시적인 목적으로, 차져 벽(charger wall)을 통하여 개별적으로 관통하는 것으로 도시되며 통신 라인은 챔버 벽에서 관통하는 공급의 최소치를 허용하도록 견고하게 된다. 상기 제어 시스템(3790)은 적절한 연결부(3790c)를 포함하며, 제어 시스템(3790)은 상기 공구의 조립체에서 CPU에 연결되게 된다. 도 29에 도시된 바와 같이, 상기 제어 시스템(3790)에 권취부의 통신 라인을 연결하도록 다른 배선(3401c)을 구비한다(예를 들어 상기 모듈의 일측면상에 장착되거나 위치된다). 연결부(3401c)는 상기 권취부가 전용 모듈 제어 시스템이 필요하지 않을 때 처리 공구의 중앙 권취부 제어 시스템에 연결되게 허용한다.
도 30을 참조하면, 카트(3229)의 저부가 도시된다. 상기 카트는 적절한 구조를 구비한다. 이러한 실시예에서, 상기 카트는 도 12-12b 에 도시되고 전술한 바와 같이 카트(229)에 실질적으로 유사하다. 전술한 바와 같이, 카트(3229)는 2개의 플레이튼(3168, 3172)을 구비한다. 플레이튼(3168, 3172)은 영구 자석 또는 자성 물질을 구비하며, 도 28에 도시된 바와 같이 코어가 없는 선형 권취부(3402, 3404)와 작동하도록 구성된다. 이러한 실시예에서의 플레이튼(3168)은 상기 카트 프레임(3156)에 고정되어 장착된다. 플레이튼(3172)은 카트의 프레임(3156)에 키이 가공된 슬라이드부(3156s)에 의해 이동가능하게 고정된다. 플레이튼(372)은 상기 카트 프레임(3156)(도 30에 도시된 화살표 3229x 에 의해 표시된 방향으로)에 대하여 제한된 운동을 할 수 있게 된다. 전방 및 후방 정지부는 상기 프레임에 대하여 플레이튼(3172)의 동작을 제한한다. 카트(229)의 플레이튼(172)에 유사하게, 전술한 바와 같이, 상기 카트(3229)에 대한 플레이튼(317l)의 추가적인 운동성은 연장 및 수축하기 위하여 기판 운송 장치(3160)를 작동시키도록 변환되는 추가적인 자유도를 카트에 제공하게 된다. 기판 운송 장치(3160)는 카트(229)의 망원경부(158, 160)에 실질적으로 유사하다(도 12a, 12b 참조). 운송 장치(3160)는 적절한 갯수의 망원경부를 포함하며, 망원경부는 단부 이펙터에서 종단되며, 전술한 바와 같이 단부 이펙터(158)에 유사하다. 상기 운송 장치(160)는 상기 운송 장치(3160)의 운동으로 플레이튼의 상대적인 운동을 변환하도록, 카트(229)의 시스템에 유사하게, 적절한 동력 전달 시스템에 의해 이동식 플레이튼(3172)에 연결되며 (따라서 도 28에 도시된 화살표 3000y1, 3000y2 에 의해 표시된 방향으로의 기판의 운동)(z 축), 상기 기판(s)을 들어올리고 배치하도록 카트를 들어올리고 내리며 권취부(3402, 3404)에 에너지를 가하거나 그로부터 에너지를 제거함으로써 발생된다. 선택적인 실시예에서, 카트의 기판 운송 장치는 하나 이상의 관절부를 가진, 스카라 유형의 아암과 같은 적절한 것일 수 있다. 또한, 자유도와 무관하게, 다양한 운송 장치부의 독립적인 운동은 추가적인 플레이튼을 플레이튼(3172)에 유사한 카트에 대하여 독립적으로 이동가능하게 장착되는 카트에 추가함으로써 제공된다. 선택적인 실시예에서, 카트는 도 22-23에 도시되어 있고 전술한 바와 같은 카트(1558)에 유사하거나, 도 24, 24a-24c, 25, 25a-25c에 각각 도시된 카트(1558', 1558")에 유사하다.
도 28-29를 참조하면, 전술한 바와 같이, 운송 모듈 챔버(3016)는 모듈의 플레이튼/카트의 위치를 제어하고 결정하는 일체형 위치 피드백 시스템(3336)을 구비한다. 도 28-29에 도시된 실시예에서, 위치 피드백 시스템(3336s)은 약 1-5㎛ 범위에서 정확하게 위치 해상도를 가지는 정밀한 결정성능을 가진다. 상기 모듈(3016)은 약 10-20의 위치 해상도와 정확성을 가지는 전체 또는 대략적인 위치 결정을 할 수 있는 다른 위치 피드백 시스템(3340)을 구비한다. 세밀한 위치 결정 시스템(3336)은 선형의 전기 엔코더 시스템일 수 있다. 적절한 선형 엔코더 시스템은 네트저 정밀 동작 센서사(Netzer precision motion sensor, Ltd) 또는 파란드사(farand corporation)로부터 상업적으로 구입할 수 있다. 선택적인 실시예에서, 상기 모듈은 전자-광학 엔코더 또는 자기-한정적 유형의 하우 효과 센서 시스템과 같은 세밀한 위치 결정을 할 수 있는 위치 결정 시스템의 다른 적절한 유형을 구비한다. 이러한 실시예에서, 세밀한 위치 시스템(3336)은 선형 스케일(3336s)을 포함한다. 상기 선형 스케일(3336s)은 상기 카트(3229)상에서 수동적 센서 등록부(N1-N4: 도 30 참조)와 작용하는 모듈 프레임(3016f)의 저부면에 장착된다. 선택적인 실시예에서, 상기 스케일은 카트상의 등록 특징부를 센싱하는 적절한 위치에서 스케일을 배치하는 모듈의 다른 부분에 배치된다. 도 28-29에 개략적으로 도시된 스케일(3336s)은 전기적으로 활동적인 요소이며 적절한 통신 라인(3336c)을 경유하여 적절한 AC전원(미도시)으로부터 여기된다. 예를 들어, 상기 스케일은 주기적 패턴 필드 트랜스미터가 프린트된 하나 이상의 인쇄 회로 스트립을 포함한다. 이러한 실시예에서, 상기 스케일(3336s)은 상기 카트(3229)상의 등록 특징부가 상기 스케일을 따라 이동할 때 상기 트랜스미터의 필드에서 변화를 감지할 수 있는 수신기를 포함한다. 이러한 실시예에서, 상기 스케일은 챔버 모듈의 대향하는 단부에서 모듈 인터페이스(3016i1, 3016i2) 사이에서 연속적으로 연장된다. 선택적인 실시예에서, 상기 스케일은 세밀한 위치 결정이 필요한 모둘의 영역의 상기 모듈에서 부분적으로 연장되며 연장된다. 이러한 실시예에서, 상기 스케일(3336s)은 다중 감지 트랙(3336s1-3336s5)을 포함하며 각각은 카트(3229) 상의 대응하는 센서 등록 특징부(n1-n5)의 위치를 감시할 수 있다. 도 30에 도시된 바와 같이, 카트(3229)는 다중 센서 등록 특징부(n1-n5)를 구비한다. 전술한 바와 같이, 상기 센서 등록 특징부(n1-n5)는 이러한 실시예에서 수동적이며(즉 동력이 없으며), 자석 또는 자성 재료를 포함한다. 도 30에 도시된 이러한 실시예에서, 상기 카트(3229)는 이동식 플레이튼과 카트(3229)의 위치 설정을 가능하게 하는 5개의 센서 등록 특징부(n1-n5)를구비한다. 우측에서의 n4 및 n3과, 좌측에서의 n1, n2와 같은 이러한 특징부 중 2개는 카트의 좌우측 각각에서의 등록과 위치 설정을 위하여 사용된다. 이러한 실시예에서의 특징부(n5)는 이동식 플레이튼 위치의 등록을 위하여 사용된다. 도 28 및 도 30에 도시된 바와 같이, 이러한 경우에 레일(3336s1-3336s4)과 작용하여 충분히 인접한 카트의 저부상에 배치되는 등록 특징부(n1-n4)는 스케일(3336s)(도 29참고)의 대응하는 감지 트랙(3336s1-3336s4)과 실질적으로 정렬되도록 측방향으로 오프셋된다. 카트(3229)의 좌우측의 위치 결정을 위하여 각각 사용되는 등록 특징부(n3-n4, n1-n2)는 카트가 모듈(3016, 3016a) 사이에서 횡단할 때 카트의 연속적인 위치 결정을 하기에 충분한 길이방향 피치(3000a)에서 오프셋된다. 예를 들어, 하나의 모듈에서 그 다음으로 통과시에, 상기 오프셋(3000a)은 최후방의 등록 특징부(n2, n4)가 모듈의 대응하는 트랙(3336s2, 3336s4)과 반응하도록 유지하여 허용하며, 상기 카트는 최선단의 등록 특징부(n1, n3)가 모듈의 대응하는 감지 트랙(트랙(3336s1, 2226s2)에 유사함)과 반응하기 시작(즉 위치 결정이 시작)될 때까지 카트가 떠나고, 챠트는 유입되게 된다. 따라서, 상기 카트(3229)의 위치는 상기 챔버(3014(도 27 참조)) 내에서의 카트 횡단 운동을 연속적으로 이루게 된다. 플레이튼(3172)상의 등록 특징부(n5)는 트랙(3336s5)과 함께 전술한 바와 유사한 방식으로 플레이튼의 위치 결정을 허용하게 된다. 특징부(n1-n4, n5)(예를 들어 CPU에 의해 작동됨)에 대하여 등록된 위치 신호의 비교는 이동식 플레이튼(3172)의 상대적인 위치 결정을 허용하게 된다. 상대적인 위치 정보는 상기 카트의 기판 운송 장치(3160)의 작동을 제어하는데 사용된다. 선택적인 실시예에서, 상기 카트는 등록 특징부의 다른 적절한 배열을 구비하며, 카트의 각 측면의 위치 결정을 위한 하나의 등록 특징부와 같은 다소의 등록 특징부를 구비한다. 선택적인 실시예에서, 위치결정은 크로스 위치설정 시스템(3340)을 사용한 대략적 위치 결정과 정밀 위치 설정 시스템(3336)을 구비한 세밀한 위치 결정을 결합하여 달성된다. 예를 들어, 전체 위치 설정 시스템(3340)(홀 효과 타입의 위치 감지 시스템과 같은 적절한 위치 결정 시스템 또는 전자-광학 엔코더 시스템이거나, 모듈 챔버를 통하여 장착되기에 덜 고가임)은 상기 챔버 모듈(3016)을 통하여 카트(3229)의 일반적인 횡방향 운동시에 사용되며 상기 카트가 하나의 모듈로부터 서로 이동할 때 위치 설정을 위하여 사용된다. 정밀 위치 설정 시스템(3336)은 보다 큰 위치 결정 정확도가 필요한 경우에 한정적으로 사용된다. 예를 들어, 기판을 처리 모듈(3020, 3020a)으로 운송할 때 플레이튼(3172)과 카트(3229)의 위치를 정밀하게 결정하는 것이 필요하다. 따라서, 이 경우, 활성 스케일(3336s)의 설치는 상기 처리 모듈(3020)과 연통하는 포트(3016p: 도 27 참조)가 배치되는 영역과 일반적으로 부합하도록 크기가 설정된다. 또한, 면당 단일의 등록 특징부(single registration-feature per side)와, 이동식 플레이튼(3172)에 대한 다른 등록 특징부는 카트(3229)의 세밀한 위치 결정에 충분하며 플레이튼(3172)은 기판 운송 장치(3160)의 정확한 운동을 가능하게 한다. 도 29에서 알 수 있는 바와 같이, 전체적이며 세밀한 위치 결정 시스템(3340, 3386)으로부터의 신호는, CPU에 의해 처리되는 적절한 라인(3336c)을 통하여 또는 무선 수단을 통하여 통신되어, 권취부 제어 시스템(3790: 도 29)을 통하여 권취부를 제어하는 위치 정보를 번갈아 사용하게 된다. 통신 라인(3336c)은 오프 모듈 CPU에 연결되는 하나 이상의 연결부(연결부(3790c)에 유사함)를 구비하지만, 상기 모듈의 위치 설정 시스템(3340, 3336)은 전용의 권취부 제어 시스템의 처리부와 직접 통신할 수 있어서, 챔버 모듈(3016)은 전체적 공구 제어 구조체에 대하여 상기 카트(3229)와 그 상부의 운송 장치의 필요한 운동을 일으키는 권취부의 작동을 자체적으로 제어하게 된다.
각각의 운송 챔버 모듈(3016, 3016a)은 전술한 바와 같이 상기 모듈이 처리 공구에 대한 완전한 운송 챔버를 형성할 수 있게 하는 시스템을 포함한다. 예를 들어, 상기 공구(3018)는 도 5에 도시된 운송 챔버(18)에 유사한 형상으로, 서로 다르지만 교체가능한 모듈(3016, 3016a, 3016b, 3016c)로부터 선택된 하나의 모듈의 운송 챔버(3014)를 구비하도록 구성된다. 도 27에 도시된 바와 같이, 상기 모듈(3016, 3016a, 3016b, 3016c)은, 선택된 순서로, 상기 운송 챔버(3014) 및 원하는 구조의 공구(3018)를 형성하도록 상기 모듈의 공통 인터페이스에 접하게 함으로써 결합된다. 각각의 모듈(3016, 3016a, 3016b, 3016c)의 자체적인 과도한 능력으로 인하여 공구의 조립체는 모듈 인터페이스에서 기계적인 연결부의 완결성에 가능한 한 쉽게 영향을 주게 된다.
본 발명은 기판을 처리하는 기술분야에 이용될 수 있다.
전술한 사항은 본 발명의 예시적인 사항임을 이해해야 한다. 다양한 선택사 항과 번형례가 본 발명의 범위를 벗어나지 않는 범위내에서 당업자에게 가능하다. 따라서, 본 발명은 첨부된 청구범위의 범위에 속하는 이러한 모든 선택적인 사항, 변형례, 수정례를 포함하는 것을 의도한다.
본 발명의 전술한 특징 및 다른 구성은 첨부된 도면을 참조하여 하기에서 설명된다.
도 1은 선행기술에 따른 기판 처리 장치의 개략적인 평면도이다.
도 2는 본 발명의 제 1 실시예의 특징을 구비한 기판 처리 장치의 개략적인 평면도이다.
도 3은 본 발명의 다른 실시예에 따른 기판 처리 장치의 개략적인 평면도이다.
도 4-5는 본 발명의 다른 실시예에 따른 기판 처리 장치의 각각의 개략적인 평면도이다.
도 6은 본 발명의 다른 실시예에 따른 기판 처리 장치의 개략적인 평면도이다.
도 7은 다른 실시예에 따른 2개의 기판 처리 장치를 구비한 기판 처리 시스템의 개략적인 평면도이며, 도 7a는 다른 실시예에 따른 기판 처리 시스템의 다른 개략적인 평면도이다.
도 8은 다른 일반적인 기판 처리 장치의 개략적인 평면도이다.
도 9는 다수의 일반적인 처리 장치 및 스토커를 포함하는 일반적인 기판 처리 시스템의 개략적인 평면도이다.
도 10은 기판 처리 장치의 플레이튼 구동 시스템의 단면에 대한 도면이다.
도 11a-11b는 기판 처리 장치의 다른 플레이튼 구동 시스템의 단부 및 단면 (도 11a의 11b-11b 선을 따라 추한)에 대한 각각의 도면이다.
도 12는 상기 장치의 다른 실시예에 따른 기판 처리 장치의 예시적인 카트의 평면도이다.
도 12a는 연장된 위치에서 도시된 카트를 구비한 도 12의 예시적인 카트의 다른 평면도이다.
도 12b는 상기 장치의 챔버의 위치에서 도 12의 예시적인 카트의 단부를 도시하는 도면이다.
도 13a는 상기 장치의 다른 실시예에 따른 구동 시스템 및 운송 카트를 구비한 장치의 챔버의 위치의 상측 단부를 나타내는 도면이다.
도 13b-13c는 각각 도 13a의 13b-13b 선을 따라 취한 카트 및 챔버의 단면도, 도 13b의 13c-13c 선을 따라 취한 다른 단면도이다.
도 13d는 상기 장치의 예시적인 구동 시스템의 개략적인 다이아그램이다.
도 14a는 도 2의 장치에 사용되는 카트의 다른 실시예에 대한 단부를 도시하는 도면이다.
도 14b는 상기 구동 시스템의 축방향 만곡(z) 및 복원력(f) 간의 관계를 도시하는 그래프이다.
도 15-16은 다른 실시예에 따른 상기 장치의 반도체 제품 운송 카트의 개략적인 사시도 및 전개도이다.
도 17은 다른 실시예에 따른 운송 카트의 개략적인 사시도이다.
도 18은 도 2의 운송 장치의 부분 및 상기 장치의 제품 척 회전 장치에 대한 단면도이다.
도 19-20은 각각 다른 위치에서의 운송 카트를 구비한 장치의 운송 카트 및 제품 척 회전 장치의 분해도이다.
도 21은 다른 실시예에 따른 척 회전 장치의 다른 분해도이다.
도 22-23은 상기 장치의 운송 카트의 다른 실시예의 개략적 분해도 및 개략적 평면도이다.
도 23a-23b는 서로 다른 두개의 위치에서의 카트의 운송 아암을 구비한 도 22의 운송 카트의 다른 평면도이다.
도 24는 운송 카트의 다른 실시예의 개략적인 분해도이다.
도 24a-24c는 서로 다른 세개의 위치에서의 카트의 운송 아암 링크를 구비한 도 24의 운송 카트의 평면도이다.
도 25는 운송 카트의 다른 실시예의 개략적인 분해도이다.
도 25a-25c는 서로 다른 세개의 위치에서의 카트의 운송 아암 링크를 구비한 도 25의 운송 카트의 평면도이다.
도 26은 상기 장치의 제어기에서의 시스템 제어 소프트웨어의 다이아그램이다.
도 27은 본 발명의 다른 실시예에서의 기판 처리 시스템의 개략적인 정면도이다.
도 28은 도 27의 운송 챔버의 대표적인 모듈의 단면 분해도이다.
도 29는 도 28의 29-29 선을 따라 취한 챔버 모듈의 단면도이다.
도 30은 도 27의 시스템의 기판 운송부의 저면도이다.

Claims (10)

  1. 외부의 대기와 분리될 수 있는 챔버;
    챔버와 처리 모듈사이로 기판이 운송되게 하고 상기 챔버에 각각 연통되어 연결된 처리 모듈들의 일반적인 선형 어레이;
    상기 선형 어레이의 제 1 위치에 배치되며, 상기 챔버로 기판이 운송되게 하거나 상기 챔버로부터 기판이 운송되게 하도록 된 제 1 로딩 인터페이스;
    상기 선형 어레이의 제 2 위치에 배치되며, 상기 제 1 로딩 인터페이스로부터 오프셋되며, 상기 챔버로 상기 기판이 운송되게 하거나 상기 챔버로부터 기판이 운송되게 하도록 된 제 2 로딩 인터페이스;
    상기 챔버로부터 이동가능하게 지지되며 배치된 기판 운송부로서, 상기 기판 운송부는 처리 모듈들 간에 기판을 운송하는 챔버에 의해 형성된 선형 경로를 따라 이동할 수 있는 기판 운송부; 및
    상기 선형 경로를 따라 상기 운송부를 구동하여 이동시키도록 상기 챔버에 연결된 구동 시스템;을 포함하는 기판 처리 장치에 있어서,
    상기 챔버는 연속하게 접하는 선택가능한 수의 챔버 모듈을 포함하여 형성되며, 각각의 챔버 모듈은 상기 구동 시스템의 일체부를 구비하는 것을 특징으로 하는 기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 챔버 모듈은 교체가능한 것을 특징으로 하는 기판 처리 장치.
  3. 제 1 항에 있어서,
    상기 챔버 모듈의 구동 시스템의 일체부는 상기 챔버 모듈에서 상기 운송부를 구동하는 것을 특징으로 하는 기판 처리 장치.
  4. 제 1 항에 있어서,
    인접한 챔버모듈의 구동 시스템의 일체부는 인접한 챔버 모듈들 사이에서 상기 운송부가 자유롭게 이동하게 하도록 작동하는 것을 특징으로 하는 기판 처리 장치.
  5. 제 1 항에 있어서,
    인접한 챔버 모듈은 서로 분리될 수 있으며 상기 운송부 및 구동 시스템은 상기 운송부가 인접한 챔버 모듈을 분리시킬 수 있는 폐쇄가능한 통로를 통하여 인접한 챔버 모듈들간에 이동할 수 있게 되는 구조로 되는 것을 특징으로 하는 기판 처리 장치.
  6. 제 1 항에 있어서,
    상기 구동 시스템은 상기 챔버의 내부 환경과 분리된 선형 구동 시스템을 포함하는 것을 특징으로 하는 기판 처리 장치.
  7. 제 6 항에 있어서,
    상기 구동 시스템은 철계 코어 선형 구동 시스템을 포함하는 것을 특징으로 하는 기판 처리 장치.
  8. 제 1 항에 있어서,
    상기 구동 시스템은 코어가 없는 선형 구동 시스템을 포함하는 것을 특징으로 하는 기판 처리 장치.
  9. 제 1 항에 있어서,
    상기 운송부는 상기 챔버와 처리 모듈 사이에서 기판을 운송하는 기판 운송 아암을 구비하며, 상기 운송부는 수동형 운송부인 것을 특징으로 하는 기판 처리 장치.
  10. 기판 운송 장치에 있어서,
    상기 장치의 제 1 위치에 배치되며, 상기 장치에 기판을 로딩하도록 된 제 1 폐쇄식 개구(first closable opening);
    상기 장치의 제 1 위치와 다른 제 2 위치에 배치되며, 상기 장치로부터 기판을 언로딩하도록 된 제 2 폐쇄식 개구(second closable opening);
    제 1 장치 모듈로서, 상기 제 1 장치 모듈은 제 1 장치 모듈로 이동하게 하 는 제 1 폐쇄식 개구에 연결되며 외측 대기로부터 분리될 수 있고, 상기 기판이 그 사이에서 운송되도록 서로 연통되어 연결되는 제 1 기판 운송 챔버 모듈과 제 1 처리 챔버를 구비하는 제 1 장치 모듈과;
    제 1 단부에 대하여 연속적으로 상기 제 1 장치 모듈에 선택적으로 연결된 제 2 장치 모듈로서, 상기 기판이 그 사이에서 운송되도록 서로 연통되어 연결되는 제 2 기판 운송 챔버 모듈과 제 2 처리 챔버를 구비하는 제 2 장치 모듈과;
    제 1 기판 운송 챔버 모듈 및 제 2 운송 챔버 모듈 사이에서 기판을 이동시키도록 제 1 기판 운송 챔버 모듈에 배치되며 제 1 운송 챔버 모듈에 대하여 이동가능하게 연결되는 기판 운송 차량으로서, 상기 기판 운송 차량은 상기 기판 운송 차량을 제 1 기판 운송 챔버 모듈에 대하여 구동하고 이동시키기 위하여 구동 모터부를 구비하며, 상기 기판 운송 차량의 구동 모터부는 상기 제 1 기판 운송 챔버 모듈 내에 배치되는 기판 운송 차량을; 포함하는 것을 특징으로 하는 기판 운송 장치.
KR1020097024874A 2004-10-09 2005-10-11 기판 처리 장치 KR101110207B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/962,787 2004-10-09
US10/962,787 US7988398B2 (en) 2002-07-22 2004-10-09 Linear substrate transport apparatus
PCT/US2005/036650 WO2006042273A1 (en) 2004-10-09 2005-10-11 Substrate processing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020077010483A Division KR100951680B1 (ko) 2004-10-09 2005-10-11 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20100017469A true KR20100017469A (ko) 2010-02-16
KR101110207B1 KR101110207B1 (ko) 2012-02-15

Family

ID=36148677

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020077010483A KR100951680B1 (ko) 2004-10-09 2005-10-11 기판 처리 장치
KR1020097024874A KR101110207B1 (ko) 2004-10-09 2005-10-11 기판 처리 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020077010483A KR100951680B1 (ko) 2004-10-09 2005-10-11 기판 처리 장치

Country Status (6)

Country Link
US (3) US7988398B2 (ko)
EP (1) EP1805792B1 (ko)
JP (1) JP5065900B2 (ko)
KR (2) KR100951680B1 (ko)
CN (1) CN101091241B (ko)
WO (1) WO2006042273A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102180636B1 (ko) * 2019-08-12 2020-11-18 (주)에이피텍 카메라 모듈을 제조하는 모듈화 인라인 시스템 및 이를 이용한 카메라 모듈 제조 방법
KR102196698B1 (ko) * 2019-09-06 2020-12-30 (주)에이피텍 공정률이 개선된 카메라 모듈 제조 인라인 시스템

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
WO2005048313A2 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US8639365B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US8639489B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7203563B2 (en) * 2004-04-08 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic N2 purge system for 300 mm full automation fab
US7477956B2 (en) * 2004-07-12 2009-01-13 Applied Materials, Inc. Methods and apparatus for enhancing electronic device manufacturing throughput
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
JP2007123332A (ja) * 2005-10-25 2007-05-17 Nikon Corp ステージ装置、露光装置、デバイスの製造方法
WO2007101228A2 (en) * 2006-02-27 2007-09-07 Blueshift Technologies, Inc. Semiconductor wafer handling and transport
WO2007122902A1 (ja) * 2006-03-24 2007-11-01 Hitachi Kokusai Electric Inc. 基板処理装置の管理方法
US7628574B2 (en) * 2006-03-28 2009-12-08 Arcus Technology, Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP2008034746A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 塗布、現像装置、その方法及び記憶媒体
US7675048B2 (en) * 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
US8129984B2 (en) 2007-06-27 2012-03-06 Brooks Automation, Inc. Multiple dimension position sensor
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
US8823294B2 (en) 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
KR101532060B1 (ko) 2007-06-27 2015-06-26 브룩스 오토메이션 인코퍼레이티드 셀프 베어링 모터를 위한 위치 피드백
WO2009003195A1 (en) 2007-06-27 2008-12-31 Brooks Automation, Inc. Motor stator with lift capability and reduced cogging characteristics
KR20180014247A (ko) 2007-07-17 2018-02-07 브룩스 오토메이션 인코퍼레이티드 챔버 벽들에 일체화된 모터들을 갖는 기판 처리 장치
KR20100051738A (ko) * 2007-08-31 2010-05-17 어플라이드 머티어리얼스, 인코포레이티드 광전지 생산 라인
JP5247094B2 (ja) * 2007-09-14 2013-07-24 インテヴァック インコーポレイテッド 基板処理システム
KR100929817B1 (ko) * 2007-10-23 2009-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 장치의 제조 방법
JP2009147236A (ja) * 2007-12-17 2009-07-02 Mitsubishi Heavy Ind Ltd 真空処理装置
JP5419384B2 (ja) * 2008-05-20 2014-02-19 東京エレクトロン株式会社 真空処理装置
US8215473B2 (en) * 2008-05-21 2012-07-10 Applied Materials, Inc. Next generation screen printing system
JP5262412B2 (ja) * 2008-08-07 2013-08-14 シンフォニアテクノロジー株式会社 真空処理装置
JP5470770B2 (ja) * 2008-08-07 2014-04-16 シンフォニアテクノロジー株式会社 真空処理装置
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
KR101543681B1 (ko) * 2009-01-15 2015-08-11 주성엔지니어링(주) 기판 처리 시스템
DE102009029314A1 (de) * 2009-01-29 2010-08-05 Robert Bosch Gmbh Transportvorrichtung
US8425173B2 (en) 2009-04-10 2013-04-23 Symbotic Llc Autonomous transports for storage and retrieval systems
JP5280522B2 (ja) 2009-04-28 2013-09-04 キヤノンアネルバ株式会社 識別情報設定装置、および識別情報設定方法
US8378252B2 (en) * 2009-05-29 2013-02-19 Electro Scientific Industries, Inc. Method and apparatus for hybrid resolution feedback of a motion stage
JP5487741B2 (ja) * 2009-06-10 2014-05-07 株式会社ニコン 基板貼り合わせ装置
US8712571B2 (en) * 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US8696815B2 (en) 2009-09-01 2014-04-15 Samsung Display Co., Ltd. Thin film deposition apparatus
US20110172952A1 (en) * 2009-10-05 2011-07-14 Upendra Ummethala Apparatus and Method for Measuring Position and/or Motion Using Surface Micro-Structure
TWI458612B (zh) * 2009-11-10 2014-11-01 Intevac Inc 可作z軸運動並具多關節手臂之線性真空機械手
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
US8911554B2 (en) * 2010-01-05 2014-12-16 Applied Materials, Inc. System for batch processing of magnetic media
KR101690970B1 (ko) * 2010-02-19 2016-12-29 주성엔지니어링(주) 기판 처리 시스템 및 기판 반송 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5614326B2 (ja) * 2010-08-20 2014-10-29 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
KR101682465B1 (ko) * 2010-11-17 2016-12-05 삼성전자 주식회사 기판이송로봇
US9008884B2 (en) 2010-12-15 2015-04-14 Symbotic Llc Bot position sensing
US10822168B2 (en) 2010-12-15 2020-11-03 Symbotic Llc Warehousing scalable storage structure
US9475649B2 (en) 2010-12-15 2016-10-25 Symbolic, LLC Pickface builder for storage and retrieval systems
US8694152B2 (en) 2010-12-15 2014-04-08 Symbotic, LLC Maintenance access zones for storage and retrieval systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5883232B2 (ja) 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
KR20130004830A (ko) * 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
TWI622540B (zh) 2011-09-09 2018-05-01 辛波提克有限責任公司 自動化儲存及取放系統
CN102296285A (zh) * 2011-09-09 2011-12-28 汉能科技有限公司 一种线列式有机金属化合物气相淀积系统及方法
US9837294B2 (en) * 2011-09-16 2017-12-05 Persimmon Technologies Corporation Wafer transport system
KR102371755B1 (ko) * 2011-09-16 2022-03-07 퍼시몬 테크놀로지스 코포레이션 패시브 회전자를 가진 로봇 구동
KR20230084597A (ko) 2011-09-16 2023-06-13 퍼시몬 테크놀로지스 코포레이션 운송 장치 및 이를 포함하는 처리 장치
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN104271474B (zh) 2011-12-16 2018-01-09 布鲁克斯自动化公司 输送设备
US9558978B2 (en) 2012-05-04 2017-01-31 Kla-Tencor Corporation Material handling with dedicated automated material handling system
US8881629B2 (en) * 2012-06-12 2014-11-11 Graham Packaging Company, L.P. Continuous motion de-flash trimming machine
US10058018B2 (en) * 2012-06-28 2018-08-21 Universal Instruments Corporation Flexible assembly machine, system and method
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9293317B2 (en) 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
CN102897522B (zh) * 2012-10-22 2015-12-09 大同齿轮(昆山)有限公司 输送及加工高效配合的加工装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
TWI627696B (zh) * 2013-01-22 2018-06-21 布魯克斯自動機械公司 基材運送
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI594933B (zh) 2013-03-15 2017-08-11 辛波提克有限責任公司 自動化貯藏及取放系統
KR102188622B1 (ko) 2013-03-15 2020-12-08 심보틱 엘엘씨 통합 보안 직원 액세스 구역 및 원격 로버 조업 중지를 구비한 자율화된 저장 및 인출 시스템
TWI642028B (zh) 2013-03-15 2018-11-21 辛波提克有限責任公司 具有整合式受保護的人員接觸區及遠端漫遊機關機之運送系統及自動化儲存和取放系統
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US10424498B2 (en) 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
JP6523296B2 (ja) 2013-09-13 2019-05-29 シムボティック エルエルシー 自動保管および取出システム
KR102316440B1 (ko) * 2013-10-18 2021-10-22 브룩스 오토메이션 인코퍼레이티드 공정 장치
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
KR20150052996A (ko) * 2013-11-07 2015-05-15 삼성디스플레이 주식회사 기판 이송 장치 및 이를 포함하는 박막 증착 장치
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
WO2015073647A1 (en) 2013-11-13 2015-05-21 Brooks Automation, Inc. Sealed robot drive
KR102383699B1 (ko) 2013-11-13 2022-04-06 브룩스 오토메이션 인코퍼레이티드 브러쉬리스 전기 기계 제어 방법 및 장치
KR20230048164A (ko) 2013-11-13 2023-04-10 브룩스 오토메이션 인코퍼레이티드 밀봉된 스위치드 릴럭턴스 모터
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
JP6484563B2 (ja) * 2013-12-26 2019-03-13 コニカミノルタ株式会社 電子デバイスの印刷製造システム
KR102192244B1 (ko) * 2013-12-30 2020-12-17 삼성디스플레이 주식회사 기판 이송장치
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US10269604B2 (en) * 2014-01-21 2019-04-23 Persimmon Technologies Corporation Substrate transport vacuum platform
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9955618B2 (en) 2014-02-07 2018-04-24 Universal Instruments Corporation Pick and place head with internal vaccum and air pressure supply, system and method
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
KR102644224B1 (ko) * 2014-04-21 2024-03-06 퍼시몬 테크놀로지스 코포레이션 로봇 구동을 위한 장치
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR20190071003A (ko) * 2014-10-10 2019-06-21 캐논 아네르바 가부시키가이샤 성막 장치
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
TWI710440B (zh) * 2014-11-10 2020-11-21 美商布魯克斯自動機械公司 工具自動教導方法及設備
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
WO2016131190A1 (en) * 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
WO2017011581A1 (en) 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102204234B1 (ko) * 2016-01-18 2021-01-15 어플라이드 머티어리얼스, 인코포레이티드 진공 챔버에서 기판 캐리어를 운송하기 위한 장치, 기판을 진공 프로세싱하기 위한 시스템, 및 진공 챔버에서 기판 캐리어를 운송하기 위한 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6744155B2 (ja) * 2016-06-30 2020-08-19 日本電産サンキョー株式会社 搬送システム
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
JP6830772B2 (ja) * 2016-08-04 2021-02-17 株式会社ジャパンディスプレイ 積層膜の製造装置、及び積層膜の製造方法
US10607879B2 (en) 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
US10996232B2 (en) 2016-09-09 2021-05-04 The Procter & Gamble Company System and method for independently routing container-loaded vehicles to create different finished products
US10643875B2 (en) 2016-09-09 2020-05-05 The Procter & Gamble Company System and method for simultaneously filling containers with different fluent compositions
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018049119A1 (en) 2016-09-09 2018-03-15 The Procter & Gamble Company Methods for simultaneously producing different products on a single production line
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
EP4194378A1 (en) 2016-09-09 2023-06-14 The Procter & Gamble Company System and method for independently routing vehicles and delivering containers and closures to unit operation stations
EP3509953A1 (en) 2016-09-09 2019-07-17 The Procter and Gamble Company System and method for simultaneously filling containers of different shapes and/or sizes
EP3509972B1 (en) 2016-09-09 2023-11-15 The Procter & Gamble Company Track system for creating finished products
CN109689303B (zh) 2016-09-09 2020-10-13 宝洁公司 具有可延展的裙边衬圈的真空保持器
US10558201B2 (en) 2016-09-09 2020-02-11 The Procter & Gamble Company System and method for producing products based upon demand
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
CN106816351B (zh) * 2017-01-20 2018-08-17 信利(惠州)智能显示有限公司 一种离子注入装置
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US9885160B1 (en) 2017-02-01 2018-02-06 Kevin Stone Rotatable snowplow blade apparatus, systems and methods of using the same
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US20180308728A1 (en) * 2017-02-07 2018-10-25 Brooks Automation, Inc. Method and apparatus for substrate transport
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN106921245A (zh) * 2017-05-09 2017-07-04 泰州市姜堰德力电机有限公司 一种潜水电机
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019246122A1 (en) * 2018-06-18 2019-12-26 Lam Research Corporation Reduced footprint wafer handling platform
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
CN109590884B (zh) * 2019-01-11 2021-03-16 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 多载盘晶圆传送设备及传送系统
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11136197B2 (en) * 2019-01-14 2021-10-05 Goodrich Corporation Carrier-based semi-automated cargo handling system
KR20220010710A (ko) * 2019-02-14 2022-01-26 퍼시몬 테크놀로지스 코포레이션 기계적으로 안내되는 자재 취급 로봇
US11476139B2 (en) 2020-02-20 2022-10-18 Brooks Automation Us, Llc Substrate process apparatus
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
KR102247183B1 (ko) * 2020-05-29 2021-05-04 주식회사 싸이맥스 효율적인 설치면적을 갖는 웨이퍼 공정 장치
US11862499B2 (en) * 2020-08-19 2024-01-02 Applied Materials, Inc. Multiplexing control of multiple positional sensors in device manufacturing machines
US11501957B2 (en) 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
JP2022142568A (ja) * 2021-03-16 2022-09-30 東京エレクトロン株式会社 基板を処理する装置及び基板を搬送する方法
US20230143307A1 (en) * 2021-10-29 2023-05-11 Brooks Automation US, LLC. Substrate processing apparatus

Family Cites Families (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US3407749A (en) 1966-08-31 1968-10-29 Gen Motors Corp Motor for propulsion and load support
US3470828A (en) 1967-11-21 1969-10-07 James R Powell Jr Electromagnetic inductive suspension and stabilization system for a ground vehicle
FR2098210B1 (ko) 1970-07-07 1973-06-29 Japan National Railway
DE2160666B2 (de) 1971-12-07 1973-09-27 Siemens Ag, 1000 Berlin U. 8000 Muenchen Elektrodynamisches Magnetsystem fur die Schwebeführung eines bewegten Fahr Zeugs
DE2220735A1 (de) * 1972-01-20 1973-11-08 Krauss Maffei Ag Anordnung zum beruehrungsfreien magnetischen tragen eines schwebefahrzeugs im bereich einer fahrbahnverzweigung
US3937148A (en) 1973-01-02 1976-02-10 Cambridge Thermionic Corporation Virtually zero power linear magnetic bearing
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4042128A (en) 1975-11-26 1977-08-16 Airco, Inc. Substrate transfer apparatus for a vacuum coating system
US4348139A (en) 1980-04-30 1982-09-07 International Business Machines Corp. Gas film wafer transportation system
US4307668A (en) 1980-05-19 1981-12-29 Vinson Roy D Transportation system unitizing permanent magnets for levitation of a vehicle
US4518078A (en) 1982-05-24 1985-05-21 Varian Associates, Inc. Wafer transport system
JPS5950538A (ja) * 1982-09-17 1984-03-23 Hitachi Ltd ウエハ搬送装置
JPS605509A (ja) 1983-06-24 1985-01-12 Hitachi Ltd 分子線エピタキシ装置
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPS6162739A (ja) 1984-09-03 1986-03-31 Sanki Eng Co Ltd クリ−ントンネル
US4624617A (en) * 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
JPS62114403A (ja) * 1985-11-13 1987-05-26 Fuji Electric Co Ltd 搬送装置
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4676884A (en) 1986-07-23 1987-06-30 The Boc Group, Inc. Wafer processing machine with evacuated wafer transporting and storage system
US4717461A (en) 1986-09-15 1988-01-05 Machine Technology, Inc. System and method for processing workpieces
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (ja) 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4779538A (en) 1986-12-26 1988-10-25 Shunsuke Fujiwara Levitation-propulsion mechanism for inductive repulsion type magnetically levitated railway
US5040484A (en) 1987-05-04 1991-08-20 Varian Associates, Inc. Apparatus for retaining wafers
US4805761A (en) * 1987-07-14 1989-02-21 Totsch John W Magnetic conveyor system for transporting wafers
DE3735284A1 (de) 1987-10-17 1989-04-27 Leybold Ag Vorrichtung nach dem karussell-prinzip zum beschichten von substraten
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US4913059A (en) 1988-02-25 1990-04-03 Railway Technical Research Institute Levitation, propulsion and guidance mechanism for inductive repulsion-type magnetically levitated railway
JP2761881B2 (ja) 1988-03-10 1998-06-04 チッソ株式会社 抗体を固定化したアフイニテイクロマトグラフイ用担体
US4794863A (en) 1988-03-21 1989-01-03 International Business Machines Corporation Motive structure for transporting workpieces
IT1216642B (it) 1988-03-29 1990-03-08 Mariani Enrico Sistema per spostare un'anta di un mobile da una posizione aperta ad una chiusa.
EP0346815A3 (en) * 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JPH0419081A (ja) 1990-05-15 1992-01-23 Seiko Instr Inc 真空内搬送ロボット
JPH04350023A (ja) 1990-08-08 1992-12-04 Mitsubishi Heavy Ind Ltd 搬送装置及び搬送用パレット
US5219828A (en) * 1990-10-01 1993-06-15 Sharp Kabushiki Kaisha Method for fabricating oxide superconducting coatings
US5180048A (en) 1990-10-12 1993-01-19 Mitsubishi Jukogyo Kabushiki Kaisha Magnetic levitating transportation system
JPH04275449A (ja) * 1991-03-04 1992-10-01 Mitsubishi Heavy Ind Ltd 磁気搬送装置
JP2858275B2 (ja) 1990-12-28 1999-02-17 セイコー精機株式会社 搬送装置
US5994798A (en) * 1998-02-26 1999-11-30 Anorad Corporation Closed-path linear motor
JPH04286537A (ja) 1991-03-18 1992-10-12 Seiko Seiki Co Ltd 搬送装置
US5154730A (en) 1991-05-17 1992-10-13 Materials Research Corporation Semiconductor wafer processing module having an inclined rotating wafer handling turret and a method of using the module
EP0529157A1 (en) * 1991-08-22 1993-03-03 Mitsubishi Jukogyo Kabushiki Kaisha Alternating current magnetic levitation transport system
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
JPH0815181B2 (ja) * 1991-09-27 1996-02-14 株式会社荏原製作所 磁気浮上搬送装置の仕切弁
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
US5282424A (en) 1991-11-18 1994-02-01 Neill Gerard K O High speed transport system
JP3015566B2 (ja) * 1991-12-20 2000-03-06 三菱重工業株式会社 交流磁気浮上搬送装置
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JPH07508617A (ja) 1992-06-26 1995-09-21 マティリアルズ リサーチ コーポレイション ウエハ処理工程ラインのための輸送装置
US5641054A (en) * 1992-07-07 1997-06-24 Ebara Corporation Magnetic levitation conveyor apparatus
KR100302012B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
KR970011065B1 (ko) 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
US6296735B1 (en) 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5402021A (en) 1993-05-24 1995-03-28 Johnson; Howard R. Magnetic propulsion system
US5551350A (en) * 1993-10-07 1996-09-03 Daifuku Co., Ltd. Transporting system driven by linear motor having inductive power supply
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JPH07172580A (ja) * 1993-12-16 1995-07-11 Ebara Corp トンネル搬送装置
JP3279032B2 (ja) 1993-12-16 2002-04-30 スズキ株式会社 船外機のエンジン回転数制御装置
JPH07176593A (ja) * 1993-12-20 1995-07-14 Ebara Corp 搬送装置
JP3965343B2 (ja) * 1994-08-19 2007-08-29 東京エレクトロン株式会社 処理装置
TW295677B (ko) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5651868A (en) 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
JPH08119409A (ja) * 1994-10-27 1996-05-14 Tokyo Electron Ltd 集合処理装置
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
TW309503B (ko) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
CH691376A5 (de) 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
TW318258B (ko) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
TW349897B (en) 1996-02-02 1999-01-11 Komatsu Mfg Co Ltd Operational robot
NO304220B1 (no) * 1996-04-30 1998-11-16 Sintef FremgangsmÕte til fremstilling av tynne metallmembraner
US6450103B2 (en) 1996-05-07 2002-09-17 Einar Svensson Monorail system
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US5881649A (en) 1996-08-13 1999-03-16 Anelva Corporation Magnetic transfer system, power transmission mechanism of the magnetic transfer system, and rotational driving member used for the system
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US5980193A (en) 1996-09-18 1999-11-09 Magnetic Bearing Technologies, Inc. Magnetically levitated robot and method of increasing levitation force
JP3947761B2 (ja) 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US5944475A (en) * 1996-10-11 1999-08-31 Asyst Technologies, Inc. Rotated, orthogonal load compatible front-opening interface
US5998889A (en) * 1996-12-10 1999-12-07 Nikon Corporation Electro-magnetic motor cooling system
NO971605L (no) * 1997-04-08 1998-10-09 Ericsson Telefon Ab L M Anordning for forbedring av tilgjengelighet av tjenester i et kommunikasjonssystem
US5904101A (en) 1997-04-22 1999-05-18 Power Superconductor Applications Co., Inc. Auxiliary propulsion for magnetically levitated vehicle
US5894760A (en) 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6234737B1 (en) * 1997-07-22 2001-05-22 Richard C. Young Robotic container handler system
US6053687A (en) 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6011508A (en) 1997-10-31 2000-01-04 Magnemotion, Inc. Accurate position-sensing and communications for guideway operated vehicles
JPH11145215A (ja) 1997-11-11 1999-05-28 Mitsubishi Electric Corp 半導体検査装置およびその制御方法
US6101952A (en) 1997-12-24 2000-08-15 Magnemotion, Inc. Vehicle guidance and switching via magnetic forces
US6363109B1 (en) 1998-02-03 2002-03-26 Texas Instruments Incorporated Methods and device for estimating and correcting clipping in a discrete multi-tone communications system
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
WO1999059190A2 (en) 1998-05-12 1999-11-18 Semitool, Inc. Process and manufacturing tool architecture for use in the manufacture of one or more metallization levels on a workpiece
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6540896B1 (en) 1998-08-05 2003-04-01 Caliper Technologies Corp. Open-Field serial to parallel converter
JP2000150611A (ja) * 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
US6145444A (en) 1998-12-16 2000-11-14 Wilkinson; Kerry E. Micro clean sealed tubular transporter apparatus
NL1010836C2 (nl) 1998-12-17 2000-06-23 O T B Engineering B V Oven voor het vervaardigen van zonnecellen.
US6481558B1 (en) 1998-12-18 2002-11-19 Asyst Technologies, Inc. Integrated load port-conveyor transfer system
JP2000286318A (ja) 1999-01-27 2000-10-13 Shinko Electric Co Ltd 搬送システム
DE19921244A1 (de) 1999-05-07 2000-11-16 Siemens Ag Anlage zur Bearbeitung von Wafern
US6191394B1 (en) 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP4330703B2 (ja) 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
WO2000078651A1 (en) 1999-06-21 2000-12-28 Sri International Frictionless transport apparatus and method
US6499701B1 (en) 1999-07-02 2002-12-31 Magnemotion, Inc. System for inductive transfer of power, communication and position sensing to a guideway-operated vehicle
TW504941B (en) 1999-07-23 2002-10-01 Semiconductor Energy Lab Method of fabricating an EL display device, and apparatus for forming a thin film
DE19945648C2 (de) 1999-09-23 2001-08-02 Steag Hamatech Ag Vorrichtung zum Be- und Entladen von Substraten
JP2001128316A (ja) * 1999-10-28 2001-05-11 Murata Mach Ltd 有軌道台車システム
US6374748B1 (en) * 1999-10-28 2002-04-23 Murata Kikai Kabushiki Kaisha Tracking cart system
AU2425401A (en) 1999-11-23 2001-06-04 Magnemotion, Inc. Modular linear motor tracks and methods of fabricating same
US6364592B1 (en) 1999-12-01 2002-04-02 Brooks Automation, Inc. Small footprint carrier front end loader
US6271606B1 (en) * 1999-12-23 2001-08-07 Nikon Corporation Driving motors attached to a stage that are magnetically coupled through a chamber
JP3814453B2 (ja) * 2000-01-11 2006-08-30 キヤノン株式会社 位置決め装置、半導体露光装置およびデバイス製造方法
US6417537B1 (en) * 2000-01-18 2002-07-09 Micron Technology, Inc. Metal oxynitride capacitor barrier layer
US6357358B2 (en) 2000-03-07 2002-03-19 J. Kirston Henderson Magnetic levitation transport system
US6781524B1 (en) 2000-03-17 2004-08-24 Magnemotion, Inc. Passive position-sensing and communications for vehicles on a pathway
KR20020088419A (ko) 2000-04-05 2002-11-27 동경 엘렉트론 주식회사 처리 장치
US6641350B2 (en) 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
US6297611B1 (en) 2000-07-06 2001-10-02 Genmark Automation Robot having independent end effector linkage motion
US20020061248A1 (en) 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
JP2002064968A (ja) * 2000-08-21 2002-02-28 Nippon Thompson Co Ltd 可動コイル型リニアモータを内蔵したスライド装置
JP4753224B2 (ja) * 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 ガスラインシステム
JP2002068476A (ja) 2000-08-29 2002-03-08 Anelva Corp 磁気搬送装置
US6962471B2 (en) 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
NL1016733C2 (nl) 2000-11-29 2002-05-31 Otb Group Bv Transportinrichting geschikt voor het transporteren van ringvormige producten.
US6570273B2 (en) * 2001-01-08 2003-05-27 Nikon Corporation Electric linear motor
US6990906B2 (en) 2001-03-26 2006-01-31 James Russell Powell Electrical power storage and delivery using magnetic levitation technology
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US20020182036A1 (en) 2001-06-04 2002-12-05 Applied Materials, Inc. Semiconductor wafer handling robot for linear transfer chamber
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
CN1996552B (zh) 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
US6983701B2 (en) 2001-10-01 2006-01-10 Magnemotion, Inc. Suspending, guiding and propelling vehicles using magnetic forces
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
JP4389424B2 (ja) 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
KR100480333B1 (ko) 2002-04-08 2005-04-06 엘지.필립스 엘시디 주식회사 액정표시장치용 어레이기판과 그 제조방법
ATE458090T1 (de) 2002-04-22 2010-03-15 Vsl Int Ag Verfahren zur verhinderung von relativen transversalen bewegungen zwischen einem rohr und mindestens einem kabel
US6684794B2 (en) * 2002-05-07 2004-02-03 Magtube, Inc. Magnetically levitated transportation system and method
NL1020633C2 (nl) 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.
US7575406B2 (en) 2002-07-22 2009-08-18 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US6952846B2 (en) 2002-08-30 2005-10-11 Regalo International, Llc. Mattress hugging bed rail
DE10251382A1 (de) 2002-11-01 2004-05-13 Siemens Ag Verfahren zur Betätigung einer Sperrklinke in einem Schloss mit Drehfalle für ein Kraftfahrzeug
DE10351716B4 (de) 2002-11-06 2005-04-14 Richard Bergner Verbindungstechnik Gmbh & Co. Kg Trägerteil mit Fixierbolzen
US7380946B1 (en) 2003-01-28 2008-06-03 Pixelworks, Inc. Semiautomatic keystone correction system and method
JP4363064B2 (ja) * 2003-03-07 2009-11-11 株式会社安川電機 真空内駆動装置およびこれを用いた基板搬送装置
KR20050020138A (ko) 2003-08-21 2005-03-04 삼성전자주식회사 반송 시스템
WO2005048313A2 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
CN101578700B (zh) 2006-08-18 2012-11-14 布鲁克斯自动化公司 容量减少的载物台,传送,装载端口,缓冲系统
KR20090091688A (ko) 2006-12-28 2009-08-28 파나소닉 주식회사 정보 기록 매체 평가 방법, 정보 기록 매체, 정보 기록 매체의 제조 방법, 신호 처리 방법, 액세스 제어 장치
JP5176416B2 (ja) 2007-07-20 2013-04-03 コニカミノルタビジネステクノロジーズ株式会社 文書処理装置、文書処理方法、および文書処理プログラム
JP5090383B2 (ja) 2009-01-21 2012-12-05 アルプス電気株式会社 光モジュール

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102180636B1 (ko) * 2019-08-12 2020-11-18 (주)에이피텍 카메라 모듈을 제조하는 모듈화 인라인 시스템 및 이를 이용한 카메라 모듈 제조 방법
KR102196698B1 (ko) * 2019-09-06 2020-12-30 (주)에이피텍 공정률이 개선된 카메라 모듈 제조 인라인 시스템

Also Published As

Publication number Publication date
US20130230369A1 (en) 2013-09-05
JP5065900B2 (ja) 2012-11-07
CN101091241B (zh) 2011-08-03
US8827617B2 (en) 2014-09-09
EP1805792B1 (en) 2015-12-23
EP1805792A4 (en) 2009-07-08
KR101110207B1 (ko) 2012-02-15
JP2008516457A (ja) 2008-05-15
US20110280693A1 (en) 2011-11-17
US8371792B2 (en) 2013-02-12
US7988398B2 (en) 2011-08-02
US20050105991A1 (en) 2005-05-19
EP1805792A1 (en) 2007-07-11
CN101091241A (zh) 2007-12-19
WO2006042273A1 (en) 2006-04-20
KR20070065423A (ko) 2007-06-22
KR100951680B1 (ko) 2010-04-07

Similar Documents

Publication Publication Date Title
KR101110207B1 (ko) 기판 처리 장치
KR101028065B1 (ko) 기판 처리 장치
US8602706B2 (en) Substrate processing apparatus
KR101415708B1 (ko) 기판 처리 장치
JP6017508B2 (ja) 基板処理装置
US20070183871A1 (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150121

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160203

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170102

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180110

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 9