KR20230048568A - 툴 자동-교시 방법 및 장치 - Google Patents

툴 자동-교시 방법 및 장치 Download PDF

Info

Publication number
KR20230048568A
KR20230048568A KR1020237010713A KR20237010713A KR20230048568A KR 20230048568 A KR20230048568 A KR 20230048568A KR 1020237010713 A KR1020237010713 A KR 1020237010713A KR 20237010713 A KR20237010713 A KR 20237010713A KR 20230048568 A KR20230048568 A KR 20230048568A
Authority
KR
South Korea
Prior art keywords
substrate
station
teaching
end effector
eccentricity
Prior art date
Application number
KR1020237010713A
Other languages
English (en)
Inventor
자이로 티. 모라
애런 가블릭
레자 사에이드푸라자르
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20230048568A publication Critical patent/KR20230048568A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67294Apparatus for monitoring, sorting or marking using identification means, e.g. labels on substrates or labels on containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Abstract

기판 스테이션 위치를 자동 교시하기 위한 기판 이송 장치 자동-교시 시스템으로서, 프레임, 상기 프레임에 연결된 기판 이송부를 포함하며, 상기 이송부는 기판을 지지하도록 구성된 엔드 이펙터를 가지며, 및 상기 기판 이송부가 상기 엔드 이펙터 상에 지지된 상기 기판을 탭핑(tapping)하여 상기 기판과 상기 엔드 이펙터 사이의 편심(eccentricity)의 변화를 야기하는 기판 스테이션 피처(feature)에 대항하여(against) 상기 기판 이송부를 이동시키고, 상기 편심의 변화를 결정하고, 및 적어도 상기 기판과 상기 엔드 이펙터 사이의 상기 편심의 변화에 기초하여 상기 기판 스테이션 위치를 결정할 수 있도록 구성된 제어기를 포함한다.

Description

툴 자동-교시 방법 및 장치{TOOL AUTO-TEACH METHOD AND APPARATUS}
본 출원은, 그 개시 내용이 전체로서 여기에 참고로 포함되어있는, 2015년 10월 28일자로 출원된 미국 임시출원 번호 62/247,647, 2015년 7월 13일에 출원된 미국 임시출원 번호 62/191,829, 2014년 11월 11일에 출원된 미국 임시출원 번호 62/078,345 및 2014년 11월 10일자로 출원된 미국 임시출원 번호 62/077,775의 정규 출원이며, 그들의 이익을 주장한다.
예시적인 실시예는 일반적으로 기판 처리 시스템들에 관한 것으로, 보다 상세하게는, 기판 처리 시스템들의 구성 요소들의 보정(calibration) 및 동기화에 관한 것이다.
기판 처리 장비는 일반적으로 기판 상에 다수의 작업들의 수행이 가능하다. 기판 처리 장비는 일반적으로 이송 챔버 및 상기 이송 챔버에 결합된 하나 또는 그 이상의 프로세스 모듈들을 포함한다. 상기 이송 챔버 내의 기판 이송 로봇은 스퍼터링, 에칭, 코팅, 소킹(soaking) 등과 같은 상이한 작업들이 수행되는 프로세스 모듈들 사이에서 기판들을 이동시킨다. 예를 들어, 반도체 장치 제조자들 및 재료 생산자들에 의해 사용되는 생산 공정들은 종종 상기 기판 처리 장비에서 기판들의 정확한 위치 결정을 필요로 한다.
상기 기판들의 정확한 위치 결정은 일반적으로 프로세스 모듈들의 위치들을 상기 기판 이송 로봇에 교시하는 것을 통해 제공된다. 일반적으로, 상기 기판 이송 로봇의 상기 교시는 상기 기판 처리 장비에 부가된 전용 교시 센서들로 상기 로봇 및/또는 상기 로봇에 의해 운반되는 기판의 위치를 검출하는 것, 상기 기판 이송 로봇에 의해 이송되는 계측된(instrumented) 기판들을 활용하는 것(예컨대, 온 보드(onboard), 센서들 또는 카메라들을 포함), 상기 프로세스 모듈들 내에 배치된 제거 가능한 고정 장치들 또는 상기 기판 처리 장비의 다른 기판 홀딩 스테이션을 활용하는 것, 상기 프로세스 모듈들 내부에 배치되거나 외부적으로 액세스 가능한 기판 센터링 센서들을 활용하는 것, 상기 프로세스 모듈들의 외부에 배치된 센서(예컨대, 카메라)를 활용하는 것 또는 상기 프로세스 모듈 내의 타겟을 상기 기판 이송 로봇 또는 상기 기판 이송 로봇에 의해 운반되는 대상물과 접촉시키는 것을 포함한다. 기판 처리 장비 내에서 위치들을 교시하는 이러한 접근법들은 센서들이 진공에 놓일 것을 요구할 수 있고, 고객 처리 장비 및/또는 툴링의 변경을 요구할 수 있으며, 진공 환경들에서 또는 고온들에서의 사용에 부적절할 수 있고, 상기 처리 장비 내에 배치된 센서 타겟들, 거울들 또는 고정 장치들을 요구할 수 있으며, 상기 처리 장비 내의 진공 환경을 교란할 수 있고, 및/또는 상기 기판 이송 로봇 및/또는 처리 시스템의 제어기에 내장된 코드에 대한 소프트웨어 변경들을 요구할 수 있다.
상기 처리 장비 내의 환경을 교란하거나 추가적인 설비 및/또는 상기 기판 처리 장비에 대한 변경을 요구함이 없이, 기판 이송 로봇에 처리 장비 내의 상기 기판 처리 위치들을 자동적으로 교시하는 것은 이로울 것이다.
개시된 실시예의 전술한 양상들 및 다른 특징들은 첨부된 도면들과 관련하여 취해진 다음의 설명에서 설명된다.
도 1a 내지 도 1d는 개시된 실시예의 양상들을 포함하는 기판 처리 장치의 개략도들이다.
도 2a 내지 2e는 개시된 실시예의 양상들에 따른 이송암들의 개략도들이다.
도 3은 개시된 실시예의 양상들에 따른 기판 처리 장치의 일부의 개략도이다.
도 4는 개시된 실시예의 양상들에 따른 기판 처리 장치의 일부의 개략도이다.
도 5a 내지 5e는 개시된 실시예의 양상들에 따른 기판 처리 장치의 일부의 개략도들이다.
도 6 및 도 6a는 개시된 실시예의 양상들에 따른 기판 처리 장치의 일부의 개략도들이다.
도 7은 개시된 실시예의 양상들에 따른 기판 처리 장치의 일부의 개략도이다.
도 8은 개시된 실시예의 양상들에 따른 기판 처리 장치의 일부의 개략도이다.
도 9 및 도 10은 개시된 실시예의 양상들에 따른 자동 교시 프로세스의 순서도들이다.
도 11a 및 도 11b는 개시된 실시예의 양상들에 따라 스테이션을 결정하기 위한 개략도이다.
도 12는 개시된 실시예의 양상에 따른 자동 교시 프로세스의 순서도이다.
도 13은 처리 장치의 일부의 다른 구성들을 도시한 평면도이다.
도 14a 내지도 14b는 다른 구성을 갖는 기판 엔드 이펙터의 개략 평면도들이다.
도 15a 내지 15f는 개시된 실시예의 양상들에 따른 엔드 이펙터와 관련한 기판 교시의 다른 특징들을 각각 도시하는 개략적인 정면도 및 사시도들이다.
도 16은 개시된 실시예의 양상들에 따른 기판 처리 장치의 일부의 개략도이다.
도 16a 내지 16d는 개시된 실시예의 양상에 따른 기판 처리 장치의 일부의 개략도들이다.
도 17a 내지 17c는 개시된 실시예의 양상들에 따른 스테이션 자동 교시 고정 장치의 개략도이다.
도 18a 및 도 18b는 개시된 실시예의 양상들에 따른 기판 및 기판 홀딩 위치 사이의 결정 관계의 개략도들이다.
도 19는 개시된 실시예의 양상들에 따른 기판 처리 장치의 일부의 개략도이다.
도 20은 개시된 실시예의 양상들에 따른 자동 교시 프로세스를 나타내는 그래프이다.
도 21은 개시된 실시예의 양상들에 따른 자동 교시 프로세스의 순서도 이다.
도 22a 내지 도 22c는 개시된 실시예의 양상들에 따른 자동 교시 프로세스의 개략도들이다.
도 23은 개시된 실시예의 양상들에 따른 자동 교시 프로세스의 순서도이다.
도 24a 내지 24b는 개시된 실시예의 양상들에 따른 기판 및 기판 홀딩 위치 사이의 결정 관계의 개략도들이다.
도 25는 개시된 실시예의 양상들에 따른 기판 처리 장치의 일부의 개략도이다.
도 26은 개시된 실시 형태의 양상들에 따른 자동 교시 프로세스의 순서도다.
도 27a 내지 27c는 개시된 실시예의 양상들에 따른 자동 교시 프로세스의 개략도들이다.
도 28은 개시된 실시 형태의 양상들에 따른 자동 교시 프로세스의 순서도다.
도 29a-29f는 개시된 실시예의 양상들에 따른 자동 교시 프로세스의 개략도들이다.
도 30은 개시된 실시예의 양상들에 따른 자동 교시 프로세스를 위한 기판 / 교시 기판 및 결정 스테이션들 피처들의 개략도이다.
도 31a 및 도 31b는 개시된 실시예의 양상들에 따른 자동 교시 프로세스의 개략도들이다.
도 32는 개시된 실시 형태의 양상들에 따른 자동 교시 프로세스의 순서이다.
도 1a 내지도 1d를 참조하면, 여기에서 추가로 설명되는 개시된 실시예의 양상들을 포함하는 기판 처리 장치 또는 툴들의 개략도들이 도시되어있다. 비록 개시된 실시예의 양상들이 도면들을 참조하여 기술되지만, 개시된 실시예의 양상들은 다양한 형태들로 구현될 수 있음을 이해해야 한다. 또한, 임의의 적합한 크기, 형상 또는 유형의 요소들 또는 재료들이 사용될 수 있다.
이하에서보다 상세히 설명되는 바와 같이, 개시된 실시예의 양상들은 기판 처리 장치의 기판 홀딩 스테이션들의 자동(예를 들어, 조작자의 개입 없이) 위치 결정 및 기판 이송 장치에 상기 기판 홀딩 스테이션들의 상기 위치들을 교시하는 것을 가능하게 한다.
본 명세서에 사용된 바와 같이, 기판 홀딩 스테이션이라는 용어는 예를 들어 로드 포트(또는 그 위에 홀딩된 기판 카세트) 로드 락, 버퍼 스테이션 등 과 같은 프로세스 모듈 내의 기판 홀딩 위치 또는 상기 기판 처리 장치 내의 임의의 다른 적절한 기판 홀딩 위치이다. 개시된 실시예의 양상들은 기판 처리 센서들과 같은 기판 처리 장치에 채용된 기존의 장비 및 장치들을 이용한다. 여기에 사용되는 기판 처리 센서들은 기판 센터링 센서들(AWC), 기판 정렬기들 및/또는 기판 처리 동안 기판들의 정렬 및/또는 센터링에 사용되는 다른 적절한 기판 편심(eccentricity)(예를 들어 엔드 이펙터 상의 소정의 기판 홀딩 위치에 대한) 검출 유닛들을 포함할 수 있다. 즉, 개시된 실시예의 양상들에 따른 자동화된 교시가 활용될 때 기판 처리 장치의 초기 구매/구성 후에, 예를 들어, 고객에 의해 발생되는 추가의 설비 비용들은 실질적으로 없다.
또한, 개시된 실시예의 양상들은 상기 기판 이송 장치 및/또는 상기 기판 처리 장치 시스템 제어기에 내장된 프로그래밍 코드에 대해 실질적으로 소프트웨어 변경들이 없이도 구현될 수 있다. 예를 들어, 개시된 실시예의 양상들은 "집어 들기 및 내려놓기(pick and place)" 명령들 및/또는 "기판 정렬" 명령들과 같은 상기 기판 이송 장치와 관련된 종래의 명령들을 이용할 수 있다. 개시된 실시예들의 양상들은 또한 처리 환경 내에 위치하는 전자 부품들(예컨대, 케이블들, 인쇄 회로 기판들 등)이 없기 때문에 진공 환경(대기 환경, 예컨대 불활성 가스, 여과된 정화 공기) 호환과 같이 동작 가능한 환경이다. 알 수 있는 바와 같이, 대기 처리 환경에서 상기 AWC 센터들은 상기 대기 처리 환경 내에 위치할 수 있다. 따라서, 개시된 실시예의 양상들은 상기 기판 처리 장치 내에서 이미 설정된(예컨대, 상기 기판 처리 장치 및 상기 구성 요소들은 자동 교시 프로세스 동안 외부 환경으로부터 밀봉되거나 또는 다른 방식으로 격리되어있다) 처리 환경(예컨대, 진공 또는 대기)을 실질적으로 교란하지 않으면서 상기 기판 이송 장치의 상기 자동 교시 동안 감소된 기계 휴지 시간을 가능하게 한다.
도 1a 및 도 1b를 참조하면, 예를 들어 반도체 툴 스테이션(11090)과 같은 처리 장치가 개시된 실시예의 양상들에 따라 도시되어있다. 비록 반도체 툴(11090)이 도면들에 도시되어 있지만, 여기에 기술된, 개시된 실시예의 양상들은 로봇 조종자들을 채용하는 임의의 툴 스테이션 또는 어플리케이션에 적용될 수 있다. 본 예시에서, 상기 툴(11090)은 클러스터 툴로서 도시되어 있지만, 개시된 실시예의 양상들은, 예를 들어, 도 1c 및 도 1d에 도시되어 있고, 그 개시 내용은 전체적으로 본 명세서에 참조되어 포함되는, 2013년 3월 19 일자로 발행된 "Linearly Distributed Semiconductor Workpiece Processing Tool"이라는 명칭의 미국 특허 제8,398,355호에 설명된 선형 툴 스테이션과 같은 임의의 적절한 툴 스테이션에 적용될 수 있다. 상기 툴 스테이션(11090)은 일반적으로 대기 전방 단부(11000), 진공 로드 락(11010) 및 진공 후방 단부(11020)를 포함한다. 다른 양상들에서, 상기 툴 스테이션은 다른 적절한 구성을 가질 수 있다. 상기 전방 단부(11000), 로드 락(11010) 및 후방 단부(11020) 각각의 구성요소들은 예를 들어 클러스터화 아키텍처 제어와 같은 임의의 적절한 제어 아키텍처의 일부일 수 있는 제어기(11091)에 연결될 수 있다. 상기 제어 시스템은 전체로서 본 명세서에 참조되어 인용되는, 2011년 3월 8일에 발행된 "Scalable Motion Control System"이라는 명칭의 미국 특허 제7,904,182호에 개시된 것과 같은 마스터 제어기, 클러스터 제어기들 및 자율 원격 제어기들을 갖는 폐루프 제어기일 수 있다. 다른 양상들에서, 임의의 적합한 제어기 및/또는 제어 시스템이 이용될 수 있다. 상기 제어기(11091)는 여기에 기재된 바와 같은 기판 이송 장치에 상기 기판 홀딩 스테이션들의 상기 위치들을 교시하고 및 기판 처리 장치의 기판 홀딩 스테이션들의 상기 자동 위치 결정을 수행하기 위해 본 명세서에서 설명된 상기 처리 장치를 작동시키기 위한 비-일시적 프로그램 코드를 포함하는 임의의 적절한 메모리 및 프로세서(들)를 포함한다. 예를 들어, 일 양상에서 상기 제어기(11091)는 내장된 집어 들기/내려놓기 명령들(예를 들어, 상기 기판 이송 장치가 본 명세서에 설명된 바와 같이 상기 기판 이송 장치를 이동시키고 편심을 발생시키도록 기판을 편향시키거나 또는 가볍게 두기 위해) 및/또는 내장된 기판 위치 결정 명령들(예컨대, 상기 기판 이송 장치의 기판과 상기 엔드 이펙터 사이의 편심을 결정하기 위한 수단)을 포함한다. 일 양상에서, 상기 제어기는 상기 엔드 이펙터와 상기 기판 사이의 편심의 변화를 야기하도록 상기 기판 이송부가 상기 엔드 이펙터 상에서 지지되는 상기 기판을 상기 기판 이송부가 상기 기판 스테이션 피처(이하에서 더 상세하게 기술 될 것이다.)에 대해 편향(bias)하도록(또는 탭핑하도록) 상기 기판 이송부를 이동시키도록 구성된다. 상기 제어기는 상기 편심의 변화를 결정하고 상기 기판과 상기 엔드 이펙터 사이의 적어도 상기 편심의 변화에 기초하여 상기 기판 스테이션 위치를 결정하도록 구성된다. 알 수 있는 바와 같이, 및 여기에 기술된 바와 같이, 일 실시 형태에서, 기판 스테이션은 내부에 위치되고 여기에 기술된 상기 자동 교시는 내부에 진공 압력 환경을 갖는 프로세스 모듈에서 발생한다. 일 양상에서, 상기 진공 압력은 고 진공일 수 있다. 일 양상에서, 본 명세서에서 설명 된 상기 자동 교시는 예를 들어 처리 보안(예컨대, 기판들을 처리) 상태에 있는 프로세스 모듈 내에 위치한 기판 스테이션 피처(feature) 내에서 발생한다. 기판들을 처리하기 위한 상기 처리 보안의 상태는 상기 프로세스 모듈의 조건이고, 상기 조건에서 상기 프로세스 모듈은 상기 프로세스 모듈에 처리 진공 또는 분위기를 도입할 준비가 된 청결 상태로 또는 생산 기판을 프로세스 모듈로 도입할 준비가 된 상태로 밀봉된다.
일 양상에서, 상기 전방 단부(11000)는 일반적으로 예를 들어 장비 전방 단부 모듈(equipment front end module; EFEM)과 같은 로드 포트 모듈들(11005) 및 국소 환경(mini-environment)(11060)을 포함한다. 상기 로드 포트 모듈들(11005)은 300 mm 로드 포트들, 전면 개방 또는 바닥 개방 상자들/포드들 및 카세트들 용 국제 반도체 장비 재료 협회(Semiconductor Equipment and Materials International, 이하 SEMI) 표준들 E15.1, E47.1, E62, E19.5 또는 E1.9을 준수하는, 툴 표준 인터페이스들에 대한 박스 개방기/로더(box opener/loader to tool standard, 이하 BOLTS)일 수 있다. 다른 양상들에서에서, 상기 로드 포트 모듈들은 200mm 웨이퍼 또는 450mm 웨이퍼 인터페이스들 또는 임의의 다른 적절한 기판 인터페이스들, 예컨대 대형 또는 소형 기판들 또는 평판 디스플레이들 용 평판 패널들로서 구성될 수 있다. 비록 도 1a에는 두 개의 로드 포트 모듈들(11005)이 도시되어 있지만 다른 양상들에서, 임의의 적절한 개수의 로드 포트 모듈들이 상기 전방 단부(11000)에 포함될 수 있다. 상기 로드 포트 모듈(11005)은 오버 헤드 이송 시스템, 자동 유도 차량들, 사람에 의해 유도되는 차량들, 레일 유도 차량들 또는 임의의 다른 적합한 이송 방법으로부터 기판 캐리어들 또는 카세트들(11050)을 수용하도록 구성될 수 있다. 상기 로드 포트 모듈들(11005)은 상기 로드 포트들(11040)을 통해 상기 국소 환경(11060)과 인터페이스할 수 있다. 일 양상에서 상기 로드 포트들(11040)은 상기 기판 카세트들(11050)과 상기 국소 환경(11060) 사이에서 기판들의 통과를 허용한다.
일 양상에서, 상기 국소 환경(11060)은 일반적으로 본 명세서에 기재되고 개시된 실시예의 하나 또는 그 이상의 양상들을 포함하는 임의의 적합한 이송 로봇(11013)을 포함한다. 일 양상에서, 상기 로봇(11013)은, 예를 들어, 그 개시 내용은 그 전체가 본 명세서에 참고되어 포함된 미국 특허 제 6,002,840 호에 개시된 것과 같은 트랙 장착 로봇 이거나, 다른 양상들에서 임의의 적절한 구성을 갖는 임의의 다른 적절한 운반 로봇일 수 있다. 상기 국소 환경(11060)은 다수의 로드 포트 모듈들 사이에서 기판 이송을 위해 제어되고 청결한 영역을 제공할 수 있다.
상기 진공 로드락(11010)은 상기 국소 환경(11060)과 상기 후방 단부(11020) 사이에 위치되고 연결될 수 있다. 여기에 사용되는 상기 용어 진공은 상기 기판들이 처리되는 10-5토르(Torr) 이하와 같은 고 진공을 나타낼 수 있음을 유의해야 한다. 상기 로드 락(11010)은 일반적으로 분위기 및 진공 슬롯 밸브들을 포함한다. 상기 슬롯 밸브들은 상기 분위기 전방 단부로부터 기판을 실장한 후 상기 로드락을 제거하고, 질소와 같은 불활성 가스로 상기 락을 환기할 때(venting) 이송 챔버의 진공을 유지하도록 환경적 격리를 제공할 수 있다. 일 양상에서, 상기 로드 락(11010)은 상기 기판의 기준점을 처리를 위해 바람직한 위치에 정렬시키기 위한 정렬기(11011)를 포함한다. 다른 양상들에서, 상기 진공 로드 락은 상기 처리 장치의 임의의 적절한 위치에 위치될 수 있고 임의의 적절한 구성 및/또는 계측 장치를 가질 수 있다.
상기 진공 후방 단부(11020)는 일반적으로 이송 챔버(11025), 하나 또는 그 이상의 처리 스테이션(들) 또는 모듈(들)(11030) 및 임의의 적절한 이송 로봇 또는 장치(11014)를 포함한다. 상기 이송 로봇(11014)은 이하에서 설명될 것이며 상기 로드 락(11010)과 다양한 상기 처리 스테이션들(11030) 사이에서 기판들을 이송하도록 상기 이송 챔버(11025) 내에 위치될 수 있다. 상기 처리 스테이션들(11030)은 기판들 상에 전기 회로 또는 다른 원하는 구조를 형성하도록 다양한 퇴적, 에칭 또는 다른 유형들의 처리들을 통해 상기 기판들 상에서 작동할 수 있다. 전형적인 처리들은 플라즈마 에칭 또는 다른 식각 프로세스, 화학 기상 퇴적(CVD), 플라즈마 기상 퇴적(PVD), 이온 주입과 같은 주입, 계측, 급속 열처리(RTP), 건식 스트립 원자 층 증착(ALD), 산화/확산, 질화물 형성, 진공 리소그래피, 에피택시(EPI), 와이어 본더 및 증발 또는 진공 압력들을 사용하는 다른 박막 공정과 같은 진공을 사용하는 박막 처리들을 포함하지만 이에 제한되지 않는다. 상기 처리 스테이션들(11030)은 상기 이송 챔버(11025)에 연결되어 기판들이 상기 이송 챔버(11025)로부터 상기 처리 스테이션들(11030)로 또는 그 반대로 통과할 수 있도록 한다. 일 양상에서 상기 로드 포트 모듈들(11005) 및 로드 포트들(11040)은 실질적으로 상기 직접 진공 후방 단부(11020)에 결합되어, 상기 로드 포트 상에 장착된 카세트(11050)가 상기 이송 챔버(11025)의 진공 환경 및/또는 프로세스 모듈(11030)의 처리 진공(예컨대, 상기 처리 진공 및/또는 진공 환경은 상기 프로세스 모듈(11030)과 상기 카세트(11050) 사이에서 연장되며 공통적이다.)과 실질적으로 직접(예컨대, 하나의 양상에서 적어도 상기 국소 환경(11060)은 생략되고, 다른 양상들에서는 상기 진공 로드 락(11010) 또한 생략되어 상기 카세트(11050)가 상기 진공 로드 락(11010)과 유사한 방식으로 진공으로 펌핑된다) 접촉한다.
이제 도 1c를 참조하면, 선형 기판 처리 시스템(2010)의 개략적인 평면도가 도시되어 있는데 여기서 상기 툴 인터페이스 영역(2012)은 이송 챔버 모듈(3018)에 장착되어 상기 인터페이스 영역(2012)이 상기 이송 챔버(3018)를 향하여(예컨대, 안쪽으로) 일반적으로 대향하고 있으나 상기 이송 챔버(3018)의 종축(X)으로부터 오프셋 되어있다. 상기 이송 챔버 모듈(3018)은 이전에 본 명세서에 참조되어 포함된 미국 특허 제8,398,355호에 설명된 바와 같이 인터페이스들(2050, 2060, 2070)에 다른 이송 챔버 모듈들(3018A, 3018I, 3018J)을 부착함으로써 임의의 적절한 방향으로 연장될 수 있다. 각각의 이송 챔버 모듈(3018, 3019A, 3018I, 3018J)은 예컨대 프로세스 모듈들(PM) 같이(이는 일 양상에서 전술한 프로세스 모듈들(11030)과 실질적으로 유사하다.) 기판들을 상기 처리 시스템(2010)을 통해 그리고 내부로 그리고 외부로 이송하기 위한, 본 명세서에 개시된 실시예의 하나 또는 그 이상의 양상들을 포함할 수 있는 임의의 적절한 기판 이송 장치(2080)를 포함한다. 알 수 있는 바와 같이, 각 챔버 모듈은 격리된 또는 제어된 대기(예컨대, N2, 청정 공기, 진공)의 유지가 가능할 수 있다.
도 1d를 참조하면, 상기 선형 이송 챔버(416)의 종축(X)을 따라 취할 수 있는 예시적인 프로세스 툴(410)의 개략 정면도가 도시되어있다. 도 1d에 도시된, 개시된 실시예의 양상에서, 툴 인터페이스 영역(12)은 대표적으로 상기 이송 챔버(416)에 연결될 수 있다. 이 양상에서, 인터페이스 영역(12)은 상기 툴 이송 챔버(416)의 일 단부를 정의할 수 있다. 도 1d에 도시된 바와 같이, 상기 이송 챔버(416)는 예를 들어 인터페이스 스테이션(12)의 대향 단부에 또 다른 워크피스(workpiece) 입구/출구 스테이션(412)을 가질 수 있다. 다른 양상들에서, 상기 이송 챔버로부터 워크피스들을 삽입/제거하기 위한 다른 입구/출구 스테이션들이 제공될 수 있다. 일 양상에서, 인터페이스 영역(12) 및 입구/출구 스테이션(412)은 상기 툴로부터 워크피스의 로딩 및 언로딩을 허용할 수 있다. 다른 양상들에서 워크피스들은 하나의 단부에서 툴에 로드되고 타 단부에서 제거될 수 있다. 일 양상에서, 상기 이송 챔버(416)는 하나 또는 그 이상의 이송 챔버 모듈(들)(18B, 18i)을 가질 수 있다. 각 챔버 모듈은 격리된 또는 제 된 대기(예 : N2, 청정 공기, 진공)의 유지가 가능할 수 있다. 전술한 바와 같이, 도 1d에 도시 된 상기 이송 챔버(416)를 형성하는 상기 이송 챔버 모듈들(18B, 18i), 로드 락 모듈들(56A, 56) 및 워크피스 스테이션들의 구성/배치는 단지 예일 뿐이고, 다른 양상들에서 상기 이송 챔버는 임의의 바람직한 모듈식 배열로 배치된 더 많거나 적은 모듈들을 가질 수 있다. 도시 된 양상에서, 스테이션(412)은 로드 락일 수 있다. 다른 양상들에서, 로드 락 모듈은 상기 단부 입구/출구 스테이션(스테이션(412)과 유사) 또는 인접한 이송 챔버 모듈(모듈(18i)과 유사 함) 사이에 배치되어 로드 락으로서 작동하도록 구성될 수 있다.
전술한 바와 같이, 이송 챔버 모듈들(18B, 18i)은 본 명세서에 기술되고 거기에 위치한(located therein), 개시된 실시예의 하나 또는 그 이상의 양상들을 포함할 수 있는 하나 이상의 대응되는 이송 장치(26B, 26i)를 갖는다. 상기 각각의 이송 챔버 모듈들(18B, 18i)의 상기 이송 장치(26B, 26i)는 상기 이송 챔버 내에서 선형으로 분배된 워크피스 이송 시스템을 제공하도록 협력할 수 있다. 이 양상에서, 상기 이송 장치(26B)(도 1a 및 도 1b에 도시된 상기 클러스터 툴의 상기 이송 장치(11013, 11014)와 실질적으로 유사한)는 일반적인 SCARA 암 구성을 가질 수 있다. 이 양상에서, 상기 이송 장치(26B)(도 1a 및 도 1b에 도시된 상기 클러스터 툴의 상기 이송 장치(11013, 11014)와 실질적으로 유사한)는 상부 암, 밴드-구동 전방 암 및 밴드-구속 엔드-이펙터, 또는 텔레스코핑 암 또는 임의의 다른 적절한 암 디자인을 포함하는 일반적인 SCARA암 구성(하지만 다른 양상들에서 이송 암들은 예를 들어, 도 2b에 도시된 바와 같은 선형 슬라이딩 암(214) 또는 임의의 적절한 암 연결 방식들을 갖는 다른 적절한 암들과 같이 임의의 다른 바람직한 배열을 가질 수 있다. 암 링크 방식들의 적절한 예는, 예를 들어, 2009년 8월 25일에 발행된 미국 특허 번호 제7,578,649호, 1998년 8월 18일에 발행된 제5,794,487호, 2011년 5월 24일에 발행된 제7,946,800호, 2002년 11월 26일에 발행된 제6,485,250호, 2011년 2월 22일에 발행된 제7,891,935호, 2013년 4월 16일에 발행된 제8,419,341호, 2011년 11월 10일자 출원된 "Dual Arm Robot" 이라는 명칭의 미국 특허 출원 번호 제13/293,717호 및 2013년 9월 5일자로 출원된 "Linear Vacuum Robot with Z Motion and Articulated Arm"이라는 명칭의 제13/861,693호에서 찾을 수 있고, 이들의 개시 내용은 모두 본원에서 참조로 포함된다. 개시된 실시예의 양상들에서, 상기 적어도 하나의 전달 암은 상부 암, 밴드-구동 전방 암 및 밴드-구속 엔드-이펙터를 포함하는 종래의 SCARA(selective compliant articulated robot arm) 타입 디자인 또는 텔레스코핑 암 또는 임의의 다른 적절한 암 디자인으로부터 도출될 수 있다. 이송 암의 적절한 예들은, 예를 들어, 2008년 5월 8일자로 출원된 "Substrate Transport Apparatus with Multiple Movable Arms Utilizing a Mechanical Switch Mechanism"라는 제목의 미국 특허 출원 번호 제12/117,415호 및 2010년 1월 19일 발행된 미국 특허 제7,648,327호에 개시되어 있으며, 이들의 개시 내용들은 본원에 참고 문헌으로 포함된다. 상기 이송 암들의 상기 동작은 서로 독립적일 수 있으며(예를 들어, 상기 각 암의 신축/수축은 다른 암들과는 독립적이다), 로스트 모션 스위치를 통해 작동되거나 상기 암들이 적어도 하나의 공통 구동축을 공유하도록 임의의 적절한 방식으로 작동 가능하게 연결될 수 있다. 다른 양상들에서, 상기 이송 암들은 개구리-다리 암(216)(도 2a)구성, 도약 개구리 암(217)(도 2d) 구성, 쌍대-대칭 암(218)(by-symmetric arm)(도 2c) 구성 등과 같은 임의의 다른 바람직한 배열을 가질 수 있다. 또 다른 양상에서, 도 2e를 참조하면, 상기 이송 암(219)은 위치들 제1 및 제2 관절 암(219A, 219B)을 포함하고, 여기서 각각의 암(219A, 219B)은 상기 기판 사이의 상기 간격(DX)이 나란한 기판 홀딩 위치들 사이의 고정 간격에 대응하는 공통의 이송 평면에서(엔드 이펙터(219E)의 각 기판 홀딩 위치는 상기 기판들(S1, S2)을 집어 들고 및 내려놓기 위한 공통 구동을 공유한다) 적어도 두 개의 기판들(S1, S2)을 나란히 홀딩하도록 구성된 엔드 이펙터(219E)를 포함한다. 이송 암들의 적절한 예는 2001년 5월 15일 발행된 미국 특허 제6,231,297호, 1993년 1월 19일 발행된 제5,180,276호, 2002년 10월 15일에 제6,464,448호, 2001년 5월 1일에 제6,224,319호, 1995년 9월 5일에 발행된 제5,447,409호, 2009년 8월 25일에 발행된 제7,578,649호, 1998년 8월 18일에 발행된 제5,794,487호, 2011년 5월 24 일에 발행된 제7,946,800호, 2002년 11월 26일에 발행된 제6,485,250호, 2011년 2월 22일에 발행된 제7,891,935호 및 2011년 11월 10일에 출원된 Dual Arm Robot"라는 명칭의 미국 특허 출원 번호 제13/293,717호, 2011년 10월 11일에 출원된 "Coaxial Drive Vacuum Robot"라는 명칭의 제13/270,844호를 포함할 수 있으며, 이들의 개시 내용들은 전체로 여기에 참조로 포함되어있다.
도 1d에 도시된 개시된 실시예의 양상에서, 상기 이송 장치(26B)의 상기 암들은 신속 교환 배열(fast swap arrangement)로 지칭될 수 있는 것을 제공하도록 배열되어, 상기 이송 장치가 아래에서 더 상세히 기술되는 바와 같이 집어 들기/내려놓기 위치로부터 신속하게 기판들을 교환할 수 있게 한다. 상기 이송 암들(26B)은 임의의 적절한 수의 자유도(예컨대, Z 축 동작과 함께 어깨 및 팔꿈치 관절들에 대한 독립적인 회전)를 각 암에 제공하기 위해 임의의 적합한 구동 영역(예컨대, 동 축으로 배열된 구동 샤프트들, 나란한 구동 샤프트들, 수평으로 인접한 모터들, 수직으로 적층된 모터들 등)을 가질 수 있다. 도 1d에 도시된 바와 같이, 이 양상에서 모듈들(56A, 56, 30i)은 이송 챔버 모듈들(18B, 18i) 사이의 간극에 위치될(located interstitially between) 수 있고 적절한 프로세스 모듈들, 로드 락(들)(LL), 버퍼 스테이션(들), 계측 스테이션(들) 또는 임의의 바람직한 스테이션들을 정의할 수 있다. 예를 들어, 로드 락들(56A, 56) 및 워크피스 스테이션(30i)과 같은 상기 간극 모듈들은 각각, 상기 이송 챔버의 직선 축(X)을 따라 상기 이송 챔버의 상기 길이를 통해 상기 워크피스들의 이송을 수행하도록 상기 이송 암들과 협력할 수 있는 고정된 워크피스 지지대들/선반들(56S1, 56S2, 30S1, 30S2)을 가질 수 있다. 예로서, 워크피스(들)는 인터페이스 영역(12)에 의해 상기이송 챔버(416) 내로 적재될 수 있다. 상기 워크피스(들)는 상기 인터페이스 섹션의 상기 이송 암(15)과 함께 상기 로드 락 모듈(56A)의 상기 지지체(들) 상에 배치될 수 있다. 상기 로드 락 모듈(56A)에서, 상기 워크피스(들)는 로드 락 모듈(56A)과 로드 락 모듈(56) 사이에서 모듈(18B) 내의 상기 이송 암(26B)에 의해 연속된 방식으로 이동될 수 있고, 비슷하고 연속한 방식으로 로드 락(56)과 워크 스테이션(30i) 사이를 암(26i)(모듈(18i) 내의)으로, 스테이션(30i)과 스테이션(412)을 모듈(18i) 내의 암(26i)으로 이동할 수 있게 한다. 반대 방향으로 상기 워크피스(들)를 이동시키도록 상기 처리는 전체 또는 부분적으로 뒤집을 수 있다. 따라서, 일 양상에서, 워크피스들은 축(X)을 따라 임의의 방향으로, 그리고 상기 이송 챔버를 따르는 임의의 위치로 이동될 수 있고, 상기 이송 챔버와 소통하는 임의의 원하는 모듈(처리 또는 그 외)로부터 로드 및 언로드될 수 있다. 다른 양상들에서, 정적 워크피스 지지체들 또는 선반들을 갖는 간극 이송 챔버 모듈은 이송 챔버 모듈들(18B, 18i) 사이에 제공되지 않을 수 있다. 이러한 양상들에서, 인접한 이송 챔버 모듈들의 이송 암들은 상기 이송 챔버를 통해 상기 워크피스를 이동시키도록 엔드 이펙터 또는 하나의 이송 암으로부터 다른 이송 암의 엔드 이펙터로 직접 워크피스를 넘겨줄 수 있다. 상기 처리 스테이션 모듈들은 상기 기판들 상에 전기 회로 또는 다른 원하는 구조를 형성하도록 다양한 퇴적, 에칭 또는 다른 유형들의 공정들을 통해 상기 기판들 상에서 동작할 수 있다. 상기 처리 스테이션 모듈들은 기판들(여기서 워크피스와 기판들이라는 용어들은 상호 교환적으로 사용될 수 있음)이 상기 이송 챔버에서 상기 처리 스테이션들로 및 그 반대로 전달되는 것을 허용하도록 상기 이송 챔버 모듈들과 연결될 수 있다. 도 1d에 도시된 처리 장치와 유사한 일반적인 특징들을 갖는 상기 프로세스 툴의 적절한 예는 그 전체가 참조되어 본 명세서에 포함된 미국 특허 제8,398,355호에 설명되어 있다.
도 3을 참조하면, 임의의 적절한 프로세스 툴(390)의 일부의 개략도가 도시된다. 여기서, 상기 프로세스 툴(390)은 전술한 하나 또는 그 이상의 프로세스 툴과 실질적으로 유사하다. 여기서, 상기 프로세스 툴은 적어도 하나의 프로세스 모듈 또는 스테이션(이는 전술한 상기 프로세스 모듈들(11030, PM)과 실질적으로 유사함) 및 적어도 하나의 자동 기판 센터링(AWC) 스테이션(311)을 포함한다. 상기 프로세스 모듈(330)은, 일 양상에서, 프로세스 툴(390)의 진공 환경 내의 위치이고, 다른 양상들에서, 상기 프로세스 모듈은 상기 프로세스 툴(390)의 제어된 또는 격리된 환경(예를 들어, 대기 환경) 내의 위치이다. 상기 프로세스 모듈(330)은 기판 홀딩 위치(331)를 포함하거나 그렇지 않으면 형성한다. 상기 기판 홀딩 위치(331)는 상기 프로세스 모듈(330)의 하나 또는 그 이상의 피처들 또는 상기 프로세스 툴(390)의 임의의 다른 적절한 고정 위치에 대해 소정의 관계에 위치된다. 이 양상에서, 예시적인 목적들을 위해, 상기 고정 위치는 상기 프로세스 모듈(330)의 하나 이상의 기준면들(RS1, RS2, RS3, RS4, RS5)에 대응한다. 여기서, 상기 기판 홀딩 위치(331)는 기준면(RS4, RS5)으로부터 거리(Xstn)만큼 떨어져 있고, 기준면(RS3)으로부터 거리(Ystn)만큼 떨어져있다. 상기 거리들(Xstn, Ystn)은 개시된 실시예의 양상들이 기판(S)(예를 들어, 생산 기판)이 상기 이송 로봇(314)(이는 전술한 하나 또는 그 이상의 이송 로봇들과 실질적으로 유사하다)에 의해 상기 기판 홀딩 위치(331)에 위치되도록 이들 프로세스 모듈 좌표를 이송 로봇 좌표들(R, Θ)로 식별(또는 그렇지 않으면 변환)하는 프로세스 모듈 좌표들을 나타낸다. 상기 기판이라는 용어는 여기에 설명의 목적들로 사용되며 임의의 구성을 가질 수 있다. 일부 양상들에서, 상기 기판은 실리콘 기판 또는 일반적으로 제조에 사용되는 것과 같은 기판 가공물이다. 일 양상에서, 본 명세서에서 사용되는 상기 기판이라는 용어는 상기 기판이 금속, 플라스틱, 유리 또는 계측 기판 등으로 구성된 비 제조 기판인 경우 물질 퇴적(물질은 기판 상에 퇴적되지 않는다.) 또는 기판 제조를 위한 제품이 아니다. 다른 양상들에서, 상기 기판은, 예를 들어, 탄소 섬유 더미 기판과 같은 더미 기판이다. 일 양상에서, 상기 더미 기판은 여기에 달리 설명된 바와 같이 처리 또는 교시 기판과 유사하거나 대표하는 임의의 적합한 완성품일 수 있다. 일부 양상들에서, 상기 기판은 기준면과의 접촉시 입자 생성을 최소화하도록 선택된다. 도 13에 도시된 바와 같이, 상기 교시 기판(또는 교시 중 제조 기판을 나타내는 비 제조 기판형 제품)은 다수의 상이한 기판들로부터 선택될 수 있다. 상이한 예시적인 구성들(1302, 1304, 1306, 1308, 1310, 1312)로 상기 기판을 도시한 도 13에 도시된 것처럼, 일부 양상들에서, 상기 기판은 생산 기판과 상이한 크기(예컨대, 더 작은 반경) 또는 상이한 형상이다. 상기 교시 기판(ST)은 둥근형, 정사각형, 직사각형, 장방형, 불규칙형 등 임의의 형상일 수 있다. 일부 양상들에서, 상기 교시 기판(ST) 또는 기판(S)은 툴의 기준면들과 접촉하기 위한 하나 또는 그 이상의 일체형 돌기들(P) 또는 핑거들을 포함한다. 다른 양상들에서, 상기 교시 기판(ST) 또는 기판(S)은 여기에 설명된 바와 같이 자동 기판 센터링(AWC) 및 기판 홀딩 위치의 자동 교시를 행하기 위해 도 15f에 도시된 기판(1550)과 유사한 방식으로 하나 또는 그 이상의 리프트 핀들(1500-1502) 주위로 연장되도록 형성될 수 있다. 여기서 상기 리프트 핀들(1500-1502) 중 적어도 하나는 본 명세서에 설명된 결정적 스테이션 피처(1610, 1611)와 유사한 결정적 스테이션 피처를 형성한다.
상기 적어도 하나의 자동 기판 센터링(AWC) 스테이션(311)은, 예를 들어, 상기 이송 로봇 엔드 이펙터(314E)의 소정의 위치에 대한, 예를 들어, 적어도 상기 기판(S)의 편심을 결정하기 위한 임의의 적절한 센서들을 포함한다. 일 양상에서, 상기 적어도 하나의 AWC 스테이션(311)은 상기 기판(S)(및/또는 후술되는 교시 기판(ST))의 선단 에지 및 후단 에지 중 하나 또는 그 이상을 검출하기 위한 하나 또는 그 이상의 센서들(311S1, 311S2)을 포함한다. 상기 하나 또는 그 이상의 센서들(311S1, 311S2)은, 예를 들어, 비접촉 센서들(예를 들어, 광 반사 센서들, 스루 빔 센서들(through beam sensors), 용량성 센서들, 유도성 센서들 또는 임의의 다른 적절한 센서들), 카메라들 및 CCD 어레이들과 같은 임의의 적합한 센서들이다. 알 수 있는 바와 같이, 한 쌍의(예컨대, 둘) 센서들(311S1, 311S2)이, 도 3에 도시되어 있지만 다른 양상들에서 상기 AWC 스테이션(311)은 서로 및/또는 상기 AWC 스테이션(311)의 기판 홀딩 위치(312)에 대해 임의의 적절한 배치로 배치된 임의의 적절한 수의 센서들을 포함한다. 다른 양상들에서, 상기 적어도 하나의 AWC 스테이션(311)은 상기 기판(S)의 정렬 기준점을 검출하도록 구성되고 및 회전(RC) 포함하되, 그 상에 엔드 이펙터(314E)에 대한 상기 기판(S)의 재배치를 및/또는 상기 기준점의 정렬을 위해 상기 기판이 배치되는(예를 들어, 엔드 이펙터(314E)에 의해) 회전 척(RC)을 포함한다.
이제 도 3 및 도 4를 참조하면, 예시적인 클러스터 프로세스 툴 레이아웃이 도시되어있다. 상기 클러스터 프로세스 툴은 도 1a 및 도 1b에 도시된 것과 실질적으로 유사하다. 개시된 실시예의 양상들이 상기 클러스터 툴(390)에 관하여 기술되었지만, 본원에 기술된 개시된 실시예의 양상들은 도 1c 및 도 1d에 도시된 상기 선형 툴들에 동등하게 적용 가능하다는 것이 이해되어야 한다. 일반적으로, 상기 엔드 이펙터(314E)의 위치(예컨대, 로봇 좌표들(R, Θ))는, 예를 들어, 상기 이송 로봇(314)의 하나 또는 그 이상의 상기 암 링크들(314L1, 314L2) 및 엔드 이펙터(314E)의 이동을 제어하는 구동 샤프트(들)(DS)에 연결된 이송 로봇 드라이브(DR)의 적절한 인코더(들)(ENC)로부터의 피드백으로 결정된다. 다른 양상들에서, 상기 엔드 이펙터(314E)의 상기 위치는 상기 이송 로봇(314)에 대해 임의의 적절한 위치에 배치된 임의의 적절한 인코더들/센서들로부터 임의의 적절한 방식으로 결정된다.
예시적인 목적들을 위해, 적어도 상기 프로세스 모듈들(330)은 상기 이송 로봇(314)에 대해 대략 각각의 공칭 위치들(예를 들어, 상기 프로세스 모듈들(330)의 실제 위치는 여기에 설명된 바와 같이 결정된다)에서 상기 이송 챔버(11025)에 연결된다. 알 수 있는 바와 같이, 적어도 상기 프로세스 모듈들(330)의 상기 공칭 위치들은, 예를 들어, 상기 프로세스 툴(390)의 CAD(computer aided drafting) 모델들(또는 다른 적절한 모델들)로부터 알려져 있다. 다른 양상들에서, 상기 프로세스 모듈들의 상기 위치들은 프로세스 툴(또는 상기 프로세스 모듈들(330)과 같은 그 구성 요소들)의 내장 측정치들로부터 알려져 있다. 상기 "로봇 홈 위치"(예를 들어, R이 0의 거리와 실질적으로 동일하고 Θ가 0의 각도와 실질적으로 동일한 소정의 각도 Θ에서 상기 이송 로봇의 완전히 수축된 위치 R)는 일반적으로 상기 로봇 암(들)(314A)과 로봇 구동 플랜지(DF) 사이의 기계적 원점 고정 장치로 규정된다. 상기 구동 플랜지(DF)는 일반적으로 상기 프로세스 툴(390)에 대해 알려진 공칭 위치에 상기 로봇 홈 위치를 위치시키는 정밀 위치 지정 피처들을 갖는 기계적 인터페이스(MI)를 포함한다. 다른 양상들에서, 상기 로봇 홈 위치는 임의의 적절한 방식으로 정의된다. 이와 같이, 로봇 좌표들(R, Θ) 내의 적어도 각각의 프로세스 모듈(330)의 초기 또는 대략적인 위치들은 상기 공칭 위치들(예를 들어, 상기 프로세스 툴(390)의 모델로부터 획득 된)에 기초하여, 예를 들어, 상기 제어기(11091)에 제공된다.
알 수 있는 바와 같이 상기 프로세스 모듈들(330)의 상기 초기 위치들은 상기 이송 로봇(314)이 프로세스 모듈(330)의 기판 홀딩 위치(331)로부터 기판(S)을 집어 들거나 내려놓기에 충분히 정확하지 않을 수 있다. 예를 들어, 상기 로봇 원점 고정 장치의 기계적 허용 오차, 인코더 정확도, 모터/엔드 이펙터 준수, 암 링크 길이들, 이송 로봇 구성 요소들 및 스테이션 구성 요소들의 열팽창(또는 수축)으로 인한 오류들은 정확도/위치 오류들에 대한 몇 가지 예시적인 기여 요인들이다. 도 5a 및 도 5b를 참조하면, 상기 이송 로봇 위치/좌표계와 상기 프로세스 모듈(330) 위치들 사이의 상기 초기 정확도/위치 오류들을 보상하기 위해, 더 작은 크기의 기판(예를 들면, 교시 기판(ST))이 개시된 실시예의 자동 교시를 하기 위해 채용된다. 예를 들어, 상기 기판(S)은 제1 크기(반경 R1)를 가지며, 상기 교시 기판(ST)은 제2 크기(반경 R2)를 갖되, 반경(R2)이 임의의 적절한 양만큼 반경(R1)보다 작아서 교시 기판(ST)은, 예를 들면, 상기 AWC 스테이션(311)의 상기 센서들(311S1, 311S2)(또는 다른 적절한 센서들)에 의해 검출될 수 있다. 일 양상에서, 상기 기판(S)은 300mm 기판인 반면 상기 교시 기판은 200mm 기판이지만 반면 다른 양상들에서 상기 기판(S)과 상기 교시 기판(ST)은 서로에 대해 임의의 적당한 크기들을 갖는다. 도 5a 및 5b에서 볼 수 있듯이, 상기 기판(S)과 상기 프로세스 모듈(330)의 상기 면들(RS1, RS2, RS3) 사이보다(도 5A 참조) 상기 교시 기판(ST)과, 예를 들어, 상기 프로세스 모듈(330)의 벽들/면들(RS1, RS2, RS3) 사이에서(도 5B 참조) 더욱 큰 간격이 제공될 수 있다. 알 수 있는 바와 같이, 더 작은 상기 교시 기판(ST)의 크기는, 예컨대, 더 작은 교시 기판(ST)에 의해 제공된 더 큰 간격으로 인해, 상기 이송 로봇(314)이 상기 교시 기판(ST)과 상기 면들(RS1, RS2, RS3) 사이의 간섭 없이(예컨대, 전술한 상기 로봇 좌표 시스템 및 상기 프로세스 모듈 위치간의 정확도 오류들을 고려할 때) 상기 교시 기판(ST)을 상기 프로세스 모듈(330)의 작업 공간 영역에 삽입하는 것을 허용한다. 이제 도 6 및 도 7을 참조하면, 기판 홀딩 스테이션들의 상기 자동(예컨대, 사용자 개입 없이) 위치 찾기 또는 교시가 개시된 실시예의 양상들에 따라 설명될 것이다. 상기 기판 홀딩 스테이션의 자동 위치 결정을 수행하기 위해, 예를 들어, 상기 교시 기판, 그의 중심은, 예를 들어, 상기 AWC 스테이션(311)(또는 임의의 다른 적합한 정렬 스테이션)(도 9, 블록(900))을 갖는 상기 이송 로봇(314)의 상기 엔드 이펙터(314E)(그의 중심 위치는 C1으로 표기됨) 상의 위치(C2)에 의해 식별된다. 일부 양상들에서, 위치들(C1 및 C2)간에 초기 오프셋이 유도될 수 있다. 일 양상에서 상기 위치(C1)는, 예를 들어 상기 이송 로봇 좌표계(R, Θ)(예컨대, 이송 로봇 기준 프레임)에서 좌표들(Ree, Θee)을 갖는 상기 엔드 이펙터(314E)의 상기 교시 기판의 중심(C2)과 소정의 갖는 상기 엔드 이펙터(314E)상의 소정의 초기 지점(예를 들어, 중심 또는 오프셋을 포함하는 임의의 다른 적절한 위치)에 실질적으로 대응한다. 상기 좌표들(Ree, Θee)은, 일 양상에서 이송 로봇 인코더 피드백으로부터 얻어지고, 다른 양상들에서 상기 좌표들(Ree, Θee)는 임의의 적절한 방식으로 얻어진다. 다른 양상들에서, 상기 교시 기판(ST)과 상기 엔드 이펙터(314E)의 정렬에 대해서는, 필요한 것의 전부는 상기 교시 기판(ST)이 상기 AWC 센서들(311S1, 311S2)(또는 임의의 다른 적합한 정렬 스테이션의 센서들의 범위 내에서)의 검출 범위 내에 있도록 상기 엔드 이펙터(314E) 상에 교시 기판(ST)을 배치하는 것이다. 상기 AWC 센서들의 상기 위치는 미리 알려져 있을 수 있고, 또는 상기 이송 로봇이 참조 데이텀(AWC 센서) 위치를 설정하도록 상기 교시 기판과 함께 베이스 라인 통과를 수행할 수 있다.
상기 프로세스 모듈(330)의 하나 또는 그 이상의 상기 기준면들(RS1-RS5)의 위치는 상기 프로세스 모듈의 상기 기판 홀딩 위치(331)의 상기 위치를 결정하기 위해 식별된다(도 9, 블록(910)). 알 수 있는 바와 같이, 상기 기준면들(RS1-RS5)의 각각은 상기 기판 홀딩 위치 좌표들(Xstn, Ystn)의 결정을 가능하게 하는 상기 기판 홀딩 위치(331)에 대해 알려진 위치(예컨대, CAD 모델들 또는 내장된 측정들로부터)를 갖는다. 예를 들어, 임의의 비평행 쌍의 기준면들(RS1-RS5)의 위치 및/또는 방향이 알려지면, 상기 기판 홀딩 위치(331)의 좌표들(Xstn, Ystn)은 상기 기준면들(RS1-RS5)의 비평행 쌍에 대해 상대적으로 결정될 수 있다. 상기 하나 또는 그 이상의 기준면들(RS1-RS5)의 식별에서 상기 이송 로봇(314)은, 예를 들어, 기준면(RS1)과 같은, 비평행 쌍의 기준면 중 제1 기준면에 대해 상대적으로 상기 교시 기판(ST)을 이동시켜서, 상기 교시 기판(ST)과 상기 제1 기준면(RS1) 사이에 작은 기계적 간섭을 유도한다(도 9, 블록(915)). 이 작은 기계적 간섭은 상기 교시 기판(ST)이 상기 제1 기준면(RS1)을 탭핑하거나(예를 들어, 감소된 속도에서 또는 충격력을 최소화하기 위해) 결합하게 하는 방식으로 상기 엔드 이펙터를 이동시키도록, 예컨대 제어기(11091)와 같이, 이송 암(314)에 지시함으로써 수행된다. 여기서, 위치(C1)와 상기 이송 로봇 좌표계(R, Θ)에서의 좌표들(Rw, Θw)을 갖는 상기 결과 위치(C2)(예컨대, 상기 편향된 움직임 후의 상기 교시 기판의 상기 중심의 위치 - 접촉점)사이의 차이를 만들도록 상기 교시 기판(ST)이 탑재된 엔드 이펙터(314E)에 대하여 상대적으로 이동하기 위하여 상기 기준면(RS1)에 의해 편향되어 있다.
알 수 있는 바와 같이, 상기 엔드 이펙터 좌표들(Ree, Θee)에 대해 상대적인 상기 교시 기판(ST)의 상기 위치(C2)는, 상기 기준면(RS1)에 의해 편향된 후에, 상기 이송 로봇 인코더 피드백으로부터 알 수 없다. 이와 같이, 예를 들어 상기 기판을 상기 AWC 스테이션(311) 또는 임의의 다른 적절한 정렬 스테이션으로 이동시킴으로써, 편심 벡터(e=(Ree, Θee)-(Rw, Θw))가 측정된다(도 9, 블록(920)). 알 수 있는 바와 같이, 상기 제2 위치 또는 접촉점(C2)(Rw, Θw)에서의 상기 교시 기판(ST)의 위치는(Rw, Θw) =(Ree, Θee) - e로 알려져 있다. 일 양상에서, 상기 기준면(RS1)과 상기 교시 기판(ST) 사이에 접촉이 이루어졌는지의 여부가 결정된다(도 9, 블록(925)). 예를 들면, 교시 기판이 기준면(RS1)에 접촉하기 전에 편심 벡터(ebf)와, 교시 기판이 기준면(RS1)에 접촉한 후에 편심 벡터 eaf와의 비교가 이뤄진다. 일 양상에서, 상기 편심 벡터(ebf)는 상기 교시 기판(ST)이 실질적으로 상기 엔드 이펙터(314E)(도9 참조, 블록900) 상에 센터링 될 때 측정되는 반면 다른 양상들에서 상기 편심(ebf)은 상기 교시 기판(ST)이 상기 기준면(RS1)에 접촉하기 전 임의의 적절한 시간에 측정된다. 알 수 있는 바와 같이, 상기 편심 벡터(eaf)는 상기 교시 기판(ST)과 상기 기준면(RS1) 사이의 접촉을 시도한 후에 측정된다. 접촉을 감지하는 조건은 (eaf - ebf)> 허용 오차로 정의되고, 여기서 허용 오차는 상기 엔드 이펙터(314E)상의 허용 가능한 소정의 편심 측정허용 오차/오차(또는 임의의 다른 적절한 기판) 이다. 만약 상기 접촉을 검출하는 조건이 충족되지 않으면, 상기 동일한 위치(Ree, Θee)에서 상기 교시 기판(ST)과 상기 동일한 기준면(RS1) 사이의 접촉을 설정하기 위한 또 다른 시도가 수행되고(도 9 참조, 블록(915-925)) 그 위치(Ree, Θee)에서 접촉이 설정될 때까지 반복되기를 계속한다. 한번 접촉이 이루어지면, 상기 지점(Ree, Θee)에서 상기 기준면(RS1)의 위치는, 예를 들어, 상기 교시 기판의 알려진 직경/반경, 상기 편심 벡터(e) 및 상기 엔드 이펙터 좌표들(Ree, Θee)에 기초하여 결정된다(도 9, 블록(930)).
알 수 있는 바와 같이, 로봇 좌표들(R, Θ)에서 상기 기판 홀딩 위치(331)의 상기 좌표들(Xstn, Ystn)을 결정하기 위해, 제2 기준면(RS1-RS5)의 위치 및/또는 방향은 전술한 것(도9, 블록(900-930))과 실질적으로 유사한 방식으로 결정되고 여기서 상기 제2 기준면(RS1-RS5)은 상기 제1 기준면(RS1-RS5)과 가로지르도록 또는 교차하도록(예를 들어, 실질적으로 수직한) 배향된다. 예를 들어, 상기 시나리오에서, 상기 제1 기준면은 상기 기준면들(RS2, RS4, RS5) 중 하나 또는 그 이상이 상기 제2 기준면으로 작용할 수 있게 하는 기준면(RS1)이다. 상기 두 개의 교차하는 기준 표면들의 위치를 결정하는 것은 로봇 좌표(R, Θ)에서의 상기 기판 홀딩 위치(Xstn, Ystn)의 상기 위치가 임의의 적절한 방식으로 결정되도록 기판 홀딩 위치(Xstn, Ystn)(프로세스 모듈 좌표들에서)와 알려진 관계를 가진 상기 스테이션 기준점(SRP1, SRP2, SRP3, SRP4)(예컨대, 상기 2개의 기준면의 실제 교차로부터 상기 교시 기판(ST) 반경(R2)과 동일한 양만큼 오프셋 될 수 있는 상기 2개의 기준면 사이의 교차(기준선 RL1, RL2, RL3, RL4, RL5 참조))의 위치를 제공한다(도9, 블록(940)). 예시적인 목적들만으로, 결정된 스테이션 기준점(SRP1, SRP2, SRP3, SRP4)에 따라, 결정된 스테이션 기준점에서(SRP1(XSRP12, YSRP14), SRP2(XSRP12, YSRP23), SRP3(XSRP34, YSRP23), SRP4(XSRP34, YSRP14)) 상기 증분 거리(ΔX, ΔY)(예컨대, 상기 프로세스 모듈 좌표계에서, 상기 기판 홀딩 위치(331)와 상기 기준점들(SRP1-SRP4) 사이의 알려진 관계로부터 결정되는, 도 8 참조)가 가산 또는 감산된다.
알 수 있는 바와 같이, 일 양상에서 공통 기준면을 따른 하나 또는 그 이상의 접점들은 상기 공통 기준면의 상기 위치를 결정하는데 사용된다. 이제 도 8을 참조하면, 상기 기준면(RS1)은 둘 또는 그 이상의 접점들 C2A(좌표 RW, ΘW를 가짐), C2B(좌표 RW1, ΘW1를 가짐)를 식별함으로써 결정된다. 예를 들어, 제1 접점(C2A)은 기준면(RS1)에 대해 전술한 것과 실질적으로 유사한 방식(도 10, 블록(900-925))으로 식별되고, 적어도 제2 접점(C2B)은 또한 기준면(RS1)에 대해 전술한 것과 실질적으로 유사한 방식(도 10, 블록(900-925))으로 식별된다. 한번 둘 또는 그 이상의 접점들(C2A, C2B)이 설정되면, 상기 둘 또는 그 이상의 접점들(C2A, C2B)의 상기 좌표에 기초하여 기준선 또는 윤곽선(RL1)이 계산되고, 상기 기준면(RS1)의 위치 및 방향이 설정된다(도 10, 블록(1000)). 알 수 있는 바와 같이, 상기 접점들(C2A, C2B)은 상기 기준면(RS1)(상기 접점들(C2A, C2B)에 공통인)에 실질적으로 평행하고 그로부터 오프셋된(예컨대, 상기 교시 기판(ST)의 반경(R2)과 실질적으로 동일한 거리만큼) 각각의 기준선 또는 윤곽선(RL1)을 설정한다. 일 양상에서 상기 기준선 또는 윤곽선(RL1)은 2보다 큰 측정된 샘플을 사용하여 최소 제곱 피트(Least-squares Fit) 또는 임의의 다른 적절한 방식으로 계산된다. 상기 기준면(RS1)의 상기 위치 및 방향은 상기 기준선(RL1)의 상기 위치 및 방향 및 상기 교시 기판(ST)의 알려진 반경(R2)의 임의의 적절한 방식으로 결정된다.
전술한 것과 유사한 방식으로, 제2 기준면(RS1-RS5)(제1 기준면에 실질적으로 수직한)의 상기 위치 및 방향이 하나 또는 이상의 상기 스테이션 기준점들(SRP1-SRP3)을 설정하도록 결정된다. 예를 들어, 상기 시나리오에서 상기 제1 기준면은 상기 기준면들(RS2, RS4, RS5)이 중 하나 또는 그 이상이 상기 제2 기준면으로 작용할 수 있게 하는 기준면(RS1)이다. 예를 들어, 상기 기준면(RS2)은 둘 이상의 접점들 C3A(좌표들 RW2, ΘW2을 갖는), C3B(좌표들 RW3, ΘW3을 갖는)를 식별함으로써 결정된다. 예를 들어, 제1 접점(C3A)은 기준면(RS2)에 대해 전술한 것과 실질적으로 유사한 방식(도 10, 블록(900-925))으로 식별되고, 적어도 제2 접점(C3B)은 또한 기준면(RS2)에 대해 전술한 것과 실질적으로 유사한 방식(도 10, 블록(900-925))으로 식별된다. 한번 상기 둘 또는 그 이상의 접점들(C3A, C3B)이 설정되면, 2개 또는 그 이상의 접점들(C3A, C3B)의 좌표들에 기초하여 기준선 또는 윤곽선(RL2)이 계산되고, 기준면(RS2)의 위치 및 방향이 설정된다(도 10, 블록(1000)). 알 수 있는 바와 같이, 상기 접점들(C3A, C3B)은 상기 기준면(RS2)(상기 접점들(C3A, C3B)에 공통)에 실질적으로 평행하고 그로부터 오프셋된(예컨대, 상기 교시 기판(ST)의 반경(R2)과 실질적으로 동일한 거리) 각각의 기준선 또는 윤곽선(RL2)을 설정한다. 일 양상에서 상기 기준선 또는 윤곽선(RL2)은 둘 보다 큰 측정된 샘플을 사용하여 최소 제곱 피트(Least-squares Fit)로 또는 다른 임의의 적절한 방식으로 계산된다. 상기 기준면(RS2)의 상기 위치 및 배향은 상기 기준선(RL2)의 상기 위치 및 방향 및 상기 교시 기판(ST)의 상기 알려진 반경(R2)으로부터 임의의 적절한 방식으로 결정된다.
전술한 바와 같이, 상기 두 수직 기준면 또는 기준선(RL1-RL5)들의 위치를 결정하는 것은 로봇 좌표(R, Θ)에서의 상기 기판 홀딩 위치(Xstn, Ystn)의 상기 위치가 임의의 적절한 방식으로 결정되도록 기판 홀딩 위치(Xstn, Ystn)(프로세스 모듈 좌표들에서)와 알려진 관계(예컨대, CAD 모델 또는 내장 측정)를 가진 상기 스테이션 기준점(SRP1, SRP2, SRP3, SRP4)(예컨대, 상기 2개의 기준면의 실제 교차로부터 상기 교시 기판(ST) 반경(R2)과 동일한 양만큼 오프셋될 수 있는 상기 2개의 기준면 사이의 교차(예컨대, 기준선들 RL1, RL2 참조))의 위치를 제공한다(도 10, 블록(940)). 예시적인 목적들만으로, 결정된 스테이션 기준 점(SRP1, SRP2, SRP3, SRP4)에 따라, 증분 거리(ΔX, ΔY)(예컨대, 상기 프로세스 모듈 좌표계에서, 상기 기판 홀딩 위치(331)와 상기 기준점들(SRP1-SRP4) 사이의 알려진 관계로부터 결정된)가 결정된 스테이션 기준 포인트 SRP1(XSRP12, YSRP14), SRP2(XSRP12, YSRP23), SRP3(XSRP34, YSRP23), SRP4(XSRP34, YSRP14)(도 6a 참조)의 좌표에 가산 또는 감산된다.
알 수 있는 바와 같이, 프로세스 모듈 좌표들(X, Y)에서 상기 기판 홀딩 위치(331)의 상기 결정된 위치는 임의의 적절한 방식으로 이송 로봇 좌표들(R, Θ)로 변환된다. 예를 들어, 상기 스테이션 기준점들(SRP1-SRP4) 각각의 상기 위치는 예를 들어, 프로세스 모듈 좌표에서 상기 프로세스 툴(390)의 CAD 모델로부터 알려진다. 이와 같이, 상기 기판 홀딩 위치(Xstn, Ystn)의 상기 위치는 상기 스테이션 기준점들(SRP1-SRP4) 각각에 대해 상대적으로 알려져 있다. 상기 기준선들(RL1-RL5)(및 상기 대응 하는 스테이션 기준점들(SRP1-SRP4))은 상기 교시 기판 반경(R2)이 주어지면 상기 이송 로봇 좌표계 시스템(R, Θ)(및 상기 툴 좌표 시스템)과 상기 프로세스 모듈 좌표계(X, Y) 사이의 상기 변환의 식별을 가능하게 한다.
하나의 양상에 있어서 상기 둘 또는 그 이상의 기준면들의 위치가 결정되고 비교되어 상기 기준면들의 평행도(예컨대, 측면 기준면(RS1)과 측면 기준면(RS3)의, 전방 기준면(RS4 및/또는 RS5)과 후방 기준면(RS2)의 및/또는 전방 기준면(RS4)과 전방 기준면(RS5)의) 및/또는 수직성(예컨대, 측면 기준면들(RS1 및/또는 RS3)과 하나 또는 그 이상의 전방 기준면(RS4, RS5) 및 후방 기준면(RS2)의)을 결정한다. 또한, 둘 또는 그 이상의 기준면들의 상기 위치 결정은 상기 기판 홀딩 위치(331)의 유효성 검사/확인을 제공한다. 예를 들어, 상기 기판 홀딩 위치(331)의 상기 위치는 전술한 바와 같이 기준면들(RS1 및 RS2)로부터의 제1 계산/결정에서 결정되고, 제2 계산/결정에서, 예를 들어, 전술한 것과 실질적으로 유사한 방식으로 기준면들(RS3 및 RS5)을 이용하여 상기 기판 홀딩 위치(331)의 위치를 결정함으로써 검증된다. 아래에서 설명되는 바와 같이, 일 양상에서, 상기 제1 및 제2 계산들/결정들의 상기 결과들은 상기 기준면들과 상기 기판 홀딩 위치(331)(또는 다른 적합한 스테이션 피처들) 사이의 알려진 치수 관계에 기초하여 상기 기판 유지 위치(331)(또는 상기 프로세스 모듈(330)의 임의의 다른 적합한 스테이션 피처들)의 위치를 정의하도록 합쳐지거나 또는 그렇지 않으면 평균된다.
알 수 있는 바와 같이, 상기 프로세스 모듈(330)의 상기 기준면은 상기 프로세스 모듈(330)의 상기 측면, 전방 및 후방 벽들로 여기에 설명되지만, 다른 양상들에서 상기 기준면들은 결정적 편심 벡터(e)(예컨대, 상기 벡터 방향 및 크기는 소정의 초기 기판 위치들로부터 시작하는 경우 일정하고 상기 교시 기판 및 표면 사이의 접촉각에 따라 변하지 않는다)를 야기하는 윤곽 결정적 피처들(S1F1, RS1F2, RS2F1, RS2F2, RS3F1, RS3F2, etc.) (도 5B 참조 - 예컨대, 하나 또는 그 이상의 상기 벽의 형상들 또는 상기 벽 상에, 하나 또는 그 이상의 핀들, 하나 또는 그 이상의 돌출부들, 등)과 같은 상기 벽들의 교시 피처들이고 상기 편심 벡터(e)는 결정적이거나 또는, 예를 들어, 상기 교시 기판(ST)이 상기 윤곽 위치 결정적 스테이션 피처들을 탭핑할 때 상기 벽의 상기 형상을 정의한다.
예를 들어, 도 5c를 참조하면, 상기 프로세스 모듈(330)의 상기 벽(330W)(이는 측, 전방 또는 후방 벽일 수 있음)은 하나 또는 그 이상의 돌출부들의 쌍들의 형태인 윤곽 위치 결정적(예컨대, 상기 엔드 이펙터 상의 알려진 위치로부터, 차원적으로 알려진 기판과의 접촉은, 윤곽 피처들에 대해 결정적 위치를 제공한다.) 피처들(F1, F2)을 제공하도록 형성된다. 도 5d는, 예시적인 목적들로, 하나 또는 그 이상의 핀 커플들(예컨대, 두 개의 핀들이 각각의 피처들(F1, F2)을 형성한다)의 형태인 윤곽 위치 결정적 피처들(F1, F2)을 갖는 상기 벽(330W)을 도시한다. 알 수 있는 바와 같이, 상기 윤곽 위치 결정적 피처들(F1, F2)은 이들이 위치되는 상기 벽들(330W)에 대해 알려진 위치 및/또는 상기 기판 홀딩 위치(331)와 알려진 위치에 있다. 이와 같이, 상기 교시 기판(ST)이 하나 또는 그 이상의 윤곽 위치 결정적 피처들(F1, F2)과 접촉하게 되면(전술한 것과 실질적으로 유사한 방식으로) 상기 편심 벡터(e)는 하나 또는 그 이상의 윤곽 위치 결정적 피처(F1, F2)에 대해 일정하게 유지되는 반면 상기 편심 벡터(e)의 상기 각도 특성은 상기 교시 기판(ST)이 상기 엔드 이펙터에 대해 이동함(상기 하나 또는 그 이상의 윤곽 위치 결정적 피처(F1, F2)와의 접촉을 통해)에 따라 상기 엔드 이펙터(314E)에 대해 변화한다. 예를 들어, 상기 교시 기판이 상기 윤곽 위치 결정적 피처들(F1, F2)을 탭핑할 때, 상기 편심 벡터(e1, e2)는, 예를 들어, 상기 AWC 스테이션(311)에 의해 측정된다. 상기 편심 벡터(e1, e2)는 상기 교시 기판(ST) 및 여기에 설명된 바와 같이 상기 기판 홀딩 위치(331)의 상기 위치를 결정하기 위한 상기 교시 기판(ST) 및 상기 벽(들)(330W)에 대한 상기 엔드 이펙터의 상기 위치를 결정하는데 사용된다. 알 수 있는 바와 같이, 상기 윤곽 위치 결정적 피처들(F1, F2)은, 일 양상에서, 상기 프로세스 모듈(330) 구조의 구성에 통합되거나 내재적이고(예를 들어, 상기 벽들(330W)의 일부) 또는, 다른 양상들에서, 윤곽 위치 결정적 피처들이 프로세스 모듈(PM) 구조에 추가된다. 또한, 알 수 있는 바와 같이, 상기 윤곽 위치 결정적 피처들(F1, F2)은 상기 기판들(S)의 이송이 상기 프로세스 모듈(330)로 또는 상기 프로세스 모듈(330)로부터 기판들(S)의 상기 이송을, 또는 상기 프로세스 모듈(330) 내에서 수행되는 상기 처리들과 간섭하지 않도록 위치된다.
또한 도 5e를 참조하면, 상기 프로세스 모듈(330)의 상기 벽들은 윤곽이 형성되어 비-결정적 굽은 벽 또는 면(예를 들어, 상기 기판(ST)의 상기 반경(R2)은 상기 벽과 접촉할 때 상기 기판의 상기 위치가 상기 기판 홀딩 위치(Xstn, Ystn)와의 소정의 관계가 없도록 벽의 반경(RW)보다 작다)을 정의하는데, 여기서 각 굽은 벽(RS1', RS2', RS3')은 예시적인 목적들만을 위해 벽(RS1')에 대해 도시된, 각각의 반경(RW) 및 중심점(RSC)을 갖는다. 각 중심점(RSC)은 상기 중심점(RSC)이 결정될 때 상기 기판 홀딩 위치(Xstn, Ystn)의 위치가 또한 알려지도록 상기 기판 홀딩 위치(Xstn, Ystn)에 대해 소정의 공간적 관계를 갖는다. 일 양상에서, 상기 중심점(RSC)은, 하나 또는 그 이상의 기준선들(RL1', RL2', RL3')(즉, 예컨대, 도 6의 기준선들(RL1, RL2, RL3, RL4)과 유사한)을 결정하도록 하나 또는 그 이상의 벽들(RS1', RS2', RS3)을 따라 하나 초과의 점을 결정하여 것과 같이, 전술한 것과 실질적으로 유사한 방식으로 결정된다. 상기 기준선들(RL1', RL2', RL3')은 상기 벽들(RS1', RS2', RS3')의 상기 각 반경(RW)에 대응하는 반경들(RW ')을 가지며, 상기 기준선의 상기 중심점(RSC')은 상기 벽들(RS1', RS2', RS3')의 각 중심점(RSC)과의 소정의 관계를 갖는다. 일 양상에서, 각각의 굽은 벽(RS1 ', RS2', RS3 ')(및 상기 각각의 기준 라인 RL1', RL2', RL3')은 기판 홀딩 위치(Xstn, Ystn)와 알려진 관계에 있는 중심점(RSC, RSC')을 제공하기 때문에, 일단 상기 벽들(RS1 ', RS2', RS3 ') 중 하나에 대해 상기 중심점(RSC 또는 RSC ')이 결정되면, 상기 기판 홀딩 위치(Xstn, Ystn)의 상기 위치가 결정될 수 있다. 다른 양상들에서, 후속 벽들에 대한 상기 중심점(RSC, RSC ')은, 예를 들어, 상기 기판 홀딩 위치(Xstn, Ystn)의 상기 위치를 검증한다.
이제 도 11 및 도 11a-11b를 참조하면, 예시적인 자동-교시 계산은 개시된 실시예의 하나 또는 그 이상의 양상들에 따라 설명될 것이다. 여기에 기술된 상기 예시적인 자동-교시 계산에서, 상기 기판 홀딩 위치(331)는 기준면들(RS1, RS2, RS3)에 대해 상기 교시 기판(ST)으로 결정되는데 여기서 상기 기판 홀딩 위치의 적어도 상기 Θ 위치는 상기 프로세스 모듈(330)의 상기 알려진 관계 치수들에 의존 없이 결정되고 여기서 상기 기판 홀딩 위치(331)의 상기 위치 결정의 상기 결과가 검증된다. 도면들에서 알 수 있는 바와 같이 R1, Θ1 및 R2, Θ2 점들은 각각, 상기 기준면들(RS1 및 RS2)과의 상기 접촉점에서 상기 웨이퍼 중심 위치들로 도시되어 있다. R1, Θ1 및 R2, Θ2 위치들은 도 12의 상기 방법에서 설명된 대로 결정될 수 있다. 상기 자동-교시 계산은, 일 양상에서, 쎄타(Θ) 자동 교시부와 반경 자동 교시부로 나뉘는데 여기서 상기 엔드 이펙터(314E)(도 3 참조) 및 그에 따른 상기 교시 기판(ST)(또는, 임의의 다른 적절한 기판)의 운동은 의도적으로 상기 교시 기판(ST)과 상기 기준면(들) 사이의 접촉을 설정하도록 유도되고(도 12, 블록(1200)), 이에 의해 상기 교시 기판(ST)이 상기 엔드 이펙터(314E)에 대해 상대적으로 움직이거나 또는 그렇지 않으면 미끄러질 수 있게 한다(도 12, 블록(1210)). 상기 기판 홀딩 위치(331)의 상기 각도 위치(angular location)(ΘST)의 위치는 다음과 같이 각 접점들(Θ1 및 Θ2)의 상기 각도 위치들을 평균함으로써 결정될 수 있다(도 12, 블록(1235)).
Figure pat00001
[1]
상기 기판 홀딩 위치(331)의 상기 반경 위치(RSTN)는 상기 엔드 이펙터의 연장/수축 축이 도 11e에서 볼 수 있듯이 상기 교시 기판의 상기 반경에 기초하여 결정될 수 있는 ΘSTN에 대응하는 방향을 따르도록 상기 이송 로봇(314)이 회전되는 ΘSTN을 사용하여 결정된다(도 12, 블록(1240)). 여기서, 엔드 이펙터(314E)(및 교시 기판(ST)) 운동은 상기 교시 기판(ST)과 상기 기준면(RS3) 사이의 의도적인 접촉을 설정하도록 일반적으로 X 방향으로 유도되어, 이에 의해 상기 교시 기판(ST)이 엔드 이펙터(314E)에 대해 양(ΔlF)만큼 변위되거나 또는 그렇지 않으면 미끄러진다. 상기 교시 기판(ST)과 상기 기준면(RS3) 사이의 상기 반경 방향 접촉은 R-ΔlF의 반경 방향 연장에서 발생하며, 여기서 ΔlF는 ΘSTN의 연장/수축 각도에서 상기 엔드 이펙터(314E)에 대해 상대적인 상기 교시 기판(ST)의 변위(AWC 스테이션(311)에 의해 및/또는 상기 엔드 이펙터 상에 장착된 임의의 적절한 센서 또는 임의의 다른 편심 측정 방법(아마도 정렬자)에 의해 결정됨)이고, 여기서 R은 상기 교시 기판(ST)과 상기 기준면(RS3)의 접촉을 보장하여 상기 거리(X)의 상기 원점이 결정되도록 선택된다. 도 11a 및 11b에서 점 3(예컨대, R3, Θ3)은 상기 위치((R-ΔlF), ΘSTN)에 대응됨을 유의해야 한다. 이와 같이, 상기 기판 홀딩 위치(331)의 상기 연장 위치(RSTN)는 상기 교시 기판(ST)의 상기 반경(r)에 기초하여 다음 식으로 결정된다.
Figure pat00002
[2]
이제 도 14a-14b를 참조하면, 앞서 설명된 상기 처리 장치의 상기 기판 이송 장치 또는 로봇(314)(도 3 참조)에 포함될 수 있는 엔드 이펙터(1414E, 1414E ')의 각각의 평면도들이 도시되어있다. 알 수 있는 바와 같이, 상기 엔드 이펙터(1414E, 1414E')는 적절한 척(1414C, 1414C')을 포함할 수 있다(예를 들어 그 대표적인 예가 도 14a에 도시된, 기판 용이 그립 피처들(1402-1406)을 포함하는 수동 용이 그립 척(1414C)). 또 다른 양상에 따르면, 상기 엔드 이펙터(1414E')는 도 14b에 도시된 바와 같이, 생산 중에 상기 로봇에 의해 다뤄지는, 상기 기판의 후면과 결합되도록 배치된 기판 결합 패드들(1408-1412)을 가질 수 있다. 개시된 실시예의 일 양상에 따르면, 상기 교시 기판(ST)은, 상기 기판(ST)과 상기 엔드 이펙터(1414E, 1414E')의 척(1414C, 1414C') 사이에 형성된 수직 오프셋 또는 갭(GP)을 갖는 상기 교시 기판(ST)을 상기 엔드 이펙터 상에 위치시키는 이펙터 오프셋 피처들을 갖는다. 이는 상이한 양상들에 따라 상기 엔드 이펙터(1414E, 1414E') 상에 안착된 상기 교시 기판(ST)의 각각의 도면을 도시한 도 15a-15c 에 도시되어있다. 상기 교시 기판(ST)의 저면(도 15a-15c는 교시 기판(1502, 1506, 1510)의 상이한 대표들을 도시한다)은 상기 교시 기판(ST)과 상기 엔드 이펙터의 척(chuck) 피처들 사이의 결합 없이, 상기 엔드 이펙터와 결합되도록 구성된 돌출부(1504, 1508, 1512)를 가지며, 그 위에 상기 교시 기판(ST)을 안정적으로 지지한다. 이는 상기 교시 기판과 엔드 이펙터 사이의 미끄러짐이, 상기 척(1414C, 1414C ')의 상기 기판 유지 피처들에 의해 제한 받지 않고, 용이하게 한다. 상기 교시 기판의 상기 오프셋 피처들 또는 돌출부는 상기 교시 기판과 엔드 이펙터 사이의 결합력/바이어스(예컨대, 마찰)를 최소화하도록 구성될 수 있다(예컨대, 도 15a-15b의 돌출부(1504, 1508, 1512)). 상기 교시 기판(ST)의 저면 사시도를 도시하는 도 15d-15e에 도시된 바와 같이, 상기 돌출부 피처들(1516, 1518)은 기판과 엔드 이펙터 사이의 단일 선형 방향으로 균일한 미끄러짐(108)을 초래하도록 파지력들이 상기 돌출부들 상에 균일하게 분포되도록 상기 교시 기판 상에 적절하게 분포될 수 있다. 상기 돌출부 상기는 기판과 일체로 형성되거나, 상기 기판에 부가될 수 있다. 다른 양상들에서, 상기 엔드 이펙터 상의 상기 척은 상기 교시 기판과의 결합 피처들을 분해하도록 변형될 수 있다.
이제 도 16을 참조하면, 본 개시의 일 양상에 따른 스테이션 자동-교시 프로세스가 설명될 것이다. 일 양상에서, 적어도 두 개의 결정적 스테이션 피처들(1610, 1611)은 여기에 설명된 것과 같이 상기 프로세스 툴(1600)의 일부와 같은 상기 기판 홀딩 스테이션에 상기 기판 홀딩 위치(Xstn, Ystn)를 인-시튜(in-situ)로 자동-교시하는 것을 수행하도록 상기 기판 홀딩 위치(Xstn, Ystn)에 대해 알려진 관계로 위치된다. 상기 프로세스 툴(1600)의 상기 일부분은, 일 양상에서, 전술한 프로세스 모듈(11030, PM)과 실질적으로 유사하다. 이 양상에서, 상기 프로세스 툴(1600)의 일부는 두 챔버들(1601, 1602)을 포함하며 여기서 각각의 챔버(1601, 1602)는 각각의 기판 홀딩 위치들에 대응하는 적층된 기판 홀딩 지지체들(1620A, 1620B)을 포함한다(예컨대, Xstn, Ystn에서 다른 하나 위에 위치한 것). 다른 양상들에서, 각 챔버(1601, 1602)는 두 개보다 많거나 적은 기판 홀딩 지지체들을 포함한다. 이 양상에서, 각 기판 홀딩 지지체(1620A, 1620B)는 상기 챔버(1601)의 일 측면 상에 부분(1620A1, 1620B1) 및 상기 챔버(1601)의 대향 측면상에 부분(1620A2, 1620B2)을 갖는 분할 지지체인데 여기서 통로는, 예를 들어, 엔드 이펙터가 상기 부분들(1620A1, 1620A2 및 1620B1, 1620B2) 사이에 통과할 수 있게 하도록, 상기 부분들(1620A1, 1620A2 및 1620B1, 1620B2) 사이에 배치된다. 다른 양상들에서, 상기 기판 홀딩 지지체들은 상기 챔버(1601, 1602)의 대향 측면들 사이에 연속적인 지지체이다. 여기서, 상기 기판 홀딩 지지체들(1620A, 1620B)은 상기 각각의 기판 홀딩 지지체들(1620A, 1620B)상에 배치된 기판의 에지를 그립하도록 구성된 에지 그립 지지체며, 반면 다른 양상들에서는 상기 기판 홀딩 지지체들(1620A, 1620B) 중 하나 또는 그 이상은 도 15f에 도시된 기판을 지지하기 위한 리프트 핀들(1500-1502)과 같은 기판 리프트 핀들을 포함한다.
일 양상에서, 상기 결정적 스테이션 피처들(1610, 1611)은 상기 최하부 기판 홀딩 지지체(1620B)와 같은, 기판 홀딩 지지체에 연결되고 기판 이송 경로(예컨대, 상기 기판 홀딩 지지체(1620A, 1620A)로 및 상기 기판 홀딩 지지체(1620A, 1620A)로부터 상기 기판을 집어 들고 및 놓아 두기 위한)의 외부에 위치하며 상기 기판 홀딩 지지체(1620A, 1620B)로 및 상기 기판 홀딩 지지체(1620A, 1620B)로부터 상기 기판을 집어 들고 내려 놓는 기판 이송 장치의 이동 범위 내에 있다. 일 양상에서, 상기 결정적 스테이션 피처들(1610, 1611)은 상기 기판 지지체(1620B)와 일체로 형성되는 반면, 다른 양상들에서는 상기 결정적 스테이션 피처들(1610, 1611)은 임의의 적절한 방식으로 상기 기판 홀딩 지지체에 결합된다. 일 양상에서, 상기 결정적 를 위해 제거 가능하다. 또한 도 16a 내지 16d를 참조하면 상기 결정적 스테이션 피처들(1610, 1611)은, 예를 들어, 상기 기판(S) 또는 교시 기판(ST)에 의해 접촉될 때 상기 기판(S) 또는 교시 기판(ST)의 중심을 알려진 위치에 위치시키는 임의의 적합한 형상을 갖는다. 예를 들어, 상기 결정적 스테이션 피처(1610, 1611)는 상기 결정적 스테이션 피처(1610, 1611)와 접촉하는 상기 기판(S) 또는 교시 기판(ST)의 소정의 위치를 결정적으로 정의하고, 상기 소정의 위치는 상기 기판 홀딩 위치(Xstn, Ystn)(예컨대, 상기 기판 홀딩 스테이션의)와 소정의 관계를 갖고 이를 특정한다.
일 양상에서, 상기 결정적 스테이션 피처들(1610, 1611)은 도 16a에 도시 된 바와 같이 둥근형 핀들이고, 반면 도 16b 및 16c에서 도시된 것과 같은 다른 양상에서, 상기 결정적 스테이션 피처들(1610A, 1611A 및 1610B, 1611B)은 불연속적으로 굽은 접촉면들이다. 또 다른 양상들에서, 상기 결정적 스테이션 피처들(1610C)은 상기 기판(S) 또는 교시 기판(ST)의 상기 소정의 위치를 정의하기 위해 두 지점들에서 상기 기판(S) 또는 교시 기판(ST)의 상기 에지와 접촉하도록 구성된 연속 접촉면을 형성한다. 상기 결정적 스테이션 피처들(1610, 1611)은 상기 기판 지지체(1620B) 상에 배치되고(예컨대, 이격되어) 및/또는 상기 기판(S) 또는 교시용 기판(ST)의 곡면 엣지와 접하도록 구성되되 여기서 상기 기판은 상기 기판(S), 또는 교시 기판(ST)상의 플랫(flat) 또는 노치(notch)가, 예를 들어, 결정적 스테이션 피처들(1610, 1611) 사이에 위치하도록 이송 로봇(314)과 같은, 기판 이송 장치의 엔드 이펙터(314E)에 대해 소정의 방향에 있다. 다른 양상들에서, 도 16b-16d에 도시된 것과 같이 상기 결정적 스테이션 피처들이 굽은 면을 형성하는 것과 같은 경우, 교시 기판(ST)과 같은 상기 기판은 상기 결정적 스테이션 피처들과 접촉하는 상기 교시 기판(ST)의 상기 핀(1650, 1651)으로 상기 교시 기판(ST)의 상기 소정 위치를 정의하기 위해 상기 곡면과 접촉하는 핀(1650, 1651)을 포함하고, 상기 소정의 위치는 상기 기판 홀딩 위치(Xstn, Ystn)(예컨대, 상기 기판 홀딩 스테이션)와 소정의 관계를 갖고 이를 식별한다.
다른 양상에서, 도 17a-17c를 참조하면, 상기 결정적 스테이션 피처들(1610, 1611)은, 예를 들어, 상기 기판 이송 로봇의 엔드 이펙터(314E)에 의해 기판 홀딩 스테이션으로 또는 기판 홀딩 스테이션으로부터 집어 들어지고 놓아질 수 있는 정렬 고정장치들(1700) 상에 배치되거나 또는 그와 일체로 형성된다. 이 양상에서, 상기 정렬 고정장치는 기판 이송 스테이션(314)에 의해 상기 기판 홀딩 스테이션으로 및 상기 기판 홀딩 스테이션으로부터 운반되고 상기 기판 홀딩 스테이션 내의 처리 환경의 무결성을 유지한다(및 정렬 고정장치가 이동하는 임의의 이송 챔버 - 예컨대, 상기 기판 홀딩 스테이션의 프레임은 상기 정렬 고정장치의 배치를 위해 그에 의해 기판 홀딩 스테이션의 내부를, 예를 들어, 대기 환경에 노출시키도록 개방될 필요가 없다). 일 양상에서, 상기 정렬 고정 장치(1700)는 상기 기판 홀딩 스테이션에 대한 소정의 위치에 상기 정렬 고정 장치(1700)를 위치시키고 고정시키는, 예를 들어, 적어도 하나의 슬롯(1710) 및 리세스(1715)와 같은 동적 정렬 피처들을 포함한다. 예를 들어, 일 양상에서, 상기 기판 홀딩 스테이션은 도면 15f에 도시된 리프트 핀들(1500-1502)과 같은 기판 리프트 핀들을 포함하고, 그 상에 기판이 지지된다. 상기 리프트 핀들(1500-1502) 중 적어도 두 개는 상기 정렬 고정장치(1700)를 동적으로 위치시키기 위해 상기 적어도 하나의 슬롯(1710) 및 리세스(1715)와 결합된다. 예로서, 하나의 리프트 핀(1500-1502)은 상기 정렬 고정장치를, 예를 들어, X 축 및 Y 축들에서 고정하도록 상기 리세스(1715)와 결합되고 적어도 하나의 다른 리프트 핀(1500-1502)은 상기 정렬 고정장치를 회전(RT)에서 고정하도록 상기 적어도 하나의 슬롯(RT)과 결합돼서 상기 결정적 스테이션 피처(1610, 1611)가 상기 기판 홀딩 스테이션의 상기 기판 홀딩 위치(Xstn, Ystn)에 대해 상대적으로 소정의 위치를 갖는다.
이제 도 18a, 도 18b, 도 19 및 도 20을 참조하면, 일 양상에서, 상기 결정적 스테이션 피처들(1610, 1611)은 전술한 바와 같이, 기판 홀딩 스테이션 상에 제공되거나 또는 그렇지 않으면 고정된다. 상기 결정적 스테이션 피처들(1610, 1611)은 결정적 스테이션 피처(1610, 1611)와 접촉하는 상기 기판(S, ST)의 소정의 위치를 결정적으로 정의하도록 형성되고, 상기 소정의 위치가 상기 기판 홀딩 스테이션의 상기 기판 홀딩 위치(Xstn, Ystn)와 소정의 관계에 있고, 이를 식별한다. 이 양상에서 상기 기판 홀딩 스테이션에 대한 상기 기판 홀딩 위치(Xstn, Ystn)의 인 시튜의 교시는 데이텀(datum) 피처 세트(상기 기판(S, ST)에 의해 접촉될 때 기판 보유 위치(Xstn, Ystn)에 대해 유일하지 않거나 또는 실질적으로 무한한 솔루션을 갖는 상기 기판 스테이션의 벽과 같은)의 결정에 의하기 보다는 상기 기판(S, ST)과 상기 결정적 스테이션 피처들(1610, 1611) 사이의 반복적인 접촉(예를 들어, 범핑 또는 터칭 여기서 반복 접촉이 범프 터치로 언급될 수 있다.)에 의해 수행된다. 이 양상에서, 상기 기판 홀딩 위치(Xstn, Ystn)의 상기 위치에 대한 유일한 해는 예를 들어 상기 기판(S, ST)의 상기 형상과 결합된 상기 결정적 스테이션 피처들(1610, 1611)의 결정적 특성들로부터 대수적으로 정의된다.
전술한 바와 같이, 상기 결정적 스테이션 피처들(1610, 1611)은 상기 기판 홀딩 스테이션의 상기 기판 홀딩 위치(Xstn, Ystn)에 대해 알려진 위치에 배치된다. 교시 기판(ST) 또는 상기 결정적 스테이션 피처들(1610, 1611)과 접촉하는 기판(S)과 같은 기판은 각각의 상기 결정적 스테이션 피처들(1610, 1611)로부터의 알려진 거리인 중심(WC)을 갖는다. 예컨대, 상기 기판(ST, S)의 상기 중심(WC)은 상기 결정적 스테이션 피처들(1610, 1611)로부터 거리(RD)(예컨대, 상기 기판(ST, S)의 반경과 동일)만큼 떨어져있다. 상기 거리(RD)가 알려져 있고 상기 결정적 스테이션 피처들(1610, 1611)의 상기 위치들(XP1, YP1 및 XP2, YP2)사이의 관계가 상기 기판 홀딩 위치(Xstn, Ystn)에 대해 알려져 있기 때문에, 상기 기판 홀딩 위치(Xstn, Ystn)에 대한 상기 기판 중심(WC)의 위치 또한 알려져 있다. 일 양상에서, 전술한 바와 같은, 기판 이송 장치는, 아래에서 더 상세히 설명되는 바와 같이, 기판(S, ST)을 상기 기판 이송 장치의 적어도 하나의 엔드 이펙터 상으로 이송하도록, 제어기(11091)와 같은 임의의 적합한 제어기에 의해 제어되어 상기 기판(ST, ST)이 상기 결정적 스테이션 피처들(1610, 1611) 양자 모두에 접촉할 때까지 상기 결정적 스테이션 피처(1610, 1611)에 반복적으로 접근하도록 한다. 각각의 반복에서, 상기 기판 이송 장치는 상기 결정적 스테이션 피처들(1610, 1611)에 접근하고, 상기 기판(S)의 상기 편심(e)은 도 16에 도시된 상기 프로세스 툴(1600)의 상기 부분과 같은, 상기 기판 홀딩 스테이션 내 또는 주위에 배치된 자동 웨이퍼 센터링 센서들과 같은, 임의의 적절한 방식으로 측정된다. 일 양상에서, 상기 프로세스 툴(1600)의 상기 부분과 같은 각 프로세스 모듈 또는 스테이션(330)은 상기 기판(S)의 전방 에지 및 후방 에지 중 하나 또는 그 이상을 검출하기 위해 전술한 바와 같은 하나 또는 그 이상의 센서들(311S1, 311S2)을 포함하여 상기 기판(S, ST)이 상기 프로세스 모듈 또는 스테이션(330) 내부로 또는 외부로 이동함에 따라 각 스테이션에서 자동 기판 센터링 측정이 취해지도록 자동 기판 웨이퍼 센터링을 수행하도록 한다. 다른 양상들에서, 하나 이상의 프로세스 모듈 또는 스테이션(330)을 위한, 자동 웨이퍼 센터링(AWC) 스테이션(311)과 같은 공통의 자동 웨이퍼 센터링이있다. 자동 웨이퍼 센터링의 적절한 예는, 예를 들어, 미국 특허 번호 제6990430호, 제7859685호, 제7925378호, 제7894657호, 제8125652호, 제8253948호, 제8270702호, 제8634633호 및 제8934706호 및 2014년 7월 8일에 제출된 미국 특허 출원 번호 제14/325702호 에서 찾아질 수 있고 이들의 그 개시 내용은 전체가 본원에 참고로 인용되어있다. 다른 양상들에서, 임의의 적절한 기판 정렬기는 예를 들어, 상기 기판 홀딩 스테이션 내에 배치되거나 상기 엔드 이펙터(314E)와 일체인 회전식 정렬기와 같이 상기 기판(S, ST)의 상기 편심을 결정하는데 사용될 수 있다.
상기 반복 프로세스는 상기 반도체 편심(e)이 예를 들어 자동 기판 센터링 센서 측정/신호 처리 잡음 또는, 예를 들어, 약 ± 150 ㎛와(예컨대, 편심(e)은 반복들 사이에서, 소정의 공차에 따라 실질적으로 변화 없이, 정상 상태 또는 공통 편심에 도달한다) 같은 소정의 허용 오차 내로 수렴할 때까지 반복되고 여기서 상기 결정적 스테이션 피처들(1610, 1611)과의 반복적 접촉/접촉으로부터의 상기 편심(e)은 상기 기판 홀딩 위치(Xstn, Ystn)를 식별한다.
일 양상에서, 적어도 하나의 기판(S, ST)은 예컨대 상기 프로세스 툴(1600)의 상기 부분에 또는 그 주변에 위치된 자동 기판 센터링 센서들 또는 스테이션(311)을 통해, 전술한 것과 유사한 방식으로 상기 이송 로봇(314)의 상기 엔드 이펙터(314E)상의 각각의 위치(EC)에서 실질적으로 중심에 위치된다. 일 양상에서, 상기 이송 로봇(314)은 적어도 하나의 엔드 이펙터(314E) 상에 적어도 하나의 기판(S, ST)을 운반하고 도 20의(도 21, 블록(2100)) 자동 교시 프로세스의 스테이지 1에 의해 도시된 바와 같이 상기 적어도 하나의 기판을 상기 결정적 스테이션 피처들(1610, 1611)을 향해 반복적으로 이동시킨다. 일 양상에서, 상기 기판 이송 로봇(314)은 공통 방향(1816)으로부터 상기 결정적 스테이션 피처(1610, 1611)를 향해 상기 적어도 하나의 기판(S, ST)을 이동시킨다. 일 양상에서, 상기 공통 방향(1816)은 실질적으로 직선 경로이고 반면 다른 양상에서는 상기 공통 방향(1816)은 곡선 경로이다. 각각의 반복 후에, 상기 적어도 하나의 기판(S, ST)의 상기 편심(e)은 상기 엔드 이펙터(314E)에 대해 전술한 바와 같이 측정된다(예컨대, 상기 기판의, 예를 들어, 이송 장치 좌표계에 대한 상기 편심을 확인하도록)(도 21, 블럭 2105). 일 양상에서, 상기 결정적 스테이션 피처들(1610, 1611) 중 하나 또는 그 이상과 접촉하기 전에 상기 편심이 한 반복으로부터 다음 반복에서 변하지 않았다고 결정되는 경우, 상기 기판(S, ST)은 상기 엔드 이펙터(314E)상의 이전에 중심 맞춤된 위치에서 상기 엔드 이펙터(314E) 상에 남아있을 수 있다. 다른 양상들에서, 상기 편심이 상기 결정적 스테이션 피처들(1610, 1611) 중 하나 또는 그 이상과 접촉한 후와 같은 하나의 반복에서 다음 반복으로 변했다고 결정되면, 상기 기판(S, ST)은, 전술한 바와, 같은 임의의 적절한 방식으로 상기 엔드 이펙터(314E) 상에서 재배치될 수 있으며, 이에 따라 상기 기판의 상기 중심(WC)은 각각의 반복의 시작에서 엔드 이펙터 기준 포인트(EC)(예컨대, 로봇 위치)와 실질적으로 일치하여 상기 기판이 상기 엔드 이펙터(314E)에 대해 알려진 관계에 있도록 한다.
상기 엔드 이펙터 기준점(EC) 및/또는 상기 기판(S, ST)의 상기 위치와 같은 상기 기판 이송 로봇(314)의 상기 위치는 적어도 상기 기판 이송 로봇(314)에 연결된 제어기(11091)에 의해서와 같이, 임의의 적절한 방식으로, 각각의 반복 이동마다(도 20은 상기 기판 중심(WC)의 상기 반복 위치들을 도시한다) 추적된다. 도 21의 블록들(2100, 2105)은 상기 결정적 스테이션 피처들(1610, 1611) 중 첫 번째가 자동 교시 프로세스의 1 단계에서 2 단계로의 상기 전이에서 도 20에 반영되는 편심(e)의 초기 변화에 의해 결정된 바와 같이 접촉될 때까지 반복된다(도21, 블록(2110)). 상기 기판(S, ST)이 상기 결정적 스테이션 피처들(1610, 1611) 중 하나 또는 그 이상과 접촉한 후 상기 엔드 이펙터(314E)가 계속 이동함에 따라 상기 편심(e)은 상기 엔드 이펙터(314E)와 상기 기판(S, ST) 사이에서 발생되거나 유도됨을 이해해야 한다. 상기 결정적 스테이션 피처들(1610, 1611) 중 상기 첫 번째 것은 상기 편심(e)이, 예를 들어, 약 ±150 ㎛와 같은 미리 결정된 범위 내로 또는 센서들(311S1, 311S2)과 같은, 상기 자동 기판 센터링 센서의 측정/신호 노이즈 내로 수렴할 때까지 반복적으로 접촉되고(도 21, 블록(2115)), 상기 기판(S, ST)의 상기 편심(e)은 각 반복 후에 결정된다(도 21, 블록(2120)). 한번 상기 기판(S, ST)의 상기 결정된 편심(e)이 상기 소정의 범위 내에 있으면(예컨대, 편심이 공통 편심으로 결정됨), 상기 기판은 결정적 스테이션 피처들(1610, 1611) 양자 모두와 접촉하는 것으로 결정된다(도 21, 블록(2125)). 두 개의 결정적 스테이션 피처들이 여기에 기술되었지만 다른 양상들에서 상기 기판(S, ST)과 동시에 접촉하도록 배열 된 2개 초과의 결정적 스테이션 피처들이 있을 수 있다.
도 18a 및 도 18b를 참조하면, 하나 또는 그 이상의 상기 기판(S, ST)의 상기 중심(WC) 및 상기 기판 이송 로봇(314)의 위치(EC)가 상기 공통 편심에 기초하여 상기 이송 장치 좌표계에서 결정된다(도 21, 블록(2130)). 예컨대, 상기 기판의 상기 중심 위치(WC)는 실질적으로 상기 로봇 위치(EC) 더하기 상기 편심(e)과 실질적으로 동일하다. 이와 같이, 상기 기판 이송 장치 기준점(EC)의 중심 위치(Xc, Yc)는 다음 식으로부터 결정될 수 있다:
Figure pat00003
[3]
여기서 ΔX, ΔY는 공통 편심이고 Xec, Yec는, 예를 들어, 상기 기판 홀딩 스테이션의 상기 X, Y 좌표 프레임에서 상기 엔드 이펙터 기준점(EC)의 상기 위치이다(도 18a 참조). 일 양상에서, 상기 엔드 이펙터 기준점(EC)의 상기 위치는 이전에 여기에 참고로 인용된 미국 특허 번호 제7925378호 및 제6990430호에 기술된 것과 실질적으로 유사한 방식으로 결정될 수 있다. Xc, Yc에 대응하는 상기 엔드 이펙터 기준점(EC)의 상기 위치는 교시 위치(Rstn, Θstn)(기판 홀딩 위치(Xstn, Ystn)에 대응)(도 21, 블록(2135))를 결정하기 위하여 임의의 적절한 방식으로 로봇 좌표(R, Θ)로 변환되고 상기 결정적 스테이션 피처들(1610, 1611) 및 상기 스테이션 홀딩 위치 사이에 소정의 관계가 있기 때문이다.
Figure pat00004
[4]
여기서, Rc, Θc는 상기 기판 이송 좌표계에서의 Xc, Yc에 대응하고, ΔR은 상기 이송 장치 반경 연장값들(RS2, RS1) 사이의 차이이며(예컨대, ΔR = RS2 - RS1) Θ는 상기 이송 장치 회전값들(ΘS1, ΘS2) 사이의 차이이다(예컨대 Θstn = ΘS2 - ΘS1).
상기 스테이션 홀딩 위치의 상기 자동 교시가 단일 엔드 이펙터에 대하여 위에서 설명되었지만, 위에서 기술된 자동 스테이션 홀딩 위치 교시 프로세스가 다수의 기판들 홀더들을 갖는 엔드 이펙터들에 대해 적용 가능하다는 것이 이해되어야 하고 여기서 상기 복수의 기판들 홀더들은 공통의 구동축을 공유한다. 예를 들어, 다시 도 2e를 참조하면, 각각의 엔드 이펙터(219E)는, 예를 들어, 기판들(S, ST)을 나란한 배열로 홀딩하는 두 개의 기판 홀더들을 갖는다. 상기 각각의 관절 암(219A, 219B)은, 예를 들어, 제어기(11091)에 의해 제어되어 상기 기판(S, ST)을 각각의 처리 스테이션들로 이동시켜서(이는 일 양상에서 각각 전술한 것과 유사함) 각각의 기판(S, ST)이 상기 기판 이송 장치의 적어도 하나의 공통 구동 장치와 함께, 전술한 바와 같이, 공통 방향으로 상기 각각의 결정적 스테이션 피처(1610, 1611)를 향해 반복적으로 이동되도록 한다. 상기 편심(e)은 상기 엔드 이펙터(219E)에 의해 홀딩되는 각각의 기판에 대해 추적되고 각 기판(S, ST)에 대한 스테이션 홀딩 위치의 위치는 도 21에 대해 전술한 것과 실질적으로 유사한 방식으로 결정된다.
도 22a 내지 도 22c를 참조하면 상기 프로세스 툴(1600)의 부분과 같은 상기 기판 홀딩 스테이션의 상기 수직 또는 Z 좌표는 여기에 설명된 것과 실질적으로 유사한 방식으로 결정되거나 교시될 수 있고 여기서 상기 기판 이송 로봇(314)은 상기 기판(S, ST)을 하나 또는 그 이상의 상기 결정적 스테이션 피처들(1610, 1611) 또는 기판 스테이션의, 리프트 핀들(1500-1502)과 같은, 하나 또는 그 이상의 리프트 핀과 접촉하게 이동시키도록, 예를 들어, 제어기(11091)에 의해 제어되고 그 동안 또한 상기 기판(S, ST)을 상기 Z 방향으로 이동시킨다. 이 양상에서, 상기 기판(S, ST)은 상기 기판 이송 로봇(314)의 상기 엔드 이펙터(314E) 상에 배치되어 상기 기판(S, ST)이 상기 엔드 이펙터(314E)에 대해 알려진 관계를 갖도록 한다(도 23, 블록(2300)). 일 양상에서, 예를 들어, 상기 기판 이송 로봇(314)은 결합된 방사상 R 및 Z축 이동으로 상기 기판(S, ST)을 이동시키도록 제어되어 상기 기판(ST, ST)이 하나 또는 그 이상의 결정적 스테이션 피처들(1610, 1611) 또는 하나 또는 그 이상의 리프트 핀들(1500-1502)과 접촉하도록 한다(도 23, 블록(2305)). 상기 기판 이송 로봇(314)은 상기 엔드 이펙터에 대한 상기 기판(S, ST)(이는 하나 또는 그 이상의 상기 결정적 스테이션 피처들(1610, 1611) 또는 하나 또는 그 이상의 상기 리프트 핀들(1500-1502)과 접촉)의 이동을 유도하기 위해 상기 결합된 방사상 R 및 Z 축 이동을 계속한다(도 23, 블록(2310)). 상기 기판(S, ST)은 기판 이송 로봇(314)에 의해 들어올려져, 상기 기판(S, ST)은 하나 또는 그 이상의 상기 결정적 스테이션 피처(1610, 1611)의 최상부 또는 하나 또는 그 이상의 상기 리프트 핀들(1500-1502)을 지나서 수직으로 이동하고 그 점에서 상기 엔드 이펙터가 상기 결합된 방사상 R 및 Z 방향들로 계속 이동함에 따라 상기 기판(S, ST)은 상기 엔드 이펙터에 대해 이동을 멈춘다(도 23, 블록(2315)). 상기 반경 방향 R 방향에 따른 상기 엔드 이펙터(314E)와 상기 기판들(S, ST) 사이의 상대 이동(ΔRM)(예컨대, ΔRM = RM1 - RM2)은, ΔRM이 상기 엔드 이펙터(314E)의 상기 전체 방사 운동(TRM)과 비교되어 상기 기판(S, ST)이 상기 엔드 이펙터(314E)에 대해 이동을 멈추는 곳을 결정하는 전술한 상기 자동 기판 센터링 센서들에 의한 것과 같은 임의의 적절한 방식으로 결정된다.(도23, 블록(2320)) 상기 기판 이송 로봇(314) 엔드 이펙터(314E)의 결합된 방사상 R 및 Z 방향들로의 이동은, 예를 들어, 상기 제어기(11091)에 의해 조정되어 상기 엔드 이펙터(314E)의 상기 Z 높이가 상기 엔드 이펙터(314E)의 임의의 주어진 반경 위치에 대해 알려지도록 하여 상기 하나 이상 또는 그 이상의 결정형 스테이션 피처들(1610, 1611) 또는 하나 또는 그 이상의 리프트 핀들(1500-1502)(및 이에 따라 상기 기판 홀딩 스테이션의 상기 교시 높이) 중 하나 이상의 상기 상부의 Z 높이는 상기 전체 방사 방향 운동(TRM)과 상기 상대 이동(ΔRM) 사이의 차이로부터 결정됨을 유의해야한다(도 23, 블록(2325)). 알 수 있는 바와 같이, 상기 기판 홀딩 스테이션의 상기 Z 좌표는 상기 기판 홀딩 스테이션 기준 프레임에 대해 교시된다(예를 들어, 상기 기판 홀딩 스테이션 자체의 피처의 위치 결정에 의존함). 이와 같이, 상기 기판 홀딩 스테이션의 교시된 Z 좌표의 결정은 상기 암/엔드 이펙터 구성의 내장된(built-in) 편차들과는 무관하다. 내장된 편차들의 예들은 암 낙하 또는 처짐, 엔드 이펙터 레벨, 기울기 및/또는 비틀기를 포함한다. 내장 편차가 존재하고 기판 홀딩 스테이션 X, Y 및 Z 좌표들의 교시동안 실질적으로 상수이고 실제로 상쇄됨을 주목해야한다.
도 27a-27C를 참조하면 상기 프로세스 툴(1600)의 부분과 같은 상기 기판 홀딩 스테이션의 상기 수직 또는 Z 좌표는 여기에 설명된 것과 실질적으로 유사한 방식으로 결정될 수 있고 여기서 상기 기판 이송 로봇(314)은 기판(S, ST)을 하나 또는 그 이상의 상기 결정적 스테이션 피처들 / 상기 Z 방향의 하나 또는 이상의 높이들에서 상기 기판 스테이션의 리프트 핀들(2710, 2711)(이는 실질적으로 상기 결정적 스테이션 피처들/ 리프트 핀들(1710, 1711, 1501-1502, 1610, 1611)과 실질적으로 유사할 수 있다)과 접촉하게 이동시키도록, 예를 들어, 제어기(11091)에 의해 제어된다. 이 양상에서, 상기 기판(S, ST)은 실질적으로 평평한 주변 표면(STE)을 포함하고 상기 결정적 스테이션 피처(2710, 2711)의 상기 자유 단부는 테이퍼진다(tapered)(예컨대, 서로에 대해 경사진 제1 표면(SS1) 및 제2 표면(SS2)을 포함한다). 다른 양상들에서, 상기 기판(S, ST)은 도 22a-22c에 도시된 바와 같이 윤곽이 있거나 둥근 주변 표면을 포함할 수 있다. 상기 기판(S, ST)의 상기 주변 표면(STE)은 상기 기판(S, ST)이 상기 기판상의 소정의 위치에서 상기 결정적 스테이션 피처(들)(2710, 2711)와 접촉하도록 구성된다(예컨대, 상기 실질적으로 편평한 주변 표면(STE)의 상부 또는 하부 에지 또는 상기 라운드 된 주변 표면의 접선 상). 상기 실질적으로 평평한 주변 표면(STE) 및 상기 둥근 주변 표면이 상기 결정적 스테이션 피처(2710, 2711)와 접촉하는 곳의 차이는 상기 엔드 이펙터의 상기 방사상 위치(R1, R2, R3, R4)를 결정하기 위해 상기 제어기(11091)에 있거나 사용되는 상기 알고리즘(들)에서 고려된다. 상기 제어기에 의한, 접촉 및 스테이션 위치(X, Y 및 Z)의, 등록은 전술한 것과 유사한 방식으로, 그리고 후술하는 바와 같이 상기 기판(S, ST)의 접촉-후 편심을 검출함으로써 수행된다.
이 양상에서, 상기 기판(S, ST)은 상기 기판 이송 로봇(314)의 상기 엔드 이펙터(314E) 상에 임의의 적절한 방식으로 배치되어 상기 기판(S, ST)이 상기 엔드 이펙터(314E)에 대해 알려진 관계를 갖도록 한다(도 28, 블록(2800)). 일 양상에서, 예를 들어, 상기 기판 이송 로봇(314)은 제1 Z축 높이에서 상기 기판(S, ST)을 방사상 R 이동으로 이동하도록 제어되어(예를 들어, 결정된 또는 알려진 스테이션 위치 또는 알려진 기판 위치와 같이 알려진 상기 기판(S, ST)의 위치로부터, 또는 알려진 스테이션 위치, 그리고 알려진 R 거리에 대해 시작된 경우, 또는 처음에는 알려지지 않았으면 결정되는데, 상기 기판을 소정의 결정적 스테이션 피처와 접촉시켜 2710으로 이동시켜 접촉 위치를 결정하도록 편심을 결정한다) 상기 기판(S, ST)이 하나 또는 그 이상의 상기 결정적 스테이션 피처들(2710, 2711)과 접촉하도록 한다(도 28, 블록(2810)). 상기 엔드 이펙터(314E)의 상기 반경 방향 연장부(R1) 및 높이(Z1)는, 예를 들어, 제어기(11091)에 의해 기록되어 후술하는 바와 같이 상기 기판 홀딩 스테이션 높이(Zs)를 결정을 수행하도록 한다. 상기 기판 이송 로봇(314)은 또 하나의 가변 Z축 높이들에서 반경 방향 이동(R1, R2, R3, R4)으로 상기 기판(S, ST)을 반복적으로 이동시키도록 제어되어 상기 기판(S, ST)이 하나 이상의 상기 결정적 스테이션 피처(2710, 2711)와 접촉하도록(전술한 것과 같이 결정됨) 한다(도 28, 블록(2800)). 상기 엔드 이펙터(314E)의 상기 반경 방향 연장(R2) 및 높이(Z2)는, 예를 들어, 제어기(11091)에 의해 기록되어(도 28, 2820) 후술되는 바와 같이 기판 홀딩 스테이션 높이(Zs)의 결정을 수행하도록 한다. 도 28의 블록들(2810-2820)은 반복적으로 반복되어, 하나 또는 그 이상의 상기 결정적 스테이션 피처들(2710, 2711)의 상기 측면들(SS1, SS2) 각각 상에 적어도 두 개의 지점들을 설정하도록 하여, 예를 들어, 상기 제어기(11091)가 상기 측면들(SS1, SS2)의 상기 위치 및 방향을 내삽(interpolate)하고 상기 측면들(SS1, SS2) 사이의 교차를 결정할 수 있게 한다. 일 양상에서, 상기 측면들(SS1, SS2) 사이의 교차는 상기 기판 홀딩 스테이션의 기준 프레임(또는 상기 이송 로봇(314)과 같은, 임의의 다른 적절한 기준 프레임)에 대한 상기 교차 높이(Zf)에 위치된다. 상기 교차 높이(Zf)와 상기 기판 홀딩 스테이션 교시 높이(Zs) 사이의 거리 또는 높이(L)가 알려져 있음을 유의해야한다.
도 27c에서 알 수 있는 바와 같이 도 28의 블록들(2810-2820)에서 반복적으로 얻어진 상기 Z 높이들(Z1-Z4) 및 상기 반경 방향 연장 위치들(R1-R4)은, 예를 들어, 제어기(11091)에 의해 상기 측면들(SS1, SS2) 사이의 상기 교차의 상기 교차점(Rf, Zf)의 위치를 내삽하기 위해 사용된다. 상기 기판 홀딩 스테이션의 교시 높이(Zs)는 Zs = Zf-L로부터 결정되며(도 28, 블록(2840)) 여기서 L은 전술한 바와 같이 알려진 값이다.
도 29a-29f를 참조하면, 또 하나의 양상에서, 상기 결정적 스테이션 피처들(2910, 2911)(이는 전술한 결정적 스테이션 피처들 및/또는 리프트 핀들과 실질적으로 유사할 수 있음)은 실질적으로 평평한 자유 단부를 갖는 반면 상기 기판(S, ST)의 상기 주변 표면(STE)은 서로에 대해 경사진 제1 표면(SS1') 및 제2 표면(SS1')을 포함한다. 전술한 것과 실질적으로 유사한 방식으로, 상기 기판(S, ST)은 상기 기판 이송 로봇(314)의 상기 엔드 이펙터(314E) 상에 임의의 적절한 방식으로 배치되어 상기 기판(S, ST)이 상기 엔드 이펙터(314E)에 대해 알려진 관계를 갖도록 한다(도 28, 블록(2800)). 일 양상에서, 예를 들어, 상기 기판 이송 로봇(314)은 상기 기판 홀딩 스테이션의 상기 교시 높이(Zs)를 결정하기 위해 다수의 Z 높이(Zi)에서 방사상 R 이동으로 상기 기판(S, ST)을 이동시키도록 제어된다. 예를 들어, 상기 기판 이송 로봇(314)은 제1 Z 축 높이(Z1)에서 반경 방향 이동(R1)으로 상기 기판을 이동시키도록 제어되어 상기 기판(S, ST)이 하나 또는 그 이상의 상기 결정적 스테이션 피처들(2910, 2911)과 접촉하도록 한다(도 28, 블록(2810)). 상기 엔드 이펙터(314E)의 상기 반경 방향 연장(R1) 및 높이(Z1)는, 예를 들어, 제어기(11091)에 의해 기록되어(도 28, 블록(2820)) 후술하는 바와 같이 상기 스테이션 높이(Zs)를 결정한다. 기판 이송 로봇(314)은 상기 기판(S, ST)을 또 하나의 Z 축 높이(Z2)에서 반경 방향 이동(R2)으로 이동시키도록 제어되어 상기 기판(S, ST)이 상기 하나 또는 그 이상의 결정적 스테이션 피처들(2910, 2911)과 접촉하도록 한다(도 28, 블록(2810)). 상기 엔드 이펙터(314E)의 상기 반경 방향 연장(R2) 및 높이(Z2)는, 예를 들어, 제어기(11091)에 의해 기록되어(도 28, 블록(2820)) 후술하는 바와 같이 상기 스테이션 높이(Zs)를 결정한다. 도 28의 블록들(2810-2820)은 반복적으로 반복되어 상기 기판(S, ST)의 상기 측면들(SS1 ', SS2') 각각에 적어도 두 개의 점들을 설정하여, 예를 들어, 상기 제어기(11091)가 상기 측면들(SS1 ', SS2')의 위치 및 방향을 내삽할 수 있게 하고 상기 측면들(SS1 ', SS2') 사이의 교차(Rf, Zf)를 결정한다(도 28, 블록(2830)). 일 양상에서, 상기 측면들(SS1', SS2')사이의 교차는 상기 결정적 스테이션 피처들(2910, 2911)의 상기 높이 Zf에 대응한다. 전술한 바와 같이, 상기 교차 높이(Zf)와 상기 기판 홀딩 스테이션 교시 높이(Zs) 사이의 상기 거리 또는 높이(L)가 알려져서 도 28의 블록(2840)과 관련하여 전술된 바와 같이 상기 기판 홀딩 스테이션의 상기 교시 높이(Zs)가 Zs = Zf-L로부터 결정될 수 있도록 한다.
이제 도 30을 참조하면, 전술한 결정적 스테이션 피처들 및/또는 리프트 핀들과 실질적으로 유사한 상기 하나 또는 그 이상의 결정적 스테이션 피처(3010, 3011)는 깔대기 모양의 자유 단부를 포함한다(도 27a 및 도 27b에 도시된 상기 테이퍼진 자유 단부와는 대조적으로). 이 양상에서, 상기 기판 홀딩 스테이션의 상기 교시된 높이(Zs)는 도 28과 관련하여 전술한 것과 실질적으로 유사한 방식으로 결정된다. 예를 들어, 상기 기판 이송 로봇(314)은 다양한 Z 높이들에서 상기 기판(S, ST)을 반경 방향으로 이동시켜 적어도 위치들(R1, Z1-R4, Z4)(예컨대, 상기 결정적 스테이션 피처들(3010, 3011)의 각 표면(SS1", SS2") 상의 적어도 두 개의 지점들)을 결정하도록 제어되고 상기 표면들(SS1", SS2")의 상기 교차(Rf, Zf)가 상기 제어기(11091)에 의해 임의의 적절한 방식으로 내삽되거나 계산될 수 있으며 여기서 상기 기판 스테이션이 상기 교시 높이(Zs)는 전술한 바와 같이 Zs = Zf-L로 부터 결정된다.
알 수 있는 바와 같이, 상기 기판 홀딩 스테이션의 상기 교시 높이(Zs)는 상기 기판 홀딩 스테이션의 상기 X, Y 위치가 결정/교시된 후에 결정되거나 설정될 수 있는 반면, 다른 양상들에서는 상기 기판 홀딩 스테이션의 상기 교시 높이(Zs)는 상기 기판 홀딩 스테이션의 상기 X, Y 위치를 결정하기 전에 결정될 수 있다. 예를 들어, 상기에서는 하나 또는 그 이상의 결정적 스테이션 피처(예컨대, 두 개의 결정적 스테이션 피처들과 같은)를 사용하여 교시 높이 Zs를 결정하는 것을 설명하였지만, 다른 양상들에서는 결정적 스테이션 피처(2711)(또는 임의의 다른 적합한 결정적 스테이션 피처 또는 리프트 핀)와 같은 단일의 결정적 스테이션 피처가 상기 교시 높이 Zs를 설정하는 데 사용될 수 있다. 도 31a 및 도 31b를 참조하면 상기 기판(S, ST)은 상기 기판(S, ST)이 상기 엔드 이펙터(314E)에 대해 알려진 관계를 갖도록 상기 기판 이송 로봇(314)의 상기 엔드 이펙터(314E) 상에 임의의 적절한 방식으로 배치된다(도 32, 블록 3200). 일 양상에서, 예를 들어, 상기 기판 이송 로봇(314)은 상기 기판 홀딩 스테이션의 상기 교시 높이(Zs)를 결정하기 위해 복수의 Z 높이들에서 원호(R')를 따라 상기 기판(S, ST)을 이동시키도록 제어된다(예컨대, 상기 이송 로봇의 손목 관절에 대해 엔드 이펙터를 회전시킴으로써 또는 임의의 다른 적절한 방식으로). 예를 들어, 상기 기판 이송 로봇(314)은 제1 Z 축 높이(Z1)에서 원호(R ')를 따라 상기 기판을 이동시키도록 제어되어 상기 기판(ST, ST)이 상기 결정적 스테이션 피처들 중 오직 하나와 접촉하도록 한다(도 32, 블록(3210)). 상기 엔드 이펙터(314E)의 회전 운동(R1') 및 높이(Z1)는, 예를 들어, 후술하는 바와 같이 상기 스테이션 높이(Zs)의 결정을 수행하도록 제어기(11091)에 의해 기록된다(도 32, 블록(3220)). 알 수 있는 바와 같이, 상기 회전 운동(R1') 및 높이(Z1)를 기록한 후에 상기 기판(ST)의 상기 회전 운동을 측정하기 위한 베이스 위치 또는 지점을 제공하도록 상기 기판의 상기 회전 운동이 시작된 위치(예컨대, 실제로 상기 회전 운동을 위한 홈 위치)로 복귀될 수 있다. 상기 기판 이송 로봇(314)은 또 하나의 Z 축 높이(Z2)에서 회전 운동(R2')으로 상기 기판을 이동시키도록 제어되어 상기 기판(ST, ST)이 상기 결정적 스테이션 피처 중 단 하나와 접촉하도록 한다(도 32, 블록(3210)). 상기 엔드 이펙터(314E)의 회전 운동(R2') 및 높이(Z1)는, 예를 들어, 후술하는 바와 같이 상기 스테이션 높이(Zs)의 결정을 수행하도록 제어기(11091)에 의해 기록된다(도 32, 블록(3220)).  도 32의 블록들(3210-3220)은 반복적으로 반복되어, 하나 또는 그 이상의 상기 결정적 스테이션 피처(2711)의 상기 측면들(SS1, SS2) 각각 상에 적어도 두 개의 지점들을 설정하도록 하여, 예를 들어, 상기 제어기(11091)가 도 31b에 도시된 것처럼 상기 측면들(SS1, SS2)의 상기 위치 및 방향을 내삽하도록 하고 상기 측면들(SS1, SS2) 사이의 교차를 결정할 수 있도록 한다(도 32 블록(3230)). 일 양상에서, 상기 측면들(SS1, SS2) 사이의 상기 교차는 상기 결정적 스테이션 피처(2711)의 상기 높이(Zf)에 대응한다. 전술한 바와 같이, 상기 교차 높이(Zf)와 상기 기판 홀딩 스테이션 교시 높이(Zs) 사이의 상기 거리 또는 높이(L)가 알려져서 도 28의 블록(2840)과 관련하여 전술된 바와 같이 상기 기판 홀딩 스테이션의 상기 교시 높이(Zs)가 Zs = Zf-L로부터 결정될 수 있도록 한다(도 32, 블록(3240).
일 양상에서 여기에 기술된 상기 스테이션 자동-교시 프로세스는 약 200℃ 내지 약 850℃의 기판 처리 온도에서 수행된다.  다른 양상들에서, 여기에 기술된 스테이션 자동-교시 프로세스는 약 200℃미만 또는 약 850℃초과의 온도에서 수행된다. 일 양상에서, 상기 이송 로봇(314)의 상기 엔드 이펙터(314E)상의 상기 위치(C1)의 상기 위치는 여기에 설명된 상기 스테이션 자동-교시 프로세스들에서 상기 기판(S, ST)의 상기 편심을 결정하기 위한 임의의 적절한 방식에서 열 팽창 또는 수축을 보상하도록 조정된다. 예를 들어, 임의의 적절한 기판 프로세스 모듈/스테이션에, 예를 들어, 인접하게 배치된 센서들(311S1, 311S2)과 같은, 예를 들어, 임의의 적절한 정적 검출 센서는 상기 엔드 이펙터(314E)가 상기 기판 프로세스 모듈/스테이션 안으로 및 밖으로 이동함에 따라 상기 기판(S, ST)의 에지 및/또는 상기 엔드 이펙터(314E)의 데이텀 피처들(401, 402)(도 3)을 검출할 수 있다. 상기 기판 에지들 및/또는 엔드 이펙터 데이텀 피처들의 상기 검출에 대응하는 센서들(311S1, 311S2)로부터의 신호들은, 예를 들어, 컨트롤러(11091)에 의해 수신되고 상기 제어기(11091)는 상기 엔드 이펙터(314E)상의 그 개시 내용은 전체로서 본 명세서에 참고되어 포함된 2015년 7월 13일자로 출원된 "ON THE FLY AUTOMATIC WAFER CENTERING METHOD AND APPARATUS"라는 명칭의 대리인 문서 번호 390P015253-US(-#)의 미국 가출원 제62/191,863호에 개시된 것과 실질적으로 유사한 방식으로 상기 이송 로봇(314)의 열 팽창 및/또는 수축을 보상하기 위해 상기 센서 신호들에 기초하여 상기 위치(C1)의 상기 위치를 조정하도록 상기 이송 로봇(314)을 제어한다.
일 양상에서, 도 24a, 24b 및 도 25를 참조하면, 기판 홀딩 위치(Xstn, Ystn)는 전술한 상기 접촉 결정적 스테이션 피처들(1610, 1611) 보다는 정적 또는 고정 센서들(2410, 2411)로 교시된다. 이 양상에서, 상기 각 센서(2410, 2411)의 위치는 상기 기판 홀딩 위치(Xstn, Ystn)와 소정의 공간적 관계를 갖는다. 상기 기판(S, ST)의 중심은 다음 방정식을 사용하여 상기 센서들(2410, 2411)로 발견될 수 있다:
Figure pat00005
[5]
Figure pat00006
[6]
Figure pat00007
[7]
Figure pat00008
[8]
Figure pat00009
[9]
전술한 것과 실질적으로 유사한 방식으로, 하나 또는 그 이상의 상기 기판의(S, ST)의 상기 중심(WC) 및 상기 기판 이송 로봇(314)의 상기 위치(EC)가 상기 이송 장치 좌표계에서 결정된다. 일 양상에서, 상기 기판(S, ST)은 상기 엔드 이펙터 상에 센터링되어, 상기 기판 중심(WC)과 상기 엔드 이펙터 중심(EC) 사이에 실질적으로 0인 편심이 있게 된다. 이 양상에서, 상기 기판(S, ST)은 상기 엔드 이펙터에 의해 상기 결정적 스테이션 피처들을 향해 이동되는데, 이는 이 양상에서 센서들(2410, 2411)이다(도 26, 블록 2600). 상기 기판(S, ST)은 센서들로 감지되고(도 26, 블록(2610)), 상기 기판 중심(WC) 및 상기 기판 이송 장치의 상기 위치 중 하나 또는 그 이상의 결정이 결정된다(도 26, 블록(2620)). 알 수 있는 바와 같이, 상기 기판 홀딩 위치(Xstn, Ystn)에 대한 상기 센서(2410, 2411)의 위치가 알려져 있기 때문에 그리고 상기 기판 중심(WC)이 상기 엔드 이펙터 중심(EC)과 실질적으로 일치하기 때문에 상기 기판 홀딩 스테이션의 상기 위치는 상기 엔드 이펙터 중심(EC)에 대하여도 또한 알려져 있으며 및 상기 기판 이송 장치에 교시되는데 여기서 상기 기판(S, ST)을 감지하는 것은 상기 기판 홀딩 위치(Xstn, Ystn)에 대한 상기 엔드 이펙터 중심(EC)(즉, 상기 기판 이송 장치의 상기 위치)의 등록을 수행한다.
다른 양상에서, 상기 기판(S, ST)과 상기 엔드 이펙터 중심(EC) 사이에는 편심(e)이 있을 수 있다. 예를 들어, 도 18a, 18b 및 21과 관련하여 전술한 바와 같이, 상기 기판(WC)의 상기 중심 위치는 상기 로봇 위치(EC)에 상기 편심(e)을 더한 것과 실질적으로 동일하다. 편심(e)과 엔드 이펙터(EC)의 중심을 찾기 위해, 상기 각 관절 암(219A, 219B)은, 예를 들어, 제어기(11091)에 의해 제어되어 상기 기판(S, ST)이 상기 각 센서들(2410, 2411)을 향하여 공통 방향으로, 전술한 바와 같이, 상기 기판 이송 장치의 적어도 하나의 공통 구동부와 함께 반복적으로 이동하도록 상기 기판(S, ST)을 각 그들의 처리 스테이션들(일 양상에서 각각 전술한 것과 유사함)로 이동시킨다. 상기 편심(e)은 상기 엔드 이펙터(219E)에 의해 홀딩되는 각각의 기판에 대해 추적되고, 각 기판(S, ST)에 대한 상기 스테이션 홀딩 위치의 위치는 도 21와 관련하여 전술한 것과 실질적으로 유사한 방식으로 결정되나, 상기 접촉 결정적 스테이션 피처들(1610, 1611)은 상기 비접촉 결정적 스테이션 피처들(2410, 2411)로 대체된다. 예를 들어, 편심량은 전술한 바와 같이 소정의 허용치 내에 있고, 상기 센서들(2410, 2411)이 실질적으로 동시에 상기 기판(S, ST)을 감지하는 것으로 결정될 때 상기 기판(S, ST)과 상기 엔드 이펙터 중심(EC) 사이에 실질적으로 제로 편심이 있다(도 26, 블록(2640)).
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 스테이션 위치를 자동-교시하기 위한 기판 이송 장치 자동-교시 시스템이 제공된다. 상기 시스템은 프레임; 상기 프레임에 연결되고, 기판을 지지하도록 구성된 엔드 이펙터를 갖는 기판 이송부; 및 상기 기판 이송부가 상기 엔드 이펙터 상에 지지된 상기 기판을 기판 스테이션 피처에 대해 편향시켜 상기 기판과 상기 엔드 이펙터 사이의 편심의 변화를 야기하도록 상기 기판 이송부를 이동시키도록 구성된 제어기를 포함하되, 상기 제어기는 상기 편심의 변화를 결정하고, 적어도 상기 기판과 상기 엔드 이펙터 사이의 상기 편심의 변화에 기초하여 상기 기판 스테이션 위치를 결정할 수 있다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 스테이션 위치는 상기 기판 스테이션의 Z 위치이다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 시스템은 상기 프레임에 연결된 기판 위치 결정 유닛을 더 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 위치 결정 유닛은 상기 프레임에 연결된 자동 기판 센터링(AWC) 유닛을 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 스테이션 피처는 그 안에 진공 압력 환경을 갖는 프로세스 모듈 내부에 배치된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 진공 압력 환경은 고 진공이다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 이송부는 상기 진공 압력 환경에서 상기 기판 스테이션 피처에 대해 상기 엔드 이펙터 상에 지지된 상기 기판을 편향한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 스테이션 피처는 기판들을 처리하기 위한 처리 보안 상태에 있는 프로세스 모듈 내에 위치한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 상기 기판 이송부를 이동시키고 상기 기판을 편향하기 위한 내장된 집어 들기/내려놓기 명령들을 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 상기 기판 편심을 결정하기 위한 내장된 기판 위치 결정 명령들을 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 프로세스 툴은 프레임; 상기 프레임에 연결되고 기판을 지지하도록 구성된 엔드 이펙터를 갖는 기판 이송부; 및 기판 스테이션 위치를 자동-교시하기 위한 기판 이송 장치 자동-교시 시스템을 포함하되, 상기 자동-교시 시스템은 상기 기판 이송부가 상기 엔드 이펙터 상에 지지된 상기 기판을 탭핑해 상기 기판과 상기 엔드 이펙터 사이의 편심의 변화를 야기하도록 상기 기판 이송부를 이동시키도록 구성된 제어기를 포함하고, 상기 제어기는 상기 편심의 변화를 결정하고, 적어도 상기 기판과 상기 엔드 이펙터 사이의 상기 편심의 변화에 기초하여 상기 기판 스테이션 위치를 결정할 수 있다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 프로세스 툴 프레임에 연결된 기판 위치 결정 유닛을 더 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 위치 결정 유닛은 상기 프레임에 연결된 자동 웨이퍼 센터(AWC) 유닛을 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 스테이션 피처는 내부에 진공 압력 환경을 갖는 프로세스 모듈 내에 위치된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 진공 압력 환경은 고 진공이다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 이송부는 상기 진공 압력 환경에서 기판 스테이션 피처에 대해 상기 엔드 이펙터 상에 지지된 상기 기판을 편향시킨다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 스테이션 피처는 기판들을 처리하기 위한 처리 보안 상태에 있는 프로세스 모듈 내에 위치한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 상기 기판 이송부를 이동시키고 상기 기판을 편향하기 위한 내장된 집어 들기/내려놓기 명령들을 포함한다.
개시된 실시 예의 하나 이상의 양상에 따르면, 상기 제어기는 상기 기판 편심을 결정하기 위한 내장된 기판 위치 결정 명령들을 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판은 대표 교시 또는 더미 웨이퍼이다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 이송 장치는 프레임; 상기 프레임에 이동 가능하게 연결되고 기판을 지지하도록 구성된 엔드 이펙터를 갖는 기판 이송 장치; 상기 프레임에 연결되고, 상기 기판 스테이션의 기판 고정 위치와의 소정의 공간적 관계를 갖는 적어도 제1 스테이션 피처를 갖는 기판 스테이션; 및 상기 기판 스테이션의 기판 스테이션 위치를 자동 교시하기 위한 자동-교시 시스템을 포함하고, 상기 자동-교시 시스템은 상기 기판 이송 장치에 작동 가능하게 연결된 제어 시스템을 포함하고, 상기 제어기 내에 내장된 집어 들기/내려놓기 명령들 중 적어도 하나의 내장된 집어 들기/내려놓기 명령으로 기판 홀딩 위치를 결정할 수 있도록 구성되되, 상기 기판 이송 장치의, 상기 적어도 하나의 내장된 집어 들기/내려놓기 명령으로부터, 상기 명령된 이송부는 상기 엔드 이펙터의 이동을 수행하여 상기 엔드 이펙터 상에 지지된 기판이 상기 제1 스테이션 피처를 탭핑하도록하여 상기 적어도 제1 스테이션 피처와의 접촉을 통해 상기 기판과 상기 엔드 이펙터 사이의 편심을 유발하고, 상기 편심의 크기를 결정하며, 및 상기 편심 및 상기 소정의 공간적 관계에 기초하여 상기 기판 홀딩 위치의 위치를 결정한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 적어도 제1 기판 스테이션 피처는 내부에 진공 압력 환경을 갖는 프로세스 모듈 내에 위치된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 진공 압력 환경은 고 진공이다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 이송부는 상기 진공 압력 환경 내의 상기 적어도 제1 기판 스테이션 피처에 대해 상기 엔드 이펙터 상에 지지된 상기 기판을 탭핑한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 적어도 제1 기판 스테이션 피처는 기판들을 처리하기 위한 처리 보안 상태에 있는 프로세스 모듈 내에 위치한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 내장된 집어 들기/내려놓기 명령들은 상기 기판 이송부를 이동시키고 상기 기판을 상기 적어도 제1 스테이션 피처에 대항하여 탭핑한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 상기 편심을 결정하기 위한 내장된 기판 위치 결정 명령들을 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 스테이션은 상기 기판 스테이션의 기판 홀딩 위치와의 소정의 공간적 관계를 갖는 제2 스테이션 피처를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 스테이션 위치를 자동 교시하는 방법은 기판 이송부를 제공하고 기판 이송부의 엔드 이펙터 상에 기판을 지지하는 단계; 상기 기판 이송부가 상기 엔드 이펙터 상에 지지된 상기 기판이 기판 스테이션 피처에 대항하여(against) 편향하도록 상기 기판 이송부를, 제어기와 함께, 이동시킴으로써 상기 기판과 상기 엔드 이펙터 사이의 편심 변화를 야기하는 단계; 상기 편심의 변화를, 상기 제어기로, 결정하는 단계; 및 적어도 상기 기판과 상기 엔드 이펙터 사이의 상기 편심의 변화에 기초하여 상기 기판 스테이션의 위치를, 상기 제어기로, 결정하는 단계를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 스테이션 피처는 그 내부에 진공 압력 환경을 갖는 프로세스 모듈 내에 위치된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 진공 압력 환경은 고 진공이다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 이송 장치는 상기 진공 압력 환경에서 상기 기판 스테이션 피처에 대항하여 상기 엔드 이펙터 상에 지지된 상기 기판을 편향한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 방법은 기판 이pick/place) 명령들로 상기 기판을 편향하는 단계를 더 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 방법은 제어기의 내장된 기판 위치 결정 명령들로 상기 편심을 결정하는 단계를 더 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 방법은 기판을 지지하도록 구성된 엔드 이펙터를 갖는 기판 이송 장치를 제공하는 단계; 기판 스테이션을 제공하는 단계, 상기 기판스테이션은 상기 기판 스테이션의 기판 홀딩 위치와의 소정의 공간적 관계를 갖는 적어도 제1 스테이션 피처를 갖고; 및 제어기 내에 내장된 집어 들기/내려놓기 명령들 중 적어도 하나의 내장된 집어 들기/내려놓기 명령으로부터 상기 기판 홀딩 위치를 결정함으로써 상기 기판 스테이션의 기판 스테이션 위치를 자동으로 교시하는 단계를 포함하되, 상기 기판 이송 장치의, 상기 적어도 하나의 내장된 집어 들기/내려놓기 명령으로부터, 상기 명령된 이송부는 상기 엔드 이펙터 상에 지지된 기판이 상기 적어도 제1 스테이션 피처와의 접촉을 통해 상기 기판과 상기 엔드 이펙터 사이의 편심을 유발하는 상기 제1 스테이션 피처를 탭핑하도록 상기 엔드 이펙터의 이동을 수행하고, 상기 편심의 크기를, 상기 제어기로, 결정하며, 및 상기 편심 및 상기 소정의 공간적 관계에 기초하여 상기 기판 홀딩 위치의 위치를, 상기 제어기로, 결정한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 적어도 제1 스테이션 피처는 내부에 진공 압력 환경을 갖는 프로세스 모듈 내에 위치된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 진공 압력 환경은 고 진공이다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 이송부는 상기 진공 압력 환경에서 상기 적어도 제1 스테이션 피처에 대항하여 상기 엔드 이펙터 상에 지지된 기판을 탭핑한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 방법은 상기 적어도 상기 기판 이송부를 이동시키는 단계 및 상기 내장된 집어 들기/내려놓기 명령들을 사용하여 상기 기판을 제1 스테이션 피처에 대항하여 탭핑하는 단계를 더 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 방법은 상기 제어기의 내장된 기판 위치 결정 명령들로 상기 편심을 결정하는 단계를 더 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 방법은 상기 기판 스테이션의 상기 기판 홀딩 위치와의 소정의 공간적 관계를 갖는 제2 스테이션 피처를 상기 기판 스테이션에 제공하는 단계를 더 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 스테이션 위치의 인 시튜 자동 -교시를 위한 방법은:
기판 홀딩 스테이션 상에 결정적 스테이션 피처를 제공하는 단계로서, 상기 결정적 스테이션 피처들은 상기 결정적 스테이션 피처들과 접촉하는 기판의 소정의 위치를 결정적으로 정의하되, 상기 소정의 위치는 상기 결정적 스테이션 피처들과 소정의 관계를 갖고 상기 결정적 스테이션 피처들은 상기 기판 홀딩 스테이션을 식별하상기 결정적 스테이션 피처들을 상기 기판 홀딩 스테이션 상에 제공하는 단계;
상기 기판과 적어도 하나의 결정적 스테이션 피처 사이의 접촉을 통해, 상기 기판의 공통 편심을, 결정하는 단계; 및
상기 공통 편심에 기초하여 상기 기판 홀딩 스테이션의 교시 위치를 결정하는 단계를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 홀딩 스테이션의 상기 교시 위치를 결정하는 단계는:
상기 적어도 하나의 결정적 스테이션 피처를 상기 기판과 접촉시키고 상기 기판의 편심을 결정함으로써 이송 장치 좌표계에서 상기 스테이션 피처들의 위치를 설정하는 단계를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 홀딩 스테이션의 상기 교시 위치를 결정하는 단계는:
상기 편심의 변화가 상기 공통 편심으로 결정될 때까지 상기 이송 장치 좌표계에 대한 상기 기판의 상기 편심을 확인하기 위해 상기 기판과 상기 적어도 하나의 결정적 스테이션 피처를 반복적으로 접촉시키는 단계를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 홀딩 스테이션의 상기 교시 위치를 결정하는 단계는:
상기 공통 편심에 기초하여 상기 기판의 상기 소정의 위치 및 상기 기판을 홀딩하는 이송 장치 엔드 이펙터의 중심 위치를 결정하는 단계를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 홀딩 스테이션의 상기 교시 위치를 결정하는 단계는:
상기 기판 홀딩 스테이션에 대한 상기 기판의 상기 소정의 위치와 이송 장치 엔드 이펙터의 중심 위치에 대한 상기 기판 홀딩 스테이션의, 이송 장치 좌표계에서의, 상기 교시 위치를 결정하는 단계를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판과 상기 적어도 하나의 스테이션 피처 사이의 접촉은 공통 방향으로부터이다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 홀딩 스테이션의 상기 교시 위치는 상기 기판 홀딩 스테이션에 인 시튜로 결정된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판과 상기 적어도 하나의 결정적 스테이션 피처 사이의 상기 접촉은 반복적인 접촉이고 상기 기판의 편심은 각각의 반복에 대해 결정된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판은 각각의 반복에 대한 상기 편심에 기초하여 상기 기판을 홀딩하는 기판 이송부에 대해 재배치된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 공통 편심은 상기 공통 편심을 결정하기 위해 상기 기판을 검출하도록 구성된 웨이퍼 센서의 신호 노이즈 내의 편심이다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 방법은 기판 이송 엔드 이펙터의 중심 위치를 제어기로 결정하여, 상기 중심 위치에 대한 상기 공통 편심의 결정을 수행하도록 하는 단계를 더 포함하되, 상기 제어기는 상기 이송 장치 에 대한 열 영향들을 보상하기 위해 상기 중심 위치의 위치를 조정한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 홀딩 위치를 자동-교시하기 위한 기판 이송 장치 자동 교시 시스템은,
프레임;
상기 프레임에 연결되고 결정적 스테이션 피처들을 갖는 기판 홀딩 스테이션으로서, 상기 결정적 스테이션 피처들은 상기 결정적 스테이션 피처들과 접촉하는 기판의 소정의 위치를 결정적으로 정의하고, 상기 소정의 위치는 상기 결정적 스테이션 피처들과 소정의 관계를 갖고 상기 결정적 스테이션 피처들은 상기 기판 홀딩 스테이션을 식별하고;
상기 프레임에 연결되고 상기 기판을 이동시키도록 구성된 기판 이송부; 및
제어기를 포함하되 상기 제어기는
상기 기판 및 적어도 하나의 결정적 스테이션 피처 사이의 접촉을 통해 상기 기판의 공통 편심을 결정하고; 그리고
상기 공통 편심에 기초하여 기판 홀딩 스테이션의 교시 위치를 결정하도록 구성된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 또한:
상기 기판이 상기 적어도 하나의 스테이션 피처와 접촉하고 상기 기판의 편심을 결정하도록 상기 기판 이송 장치를 제어함으로써 상기 기판 이송 장치의 좌표계에서 상기 스테이션 피처들의 위치를 설정하도록 구성된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 또한:
상기 편심의 변화가 상기 공통 편심으로 결정될 때까지 상기 이송 장치 좌표계에 대한 상기 기판의 상기 편심을 확인하기 위해 상기 적어도 하나의 결정적 스테이션 피처와 상기 기판 사이의 반복적 접촉을 수행하도록 구성된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 또한,
상기 공통 편심에 기초하여 상기 기판의 상기 소정의 위치 및 상기 이송 장치의 중심 위치를 결정한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 또한,
상기 이송 장치의 상기 중심 위치 및 상기 기판 홀딩 스테이션에 대한 상기 기판의 상기 소정의 위치로부터, 상기 좌표계에서, 상기 기판 홀딩 스테이션의 상기 교시 위치를 결정한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 공통 방향으로부터 상기 기판과 적어도 하나의 스테이션 피처 사이의 접촉을 수행하도록 구성된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 홀딩 스테이션의 상기 교시 위치는 상기 기판 홀딩 스테이션에 대해 인 시튜로 결정된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 각각의 반복적 접촉에 대해 상기 기판의 편심 결정을 수행하도록 구성된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 각 반복적 접촉에 대한 상기 편심 결정에 기초하여 기판 이송부에 대한 상기 기판의 재위치를 수행하도록 구성된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 공통 편심은 상기 공통 편심을 결정하기 위해 상기 기판을 검출하도록 구성된 웨이퍼 센서의 신호 잡음 내의 편심이다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판 이송 부는 중심 위치를 갖는 엔드 이펙터를 포함하고, 상기 엔드 이펙터는 기판을 홀딩하도록 구성되며, 및
상기 제어기는 또한 상기 중심 위치에 대한 공통 편심을 결정하도록 상기 중심 위치를 결정하도록 구성되되, 상기 제어기는 상기 이송 장치에 대한 열 효과들을 보상하기 위하여 상기 중심 위치의 위치를 조정하도록 구성된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 홀딩 위치를 자동-교시하기 위한 기판 이송 장치 자동-교시 시스템은,
프레임;
상기 프레임에 연결되고 결정적 스테이션 피처들을 갖는 스테이션 고정부로서, 상기 결정적 스테이션 피처들은 상기 결정적 스테이션 피처들과 접촉하는 기판의 소정의 위치를 결정적으로 정의하되, 상기 소정의 위치는 상기 기판 홀딩 스테이션과 소정의 관계를 갖고 상기 결정적 스테이션 피처들은 상기 기판 홀딩 스테이션을 식별하는 상기 스테이션 고정부
상기 교시 기판을 홀딩하도록 구성된 기판 이송부; 및
상기 교시 기판이 공통 방향으로 상기 스테이션 피처들에 대해 상대적으로 이동하도록 상기 기판 이송부를 이동시키도록 구성된 제어기를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 상기 기판 홀딩 위치 및 상기 기판의 소정의 위치를 결정하도록 구성되며, 상기 기판 홀딩 위치 및 상기 기판의 상기 위치는 상기 기판과 상기 결정적 스테이션 피처들 사이의 접촉에 의해 영향을 받는다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 홀딩 위치를 자동-교시하기 위한 기판 이송 장치 자동-교시 시스템은,
프레임;
상기 프레임에 연결되고 결정적 스테이션 피처들을 갖는 스테이션 고정부들을 포함하되 상기 결정적 스테이션 피처들은 상기 결정적 스테이션 피처들과 접촉하는 교시 기판의 소정의 위치를 결정적으로 정의하고;
교시 기판을 포함하되, 상기 교시기판은 상기 결정적 스테이션 피처들과의 접촉이 상기 교시 기판을 상기 기판 홀딩 위치와 소정의 위치에 상기 기판 홀딩 위치와 소정의 관계로 위치시키고 상기 기판 홀딩 위치를 식별하도록 구성되고; 및
상기 교시 기판을 유지하도록 구성된 기판 이송부; 및
상기 교시 기판이 공통 방향으로 상기 스테이션 피처에 대해 상대적으로 이동하도록 상기 기판 이송부를 이동시키도록 구성된 제어기를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 상기 기판 홀딩 위치 및 상기 기판의 소정의 위치를 결정하도록 구성되며, 상기 기판 홀딩 위치 및 상기 기판의 상기 위치는 상기 기판과 상기 결정적 스테이션 피처들 사이의 접촉에 의해 영향을 받는다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 홀딩 위치를 자동-교시하기 위한 기판 이송 장치 자동-교시 시스템은,
프레임;
상기 프레임에 연결된 기판 홀딩 스테이션으로서, 상기 기판 홀딩 스테이션은 결정적 스테이션 피처들을 가지며 상기 결정적 스테이션 피처들은 상기 결정적 스테이션 피처들과 접촉하는 상기 기판의 소정의 위치를 결정적으로 정의하되, 상기 소정의 위치는 상기 기판 고정부의 상기 기판 홀딩 위치와 소정의 관계를 가지며 상기 기판 고정부의 상기 기판 홀딩 위치를 식별하고;
상기 프레임에 연결되어 상기 기판을 홀딩하도록 구성된 기판 이송부; 및
제어기를 포함하되 상기 제어기는
상기 기판의 이동을 수행하여, 상기 이송 장치와 함께, 상기 기판이 상기 결정적 스테이션 피처들 중 적어도 하나와 접촉하고; 및
상기 기판 이송 장치에 대한 상기 기판의 공통 편심을 결정하고; 및
상기 공통 편심에 기초하여 상기 기판 홀딩 스테이션의 위치를 결정하도록 개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 홀딩 위치를 자동-교시하기 위한 기판 이송 장치 자동-교시 시스템은,
프레임;
상기 프레임에 연결되고 결정적 스테이션 피처들을 갖는 스테이션 고정장치를 포함하되 상기 결정적 스테이션 피처들은 상기 결정적 스테이션 피처들과 접촉한 교시 기판의 소정의 위치들을 결정적으로 정의하고;
상기 결정적 스테이션 피처와의 접촉이 상기 교시 기판을 상기 소정 위치에 소정의 관계로 위치시키고 상기 기판 홀딩 위치를 식별하도록 구성된 교시 기판; 및
상기 교시 기판을 홀딩하도록 구성된 기판 이송부; 및
상기 교시 기판이 상기 스테이션 피처들을 향해 공통방향에서 이동하도록 상기 기판 이송부를 이동시키도록 구성된 제어기를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 상기 기판 홀딩 위치 및 상기 기판의 소정의 위치를 결정하도록 구성되며, 상기 기판 홀딩 위치 및 상기 기판의 상기 위치는 상기 기판과 상기 결정적 스테이션 피처들 사이의 접촉에 의해 영향을 받는다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 스테이션 위치를 자동-교시하기 위한 기판 이송 장치 자동-교시 시스템은,
프레임;
상기 프레임에 연결된 기판 이송부, 상기 기판 이송부는 기판을 지지하도록 구성된 엔드 이펙터를 갖고;
기판 홀딩 스테이션은 상기 프레임에 연결되고, 상기 기판 홀딩 스테이션은 결정적 스테이션 피처들을 갖고; 및
제어기를 포함하되 상기 제어기는
상기 기판이 상기 기판과 상기 엔드 이펙터 사이의 편심의 변화를 야기하는 상기 결정적 스테이션 피처들과 접촉하도록 기판 이송을 이동시키고,
상기 편심의 변화를 결정하고; 및
상기 편심이 상기 기판 스테이션 위치를 식별하고 적어도 상기 편심의 변화에 기초하여 상기 기판 스테이션 위치를 결정하도록 구성된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 스테이션 위치의 인 시튜 자동-교시를 위한 방법은:
결정적(deterministic) 스테이션 피처(feature)들을 제공하는 단계로서, 상기 결정적 스테이션 피처들(feature)은 상기 결정적 스테이션 피처와 접촉하는 기판의 소정의 위치를 결정적으로(deterministically) 정의하고, 상기 소정의 위치는 상기 결정적 스테이션 피처들과 소정의 관계를 갖고 상기 결정적 스테이션 피처들은 기판 홀딩 스테이션을 식별하는, 상기 결정적 스테이션 피처들을 상기 기판 홀딩 스테이션 상에 제공하는 단계;
상기 기판과 적어도 하나의 결정적 스테이션 피처들 사이의 상호 작용을 통해 상기 기판의 공통 편심을 결정하는 단계;및
상기 공통 편심에 기초하여 상기 기판 홀딩 스테이션의 교시 위치를 결정하는 단계를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 홀딩 스테이션의 교시 위치를 결정하는 단계는,
상기 적어도 하나의 결정적 스테이션 피처를 상기 기판과 접촉시키고 상기 기판의 편심을 결정함으로써 상기 이송 장치 좌표계에서 상기 스테이션 피처들의 위치를 설정하는 단계를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 홀딩 스테이션의 교시 위치를 결정하는 단계는,
상기 편심의 변화가 상기 공통 편심으로 변형될 때까지 상기 이송 장치 좌표계에 대한 상기 기판의 편심을 확인하도록 상기 적어도 하나의 결정적 스테이션 피처를 상기 기판과 반복적으로 접촉시키는 단계를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 홀딩 스테이션의 교시 위치를 결정하는 단계는,
상기 편심의 변화가 상기 공통 편심으로 변형될 때까지 상기 이송 장치 좌표계에 대한 상기 기판의 편심을 확인하도록 적어도 하나의 결정적 스테이션 피처들을 지나서 상기 기판을 반복적으로 통과시키는 단계를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판을 감지하는 것은 이송 장치 엔드 이펙터의 중심 위치의 등록을 수행하여, 상기 기판을, 상기 기판 홀딩 위치에 대해 홀딩한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 홀딩 위치를 자동-교시하기 위한 기판 이송 장치 자동-교시 시스템은,
프레임;
상기 프레임에 연결되고 결정적 스테이션 피처들을 갖는 기판 홀딩 스테이션으로서, 상기 결정적 스테이션 피처들은 상기 결정적 스테이션 피처들과 인터페이스하는 기판의 소정의 위치를 결정적으로 정의하되, 상기 소정의 위치는 상기 기판 홀딩 스테이션과 소정의 관계를 갖고 상기 결정적 스테이션 피처들은 상기 기판 홀딩 스테이션을 식별하는 기판 홀딩 스테이션;
상기 프레임에 연결되고 상기 기판을 이동시키도록 구성된 기판 이송부; 및
상기 기판과 적어도 하나의 결정적 스테이션 피처간의 상호작용을 통해, 상기 기판의 공통 편심을, 결정하고; 및
상기 공통 편심에 기초하여 상기 기판 홀딩 스테이션의 교시 위치를 결정하도록 구성된 제어기를 포함한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 또한 상기 적어도 하나의 결정적 스테이션 피처와 상기 기판 사이의 접촉을 수행하고 상기 기판의 편심을 결정함으로써 이송 장치 좌표계에서 상기 스테이션 피처들의 위편심의 변화가 공통 편심으로 변형될 때까지 상기 이송장치 좌표계에 대한 상기 기판의 상기 편심을 확인하기 위해 상기 적어도 하나의 결정적 스테이션 피처와 상기 기판 사이의 반복적 접촉을 수행하고 하도록 구성된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 제어기는 또한 상기 편심의 변화가 상기 공통 편심으로 변형될 때까지 상기 이송 장치 좌표계에 대한 상기 기판의 편심을 확인하도록 적어도 하나의 결정적 스테이션 피처들을 지나서 상기 기판을 반복적으로 통과시키는 것을 수행(effect)하도록 구성된다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 상기 기판을 감지하는 것은 이송 장치 엔드 이펙터의 중심 위치의 등록을 수행(effect)하여, 상기 기판을, 상기 기판 홀딩 위치에 대해 홀딩한다.
개시된 실시예의 하나 또는 그 이상의 양상들에 따르면 기판 홀딩 위치를 자동-교시하기 위한 기판 이송 장치 자동-교시 시스템은,
기판 홀딩 고정부; 및
교시 기판으로서, 상기 기판 홀딩 고정장치 및 상기 교시 기판은 범프(bump) 터치에 의해 영향받는 기판 홀딩 고정부 Z 교시 위치에 대하여 결정적인 구성을 조합으로 갖는, 상기 교시 기판을 포함하고,
상기 홀딩 고정장치 및 상기 교시 기판의 상기 구성은
상기 기판 홀딩 고정부 및 교시 기판 사이의 접촉면을 갖는 적어도 하나의 피처를 정의하되, 상기 적어도 하나의 피처는 기판 이송부의 Z 방향 및 방사 연장 방향 모두에 대해 소정의 편차를 갖고, 및
상기 교시 기판 및 상기 접촉면 사이의 접촉을 통해, 기판 홀딩 고정부의 결정을 결정한다.
전술한 설명은 오직 개시된 실시 형태의 양상들을 설명하기 위한 것임이 이해되어야 한다. 개시된 실시 형태의 양상들로부터 벗어남이 없이 다양한 대안들 및 수정들이 당업자에 의해 고안될 수 있다. 따라서, 개시된 실시 예의 양상들은 첨부된 청구 범위의 범주 내에 속하는 그러한 모든 대안, 변형 및 변경을 포함하고자 한다. 따라서, 개시된 실시 형태의 양상들에 따르면, 상기 단락들에 설명된 임의의 하나 또는 그 이상의 특징들은 상기 단락에 기재된 임의의 다른 발명의 특징과 유리하게 결합될 수 있다. 또한, 상이한 특징들이 서로 다른 종속 및 독립된 청구항들에 인용된다는 단순한 사실은 이들 특징들의 조합이 유리하게 사용될 수 없음을 나타내지는 않으며, 이러한 조합은 본 발명의 양상들의 범위 내에 있다.

Claims (20)

  1. 프레임;
    상기 프레임에 연결되고 기판을 지지하도록 구성된 엔드 이펙터를 가지고, 기판 이송부; 및
    제어기를 포함하는 기판 스테이션 위치를 자동-교시하기 위한 기판 이송 장치 자동-교시 시스템;
    을 포함하고
    상기 제어기는 편심의 변화가 공통 편심으로 변형(resolve)될 때까지, 상기 기판 이송부의 좌표계에 대한 상기 기판의 편심을 확인하기 위해 상기 엔드 이펙터 상에 상기 기판과 기판 스테이션 피처(feature)가 반복적으로 접촉하도록 상기 기판 이송부를 이동시키고, 상기 공통 편심에 기초하여 상기 기판 스테이션 위치를 결정하도록 구성된
    프로세서 툴.
  2. 제1 항에 있어서,
    상기 기판 스테이션 위치는 상기 기판 스테이션의 Z 위치인
    프로세서 툴.
  3. 제1 항에 있어서,
    상기 프레임에 연결되는 자동 기판 센터링(AWC) 유닛을 포함하고, 상기 프레임에 연결되는 기판 위치 결정 유닛
    을 더 포함하는 프로세서 툴.
  4. 제1 항에 있어서,
    상기 기판 스테이션 피처는 그 안에 진공 압력 환경을 갖는 프로세스 모듈 내부에 위치하는
    프로세서 툴.
  5. 제4 항에 있어서,
    상기 진공 압력 환경은 고 진공인
    프로세서 툴.
  6. 제4 항에 있어서,
    상기 기판 이송부는 상기 진공 압력 환경에서 상기 기판 스테이션 피처에 대해 상기 엔드 이펙터 상에 지지된 상기 기판을 편향하는
    프로세서 툴.
  7. 제1 항에 있어서,
    상기 기판 스테이션 피처는 기판들을 처리하기 위한 처리 보안 상태에 있는 프로세서 모듈 내에 위치하는
    프로세서 툴.
  8. 제1 항에 있어서,
    상기 제어기는 상기 기판 이송부를 이동시키고 상기 기판을 편향하기 위해 내장된 집어 들기/내려놓기 명령들을 포함하는
    프로세서 툴.
  9. 제1 항에 있어서,
    상기 제어기는 상기 편심을 결정하기 위해 내장된 기판 위치 결정 명령들을 포함하는
    프로세서 툴.
  10. 제1 항에 있어서,
    상기 기판은 대표적인(representative) 교시 또는 더미 웨이퍼인
    프로세서 툴.
  11. 프로세서 툴의 프레임을 제공하는 단계;
    기판을 지지하도록 구성된 엔드 이펙터를 포함하고, 상기 프레임에 연결되는 기판 이송부를 제공하는 단계;
    기판 스테이션 위치의 자동-교시를 위한 기판 이송 장치 자동-교시 시스템을 제공하는 단계;
    제어기로, 편심의 변화가 공통 편심으로 변형될 때까지, 상기 기판 이송부의 좌표계에 대한 상기 기판의 편심을 확인하기 위해 상기 엔드 이펙터 상에 상기 기판과 기판 스테이션 피처가 반복적으로 접촉하도록 상기 기판 이송부를 이동하는 단계; 및
    상기 제어기로, 상기 공통 편심에 대한 상기 기판 스테이션 위치를 결정하는 단계;를 포함하는
    방법.
  12. 기판을 지지하도록 구성된 엔드 이펙터를 포함하는 기판 이송 장치를 제공하는 단계;
    기판 스테이션의 기판 홀딩 위치와 소정의 공간적 관계를 가지는 적어도 제1 스테이션 피처를 가지는 기판 스테이지를 제공하는 단계; 및
    제어기에 내장된 집어 들기/내려놓기 명령들 중 적어도 하나의 내장된 하나의 집어 들기/내려놓기 명령으로부터 상기 기판 홀딩 위치를 결정함으로써 상기 기판 스테이션의 기판 스테이션 위치를 자동으로 교시하는 단계;
    를 포함하고
    상기 기판 이송 장치의, 상기 적어도 하나의 내장된 집어 들기/내려놓기 명령으로부터, 상기 명령된 이송부는 상기 적어도 제1 스테이션 피처와의 접촉을 통해 상기 기판과 상기 엔드 이펙터 사이의 편심을 유발하고, 상기 편심의 크기를, 상기 제어기로, 결정하며, 상기 편심과 상기 소정의 공간적 관계에 기초하여 상기 기판 홀딩 위치의 위치를, 상기 제어기로, 결정하는 상기 적어도 제1 스테이션 피처와 상기 엔드 이펙터 상에 지지된 상기 기판이 상호작용하도록 상기 엔드 이펙터의 이동을 수행(effect)하는
    방법.
  13. 제12 항에 있어서,
    상기 적어도 제1 스테이션 피처는 그 안에 진공 압력 환경을 가지는 프로세서 모듈의 내부에 위치하는
    방법.
  14. 제13 항에 있어서,
    상기 진공 압력 환경은 고 진공인
    방법.
  15. 제12 항에 있어서,
    상기 기판 이송부는 상기 진공 압력 환경에서 상기 적어도 제1 스테이션 피처에 대항하여 상기 엔드 이펙터 상에 지지된 상기 기판과 상호작용하는
    방법.
  16. 제12 항에 있어서,
    상기 내장된 집어 들기/내려놓기 명령들로 상기 적어도 제1 스테이션 피처에 대항하여 상기 기판을 탭핑하고 상기 기판 이송부를 이동하는 단계;를 더 포함하는
    방법.
  17. 제12 항에 있어서,
    상기 제어기의 내장된 기판 위치 결정 명령들로 상기 편심을 결정하는 단계를 더 포함하는
    방법.
  18. 제12 항에 있어서,
    상기 기판 스테이션의 기판 홀딩 위치와 소정의 공간적 관계를 가지는 제2 스테이션 피처를 상기 기판 스테이션에 제공하는 단계를 더 포함하는
    방법.
  19. 기판 홀딩 위치를 자동-교시하기 위한 기판 이송 장치 자동-교시 시스템으로서,
    프레임;
    상기 프레임과 연결되고, 결정적(deterministic) 스테이션 피처들을 갖는 스테이션 고정부를 포함하되, 상기 결정적 스테이션 피쳐들은 상기 결정적 스테이션 피처들과 접촉하는 교시 기판의 소정의 위치를 결정적으로 정의하고;
    교시 기판을 포함하되, 상기 교시 기판은 상기 결정적 스테이션 피처들과의 접촉이 상기 기판 홀딩 위치를 식별하고, 상기 기판 홀딩 위치와 소정의 관계로 상기 교시 기판을 소정의 위치에 위치시키도록 구성되고; 및
    상기 교시 기판을 유지하도록 구성된 기판 이송부; 및
    상기 교시 기판을 공통 방향으로 상기 스테이션 피처에 대해 상대적으로 이동하도록 상기 기판 이송부를 이동시키도록 구성된 제어기;를 포함하는
    기판 이송 장치 자동-교시 시스템.
  20. 제19 항에 있어서,
    상기 제어기는 상기 기판 홀딩 위치와 상기 기판의 상기 소정의 위치를 결정하도록 구성되고, 상기 기판 홀딩 위치 및 상기 기판의 위치는 상기 결정적 스테이션 피처와 상기 기판 사이의 접촉에 의해 영향을 받는
    기판 이송 장치 자동-교시 시스템.
KR1020237010713A 2014-11-10 2015-11-10 툴 자동-교시 방법 및 장치 KR20230048568A (ko)

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
US201462077775P 2014-11-10 2014-11-10
US62/077,775 2014-11-10
US201462078345P 2014-11-11 2014-11-11
US62/078,345 2014-11-11
US201562191829P 2015-07-13 2015-07-13
US62/191,829 2015-07-13
US201562247647P 2015-10-28 2015-10-28
US62/247,647 2015-10-28
PCT/US2015/060016 WO2016077387A1 (en) 2014-11-10 2015-11-10 Tool auto-teach method and apparatus
KR1020177015932A KR102516801B1 (ko) 2014-11-10 2015-11-10 툴 자동-교시 방법 및 장치
US14/937,676 US10002781B2 (en) 2014-11-10 2015-11-10 Tool auto-teach method and apparatus
US14/937,676 2015-11-10

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177015932A Division KR102516801B1 (ko) 2014-11-10 2015-11-10 툴 자동-교시 방법 및 장치

Publications (1)

Publication Number Publication Date
KR20230048568A true KR20230048568A (ko) 2023-04-11

Family

ID=55911510

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177015932A KR102516801B1 (ko) 2014-11-10 2015-11-10 툴 자동-교시 방법 및 장치
KR1020237010713A KR20230048568A (ko) 2014-11-10 2015-11-10 툴 자동-교시 방법 및 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020177015932A KR102516801B1 (ko) 2014-11-10 2015-11-10 툴 자동-교시 방법 및 장치

Country Status (7)

Country Link
US (5) US10002781B2 (ko)
EP (1) EP3218925B1 (ko)
JP (1) JP6833685B2 (ko)
KR (2) KR102516801B1 (ko)
CN (1) CN107112266B (ko)
TW (1) TWI710440B (ko)
WO (1) WO2016077387A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN205159286U (zh) * 2012-12-31 2016-04-13 菲力尔系统公司 用于微辐射热计真空封装组件的晶片级封装的装置
CN107000224B (zh) * 2014-12-22 2019-09-24 川崎重工业株式会社 机械手系统及末端执行器的变形检测方法
KR102587203B1 (ko) * 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US10607879B2 (en) * 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
JP6741538B2 (ja) * 2016-09-28 2020-08-19 川崎重工業株式会社 ロボット、ロボットの制御装置、及び、ロボットの位置教示方法
US10651067B2 (en) * 2017-01-26 2020-05-12 Brooks Automation, Inc. Method and apparatus for substrate transport apparatus position compensation
JP7002307B2 (ja) * 2017-11-30 2022-01-20 株式会社荏原製作所 基板搬送システム、基板処理装置、ハンド位置調整方法
CN110239819B (zh) * 2018-03-09 2020-10-23 创意电子股份有限公司 晶圆载运装置
JP7008573B2 (ja) * 2018-05-16 2022-01-25 東京エレクトロン株式会社 搬送方法および搬送装置
US11031266B2 (en) * 2018-07-16 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer handling equipment and method thereof
KR20210125067A (ko) 2019-02-08 2021-10-15 야스카와 아메리카 인코포레이티드 관통 빔 자동 티칭
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
US11031269B2 (en) * 2019-08-22 2021-06-08 Kawasaki Jukogyo Kabushiki Kaisha Substrate transport robot, substrate transport system, and substrate transport method
TWI742635B (zh) * 2020-04-27 2021-10-11 創博股份有限公司 教導位置與姿態的觸發與補償方法
US20210375654A1 (en) * 2020-05-26 2021-12-02 Asm Ip Holding B.V. Automatic system calibration for wafer handling
CN112820686A (zh) * 2021-03-09 2021-05-18 上海广川科技有限公司 晶圆示教装置及示教方法
CN113161268A (zh) * 2021-05-11 2021-07-23 杭州众硅电子科技有限公司 标定抛光头和装卸台位置的装置、抛光设备及标定方法
US11817724B2 (en) * 2022-03-02 2023-11-14 Applied Materials, Inc. Enclosure system with charging assembly
CN114753640B (zh) * 2022-04-01 2023-04-07 中联重科股份有限公司 臂架末端运动规划方法、装置、控制系统及工程机械
CN114872020B (zh) * 2022-06-30 2022-09-30 西安奕斯伟材料科技有限公司 对机械手相对于承载空间取放硅片进行示教的系统及方法

Family Cites Families (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US598194A (en) 1898-02-01 Cromwell o
JPS5235376Y2 (ko) 1972-05-04 1977-08-12
JPS5235376B2 (ko) 1973-07-19 1977-09-08
JPS51106166A (en) 1975-03-17 1976-09-20 Sankyo Seiki Seisakusho Kk Nenseiekijotaino chunyusochi
JPS541748Y2 (ko) 1975-06-18 1979-01-25
JPS5235376A (en) 1975-09-13 1977-03-17 Keiichi Hara Electric dust collector
JPS541748A (en) 1977-06-06 1979-01-08 Nissan Motor Co Ltd Clutch connection controller of automatic clutch
US4692876A (en) * 1984-10-12 1987-09-08 Hitachi, Ltd. Automatic freight stacking system
US4973215A (en) 1986-02-18 1990-11-27 Robotics Research Corporation Industrial robot with servo
US5155423A (en) 1986-02-18 1992-10-13 Robotics Research Corporation Industrial robot with servo
US4724322A (en) 1986-03-03 1988-02-09 Applied Materials, Inc. Method for non-contact xyz position sensing
US4819167A (en) 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
JPH02146602A (ja) 1988-08-11 1990-06-05 Fanuc Ltd サーボモータにより駆動される被駆動体の衝突検出・停出方法
US5102280A (en) 1989-03-07 1992-04-07 Ade Corporation Robot prealigner
WO1992005920A1 (en) 1990-09-27 1992-04-16 Genmark Automation Scanning end effector assembly
JPH05114804A (ja) 1991-08-16 1993-05-07 Tdk Corp 高周波フイルタ
JP3134481B2 (ja) 1991-03-29 2001-02-13 株式会社村田製作所 静磁波装置
JPH05235376A (ja) 1992-02-24 1993-09-10 Copal Electron Co Ltd 半導体圧力変換器
DE69329269T2 (de) * 1992-11-12 2000-12-28 Applied Materials Inc System und Verfahren für automatische Positionierung eines Substrats in einem Prozessraum
JP3115147B2 (ja) 1993-03-12 2000-12-04 富士通株式会社 ロボット制御装置及びコントローラ
JP3184675B2 (ja) 1993-09-22 2001-07-09 株式会社東芝 微細パターンの測定装置
US6707528B1 (en) 1994-03-02 2004-03-16 Nikon Corporation Exposure apparatus having independent chambers and methods of making the same
US5563798A (en) 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US6121743A (en) 1996-03-22 2000-09-19 Genmark Automation, Inc. Dual robotic arm end effectors having independent yaw motion
US5789890A (en) 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US5908283A (en) * 1996-11-26 1999-06-01 United Parcel Service Of Americia, Inc. Method and apparatus for palletizing packages of random size and weight
US6690185B1 (en) 1997-01-15 2004-02-10 Formfactor, Inc. Large contactor with multiple, aligned contactor units
US6213708B1 (en) 1997-03-12 2001-04-10 Advanced Micro Devices, Inc. System for sorting multiple semiconductor wafers
EP0996963A4 (en) 1997-07-11 2006-01-18 Genmark Automation Inc MULTI-POSITION SCANNER
US6205870B1 (en) 1997-10-10 2001-03-27 Applied Komatsu Technology, Inc. Automated substrate processing systems and methods
US6022485A (en) 1997-10-17 2000-02-08 International Business Machines Corporation Method for controlled removal of material from a solid surface
US6116848A (en) 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
KR20010032714A (ko) * 1997-12-03 2001-04-25 오노 시게오 기판 반송방법 및 기판 반송장치, 이것을 구비한 노광장치및 이 노광장치를 이용한 디바이스 제조방법
US6198976B1 (en) * 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6085125A (en) 1998-05-11 2000-07-04 Genmark Automation, Inc. Prealigner and planarity teaching station
US6405101B1 (en) 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
ATE389237T1 (de) 1998-12-02 2008-03-15 Newport Corp Armgreiforgan für probehalteroboter
WO2000052646A2 (en) 1999-03-03 2000-09-08 Molecularware, Inc. A method and apparatus for automation of laboratory experimentation
US6323616B1 (en) 1999-03-15 2001-11-27 Berkeley Process Control, Inc. Self teaching robotic wafer handling system
US6075334A (en) 1999-03-15 2000-06-13 Berkeley Process Control, Inc Automatic calibration system for wafer transfer robot
US6242879B1 (en) 2000-03-13 2001-06-05 Berkeley Process Control, Inc. Touch calibration system for wafer transfer robot
US6304051B1 (en) 1999-03-15 2001-10-16 Berkeley Process Control, Inc. Self teaching robotic carrier handling system
US6850806B2 (en) 1999-04-16 2005-02-01 Siemens Energy & Automation, Inc. Method and apparatus for determining calibration options in a motion control system
US6474712B1 (en) 1999-05-15 2002-11-05 Applied Materials, Inc. Gripper for supporting substrate in a vertical orientation
WO2001006933A2 (en) 1999-07-26 2001-02-01 Regeneration Technologies, Inc. Suture anchor
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
US6478532B1 (en) 1999-11-30 2002-11-12 Asyst Technologies, Inc. Wafer orienting and reading mechanism
US6577923B1 (en) 1999-12-23 2003-06-10 Applied Materials, Inc. Apparatus and method for robotic alignment of substrates
US6228429B1 (en) 2000-02-01 2001-05-08 Intevac, Inc. Methods and apparatus for processing insulating substrates
US6327517B1 (en) 2000-07-27 2001-12-04 Applied Materials, Inc. Apparatus for on-the-fly center finding and notch aligning for wafer handling robots
US6516244B1 (en) 2000-08-25 2003-02-04 Wafermasters, Inc. Wafer alignment system and method
TW559855B (en) 2000-09-06 2003-11-01 Olympus Optical Co Wafer transfer apparatus
US6591160B2 (en) 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
JP2002178279A (ja) * 2000-12-12 2002-06-25 Ulvac Japan Ltd 基板搬送方法
TW493799U (en) 2000-12-15 2002-07-01 Mosel Vitelic Inc Teaching tool for a robot arm for wafer reaction ovens
US6591161B2 (en) 2001-01-31 2003-07-08 Wafermasters, Inc. Method for determining robot alignment
US6865499B2 (en) 2001-04-26 2005-03-08 Siemens Energy & Automation, Inc. Method and apparatus for tuning compensation parameters in a motion control system associated with a mechanical member
US6859747B2 (en) 2001-04-26 2005-02-22 Siemens Energy & Automation, Inc. Method and apparatus for self-calibrating a motion control system
US7008802B2 (en) 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US6556887B2 (en) 2001-07-12 2003-04-29 Applied Materials, Inc. Method for determining a position of a robot
DE10141025B4 (de) 2001-08-22 2007-05-24 Infineon Technologies Ag Verfahren zum Testen von Wafern unter Verwendung eines Kalibrierwafers und zugehöriger Kalibriewafer
US6855858B2 (en) 2001-12-31 2005-02-15 Exxonmobil Chemical Patents Inc. Method of removing dimethyl ether from an olefin stream
US7058622B1 (en) 2001-12-26 2006-06-06 Tedesco Michael A Method, apparatus and system for screening database queries prior to submission to a database
US7054713B2 (en) 2002-01-07 2006-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Calibration cassette pod for robot teaching and method of using
US7289230B2 (en) 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
US6669829B2 (en) 2002-02-20 2003-12-30 Applied Materials, Inc. Shutter disk and blade alignment sensor
WO2003080479A2 (en) 2002-03-20 2003-10-02 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector
US7085622B2 (en) 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US6831436B2 (en) 2002-04-22 2004-12-14 Jose Raul Gonzalez Modular hybrid multi-axis robot
US20030201170A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Apparatus and method for electropolishing a substrate in an electroplating cell
WO2003105192A2 (en) 2002-06-07 2003-12-18 Akrion, Llc Apparatus and method for cassette-less transfer of wafers
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US6996456B2 (en) 2002-10-21 2006-02-07 Fsi International, Inc. Robot with tactile sensor device
US6852644B2 (en) 2002-11-25 2005-02-08 The Boc Group, Inc. Atmospheric robot handling equipment
US6795786B2 (en) 2002-12-31 2004-09-21 Intel Corporation Robotic sensor calibration system
US7139641B2 (en) 2003-03-27 2006-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer protection system
SG125948A1 (en) 2003-03-31 2006-10-30 Asml Netherlands Bv Supporting structure for use in a lithographic apparatus
EP1465011A1 (en) 2003-03-31 2004-10-06 ASML Netherlands B.V. Transfer apparatus for transferring an object and method of use thereof and lithographic projection apparatus comprising such a transfer apparatus
US7397539B2 (en) 2003-03-31 2008-07-08 Asml Netherlands, B.V. Transfer apparatus for transferring an object, lithographic apparatus employing such a transfer apparatus, and method of use thereof
WO2004094702A2 (en) 2003-04-18 2004-11-04 Applied Materials, Inc. Multi-chemistry plating system
KR101015778B1 (ko) 2003-06-03 2011-02-22 도쿄엘렉트론가부시키가이샤 기판 처리장치 및 기판 수수 위치의 조정 방법
US6934606B1 (en) 2003-06-20 2005-08-23 Novellus Systems, Inc. Automatic calibration of a wafer-handling robot
US6944517B2 (en) * 2003-07-03 2005-09-13 Brooks Automation, Inc. Substrate apparatus calibration and synchronization procedure
US7300082B2 (en) 2003-07-21 2007-11-27 Asyst Technologies, Inc. Active edge gripping and effector
US7039498B2 (en) 2003-07-23 2006-05-02 Newport Corporation Robot end effector position error correction using auto-teach methodology
US6914337B2 (en) 2003-11-04 2005-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Calibration wafer and kit
US7792350B2 (en) 2003-11-10 2010-09-07 Brooks Automation, Inc. Wafer center finding
US7230702B2 (en) 2003-11-13 2007-06-12 Applied Materials, Inc. Monitoring of smart pin transition timing
US7226269B2 (en) 2004-01-15 2007-06-05 Applied Materials, Inc. Substrate edge grip apparatus
DE102004017114B4 (de) 2004-04-07 2012-03-15 Integrated Dynamics Engineering Gmbh Vorrichtung zur Handhabung eines scheibenartigen Elements, insbesondere zur Handhabung eines Wafers
US7637142B2 (en) 2004-06-25 2009-12-29 Zyvex Labs Calibration for automated microassembly
US20060005602A1 (en) 2004-07-06 2006-01-12 Zyvex Corporation Calibration for automated microassembly
US7433759B2 (en) 2004-07-22 2008-10-07 Applied Materials, Inc. Apparatus and methods for positioning wafers
US20060046269A1 (en) 2004-09-02 2006-03-02 Thompson Allen C Methods and devices for processing chemical arrays
US8000837B2 (en) 2004-10-05 2011-08-16 J&L Group International, Llc Programmable load forming system, components thereof, and methods of use
US7440091B2 (en) 2004-10-26 2008-10-21 Applied Materials, Inc. Sensors for dynamically detecting substrate breakage and misalignment of a moving substrate
US20060167583A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Method and apparatus for on the fly positioning and continuous monitoring of a substrate in a chamber
JP4671724B2 (ja) 2005-03-16 2011-04-20 信越半導体株式会社 半導体ウェーハの保持用グリッパー及び保持方法並びに形状測定装置
US8167522B2 (en) 2005-03-30 2012-05-01 Brooks Automation, Inc. Substrate transport apparatus with active edge gripper
CN101253614B (zh) 2005-07-08 2011-02-02 埃塞斯特科技有限公司 工件支撑结构及其使用设备
US7522267B2 (en) 2005-07-11 2009-04-21 Brooks Automation, Inc. Substrate transport apparatus with automated alignment
US20070080067A1 (en) 2005-10-07 2007-04-12 Applied Materials, Inc. Pre-treatment to eliminate the defects formed during electrochemical plating
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7933685B1 (en) 2006-01-10 2011-04-26 National Semiconductor Corporation System and method for calibrating a wafer handling robot and a wafer cassette
JP4756367B2 (ja) * 2006-08-17 2011-08-24 株式会社ダイフク 物品収納設備
JP2008192840A (ja) * 2007-02-05 2008-08-21 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US20080213076A1 (en) 2007-03-02 2008-09-04 Stephen Hanson Edge grip end effector
JP3134481U (ja) 2007-06-04 2007-08-16 三英貿易株式会社 抱き込み形状のぬいぐるみ
DE102007026299B4 (de) 2007-06-06 2018-08-16 Kuka Roboter Gmbh Industrieroboter und Verfahren zum Programmieren eines Industrieroboters
US20080302480A1 (en) 2007-06-07 2008-12-11 Berger Michael A Method and apparatus for using tapes to remove materials from substrate surfaces
JP2009054993A (ja) 2007-08-02 2009-03-12 Tokyo Electron Ltd 位置検出用治具
US8260461B2 (en) 2007-08-30 2012-09-04 Applied Materials, Inc. Method and system for robot calibrations with a camera
US8224607B2 (en) 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
JP5235376B2 (ja) 2007-10-05 2013-07-10 川崎重工業株式会社 ロボットのターゲット位置検出装置
US20090110532A1 (en) 2007-10-29 2009-04-30 Sokudo Co., Ltd. Method and apparatus for providing wafer centering on a track lithography tool
WO2009089010A2 (en) 2008-01-10 2009-07-16 Parata Systems, Llc System and method for calibrating an automated materials handling system
US7963736B2 (en) * 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
NL1036673A1 (nl) 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US8242730B2 (en) 2008-06-10 2012-08-14 Nichols Michael J Automated robot teach tool and method of use
US8641351B2 (en) 2008-07-10 2014-02-04 Kawasaki Jukogyo Kabushiki Kaisha Robot and instruction method thereof
WO2010004635A1 (ja) 2008-07-10 2010-01-14 川崎重工業株式会社 ロボット及びその教示方法
US20100011785A1 (en) 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
JP5402284B2 (ja) 2008-12-18 2014-01-29 株式会社安川電機 基板搬送ロボット、基板搬送装置、半導体製造装置および基板搬送ロボットの干渉物回避方法
KR101117557B1 (ko) 2009-02-06 2012-03-05 김경순 벤딩형 체크밸브가 장착된 비닐봉투
WO2010090482A2 (ko) 2009-02-09 2010-08-12 Lee Do Sang 개량된 매식구성을 갖는 임플란트 픽스쳐
DE102009011300B4 (de) * 2009-03-02 2022-08-11 Kuka Roboter Gmbh Beladung von Lademitteln mit Paketen mittels Manipulator
DE102009016811A1 (de) 2009-04-09 2010-10-14 Aes Motomation Gmbh Verfahren zur automatischen Vermessung und zum Einlernen von Lagepositionen von Objekten innerhalb eines Substratprozessiersystems mittels Sensorträger und zugehöriger Sensorträger
TWI615337B (zh) * 2009-04-10 2018-02-21 辛波提克有限責任公司 自動化貨箱儲存系統及處理被建構成托板化負荷以在儲存設施往返運送的貨箱之方法
CN101592924B (zh) 2009-06-30 2011-01-12 上海科勒电子科技有限公司 自动感应系统
US8459922B2 (en) 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
JP5557516B2 (ja) * 2009-12-09 2014-07-23 株式会社日立ハイテクノロジーズ 真空処理装置
US8692503B2 (en) 2009-12-18 2014-04-08 Varian Medical Systems, Inc. Homing and establishing reference frames for motion axes in radiation systems
WO2011077976A1 (ja) * 2009-12-24 2011-06-30 シャープ株式会社 基板搬送装置およびセンサ取り付け台
CN107017191B (zh) * 2010-02-17 2020-08-14 株式会社尼康 搬送装置、曝光装置、以及元件制造方法
JP5513930B2 (ja) * 2010-03-03 2014-06-04 デマティック アカウンティング サービシーズ ゲーエムベーハー 立体自動倉庫
KR101720704B1 (ko) * 2010-03-12 2017-03-28 심보틱 엘엘씨 보충 및 주문 이행 시스템
JP5570296B2 (ja) 2010-05-19 2014-08-13 キヤノンアネルバ株式会社 基板回転装置及び真空処理装置並びに成膜方法
US8731718B2 (en) 2010-10-22 2014-05-20 Lam Research Corporation Dual sensing end effector with single sensor
EP2450492B1 (de) 2010-11-09 2019-03-27 Geberit International AG System zum Befestigen eines Sanitärartikels
US20120191517A1 (en) * 2010-12-15 2012-07-26 Daffin Jr Mack Paul Prepaid virtual card
US9561905B2 (en) * 2010-12-15 2017-02-07 Symbotic, LLC Autonomous transport vehicle
US9187244B2 (en) * 2010-12-15 2015-11-17 Symbotic, LLC BOT payload alignment and sensing
US10822168B2 (en) * 2010-12-15 2020-11-03 Symbotic Llc Warehousing scalable storage structure
US9499338B2 (en) * 2010-12-15 2016-11-22 Symbotic, LLC Automated bot transfer arm drive system
US8998554B2 (en) * 2010-12-15 2015-04-07 Symbotic Llc Multilevel vertical conveyor platform guides
US20130173039A1 (en) 2012-01-04 2013-07-04 Seagate Technology Llc Methods and devices for determining a teaching point location using pressure measurements
JP5884624B2 (ja) * 2012-05-02 2016-03-15 東京エレクトロン株式会社 基板処理装置、調整方法及び記憶媒体
JP5529920B2 (ja) 2012-05-11 2014-06-25 川崎重工業株式会社 ロボットのターゲット位置検出装置、半導体装置およびターゲット位置検出方法
JP5401748B2 (ja) * 2012-08-30 2014-01-29 川崎重工業株式会社 ロボット及びその教示方法
US20140100999A1 (en) * 2012-10-04 2014-04-10 Amazon Technologies, Inc. Filling an order at an inventory pier
JP6148025B2 (ja) 2013-02-04 2017-06-14 株式会社Screenホールディングス 受渡位置教示方法、受渡位置教示装置および基板処理装置

Also Published As

Publication number Publication date
US20190027389A1 (en) 2019-01-24
KR102516801B1 (ko) 2023-03-31
US10381252B2 (en) 2019-08-13
EP3218925B1 (en) 2020-12-30
US20210098276A1 (en) 2021-04-01
US20230215752A1 (en) 2023-07-06
US20190371641A1 (en) 2019-12-05
US20160129586A1 (en) 2016-05-12
TWI710440B (zh) 2020-11-21
US11469126B2 (en) 2022-10-11
US10002781B2 (en) 2018-06-19
CN107112266A (zh) 2017-08-29
JP2017535957A (ja) 2017-11-30
EP3218925A1 (en) 2017-09-20
US11908721B2 (en) 2024-02-20
KR20170082615A (ko) 2017-07-14
CN107112266B (zh) 2020-12-08
WO2016077387A1 (en) 2016-05-19
TW201634198A (zh) 2016-10-01
US10770325B2 (en) 2020-09-08
JP6833685B2 (ja) 2021-02-24

Similar Documents

Publication Publication Date Title
KR102516801B1 (ko) 툴 자동-교시 방법 및 장치
US11776834B2 (en) On the fly automatic wafer centering method and apparatus
KR100801381B1 (ko) 로봇들의 자동 교정을 위한 방법 및 장치
JP2020505775A (ja) 基板搬送装置の位置補償のための方法および装置
US11164769B2 (en) Robot embedded vision apparatus
TWI752910B (zh) 同步自動晶圓定心方法及設備
US20230343626A1 (en) Automated Teach Apparatus For Robotic Systems And Method Therefor
TW202110602A (zh) 機器人內嵌之視覺設備

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal