TWI752910B - 同步自動晶圓定心方法及設備 - Google Patents

同步自動晶圓定心方法及設備 Download PDF

Info

Publication number
TWI752910B
TWI752910B TW105122083A TW105122083A TWI752910B TW I752910 B TWI752910 B TW I752910B TW 105122083 A TW105122083 A TW 105122083A TW 105122083 A TW105122083 A TW 105122083A TW I752910 B TWI752910 B TW I752910B
Authority
TW
Taiwan
Prior art keywords
arm
substrate
end effector
center
wafer
Prior art date
Application number
TW105122083A
Other languages
English (en)
Other versions
TW201707900A (zh
Inventor
傑羅 摩拉
彬 尹
文森 曾
艾倫 蓋立克
Original Assignee
美商布魯克斯自動機械公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商布魯克斯自動機械公司 filed Critical 美商布魯克斯自動機械公司
Publication of TW201707900A publication Critical patent/TW201707900A/zh
Application granted granted Critical
Publication of TWI752910B publication Critical patent/TWI752910B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Abstract

一種基材處理設備包含一基材運送設備,其具有一自我定心(self-centering)的末端作用器(其具有一有預定的中心的晶圓固持站),該末端作用器被建構成將一晶圓固持在該晶圓固持站並將該晶圓運送於該基材處理設備內、至少一中心決定特徵構造,其為該基材運送設備整體的一部分且被設置成使得該基材處理設備的一固定不動的偵測感測器在該基材運送設備徑向運動時同步地偵測該至少一中心決定特徵構造的至少一邊緣、及一控制器,其被可溝通地耦接至該基材運送設備,該控制器被建構成使得該至少一邊緣的偵測實施一比例因子(proportion factor)的決定,該比例因子在該基材運送設備徑向運動時同步地辨認出該基材運送設備的一手臂的變化量(variance),其中該控制器包括一運動效果解析器,其被建構來從該至少一邊緣的偵測決定一比例因子變化量和由該基材處理設備的該固定不動的偵測感測器在該基材運送設備徑向運動 時所同步地偵測到的該至少一邊緣的偵測之間的關係,並進一步解析該被決定的比例因子變化量對於決定該手臂的變化量的該比例因子的影響。

Description

同步自動晶圓定心方法及設備 〔相關申請案〕
本案主張2015年7月13日提申之美國臨時申請案第62/191,863號以及2016年4月8日提申之美國臨時申請案第62/320,142號的權益,這些申請案的揭露內容藉此參照被併於本文中。
示範性實施例大致上係關於基材處理系統,更具體地係關於基材處理系統的構件的校準及同步化。
基材處理設備典型地能夠在一基材上實施多種操作。基材處理設備通常包括一運送室及一或多個和該運送室相耦合的處理模組。一在該運送室內的基材運送機器人將基材運送於該等處理模組之間,不同的操作(譬如,濺鍍、蝕刻、塗覆、浸泡等等)係在這些處理模組中實施。例如,半導體裝置製造商及材料製造商所使用的製程處理通常要求基材在基材處理設備中精準定位。
基材的精準位置通常是透過將處理模組的位置教導給該基材運送機器人來提供。為了要教導處理模組的位置及為了精確地將基材放置在基材固持位置,基材的中心必須被知道。通常,自動的基材或晶圓定心演算法需要使用一基材中心夾具來將該參考基材位置界定在例如相對於一固持該基材的基材運送末端作用器的零偏心度,其中零偏心度是該基材中心的位置和該末端作用器的被預期的中心重合。通常,基材定心夾具係被手動地安裝在該末端作用器上且被用作為一參考表面,用以將該基材放置在一被界定為該零偏心度參考點的位置。該基材定心夾具的手動放置以及該基材相對於該基材定心夾具的手動放置會產生操作者誤差並在該基材處理設備內產生微粒(如,污染物)。使用基材定心夾具亦是在大氣氛圍被實施,這表示在該基材處理設備內的環境受到干擾,因而生產時間被減少。
通常,教導該基材運送機器人包括了用被添加至該基材處理設備之專屬的教導感測器來偵測該機器人及/或被該機器人所載負的基材的位置、利用被該機器人所載負之設置了儀器的基材(例如,包括了內建的感測器或攝影機)、利用被放置在處理模組內或該基材處理設備的其它基材固持站內的可拆卸的夾具、利用被設置在處理模組內部或可在處理模組外部地接近的晶圓定心感測器、利用設置在處理模組外部的感測器(例如,攝影機)、或在該處理模組內將目標物和該基材運送機器人或一被該基 材運送機器人載負的物件接觸。用來教導在基材處理設備內的位置的這些方法可能會要求感測器被放置在真空中、要求改變成客戶處理設備及/或工具、可能不適合使用在真空環境中或在高溫中、要求感測器目標、鏡子或夾具被放置在該基材處理設備內、會破壞該基材處理設備的真空環境、及/或會要求軟體改變成內建在基材運送機器人的控制器及/或處理系統的控制器內的碼。
其它傳統的手臂溫度補償演算法(譬如,描述在美國預准的公告案第2013/0180448號中及美國專利第6556887號中者)可使用一參考旗標於該機器人末端作用器或手臂內,用以在一感測器移動於一參考溫度和目前的溫度之間時藉由比較機器人的位置而來估量熱膨脹的量。此傳統的方法天生地假設該機器人操作器的上臂和前臂是在穩態情況下,使得該機器人可被模擬為一直線的棒桿,其在一固定的溫度下具有一定的熱膨脹因子。通常,傳統的手臂溫度補償演算法的限制在於它對於處在溫度瞬變下(譬如,溫度上升或冷卻)的操作器連桿(linkage)無法精確地補償位置誤差。這些溫度瞬變現象代表更真實的客戶使用情況,因為半導體叢集工具可具有處於實不同的操作溫度的處理模組和負載鎖定室。這些傳統的手臂溫度補償演算法因為其對於連桿相對於該末端作用器位置的角度位置的非直線靈敏度的關係通常亦未將手臂運動的非直線影響列人考量。
亦應指出的是,在傳統的實作中,被界定為
Figure 105122083-A0202-12-0004-1
(其中R0是在參考溫度時的手臂位置及R1是控制軟體所算出來的新的位置)的該機器人式的操作器的被估計的相對熱膨脹被認為是線性的行為且被用來估計在放置站位置(其位在更遠離該機器人中心的位置)處之機器人運送位置校正量。
在無需使用定心夾具下自動地將基材定心用以實施將基材處理設備內的基材處理位置教導給基材運送機器人且不擾亂該處理設備內的環境或不需要額外的設備及/或修改該基材處理設備是有利的。
依據被揭露的實施例的一或多個態樣,一種基材處理設備包含一基材運送設備,其具有一自我定心的末端作用器(其具有一有預定的中心的晶圓固持站),該末端作用器被建構來在將一晶圓固持在該晶圓固持站並將該基材運送於該基材處理設備內;及至少一中心決定特徵構造,其為該基材運送設備整體的一部分且被設置成使得該基材處理設備的一固定不動的偵測感測器在該基材運送設備徑向運動時同步地偵測該至少一中心決定特徵構造的至少一邊緣,該至少一邊緣的該偵測係藉由將該至少一中心決定特徵構造只通過該固定不動的偵測一次來實施該末端作用器上的該晶圓固持站的該預定的中心的確定。
11090‧‧‧半導體工具站
11000‧‧‧前端
11020‧‧‧後端
11010‧‧‧負載鎖定室
11091‧‧‧控制器
11005‧‧‧裝載埠模組
11060‧‧‧迷你環境
11011‧‧‧對準器
11025‧‧‧運送室
11030‧‧‧處理站(模組)
11014‧‧‧運送機器人
11050‧‧‧匣盒
2010‧‧‧基材處理系統
2012‧‧‧工具界面區段
3018‧‧‧轉運室模組
3018A‧‧‧轉運室模組
3018I‧‧‧轉運室模組
3018J‧‧‧轉運室模組
2050‧‧‧界面
2060‧‧‧界面
2070‧‧‧界面
2080‧‧‧基材運送件
410‧‧‧處理工具
416‧‧‧運送室
12‧‧‧工具界面區段
412‧‧‧入口/出口站
18B‧‧‧轉運室模組
18i‧‧‧轉運室模組
X‧‧‧縱長軸
56‧‧‧負載鎖定模組
56A‧‧‧負載鎖定模組
26B‧‧‧運送設備
16i‧‧‧運送設備
11013‧‧‧運送設備
11014‧‧‧運送設備
216‧‧‧蛙腳式手臂
217‧‧‧跳蛙式手臂
218‧‧‧雙對稱式手臂
219‧‧‧轉運手臂
219B‧‧‧第二鉸接式手臂
219A‧‧‧第一鉸接式手臂
219E‧‧‧末端作用器
S1‧‧‧基材
S2‧‧‧基材
DX‧‧‧間距
30i‧‧‧工件站
56S1‧‧‧工件支撐件/架子
56S2‧‧‧工件支撐件/架子
30S1‧‧‧工件支撐件/架子
30S2‧‧‧工件支撐件/架子
15‧‧‧運送手臂
390‧‧‧處理工具
130‧‧‧運送機器人
199A‧‧‧感測器
199B‧‧‧感測器
300‧‧‧自動的基材定心及站教導設備
325‧‧‧處理模組
330‧‧‧運送機器人
S‧‧‧基材
315‧‧‧基材固持站
SC‧‧‧站中心
395S‧‧‧晶圓(基材)固持站
395‧‧‧末端作用器
395C‧‧‧末端作用器中心(參考點)
362‧‧‧對準器
325‧‧‧處理模組
165‧‧‧對準器
F‧‧‧基準線
390‧‧‧處理工具
11050‧‧‧運送容器
11090‧‧‧真空區段
170‧‧‧控制器
315‧‧‧基材
P‧‧‧運送路徑
401‧‧‧中心決定(基準)特徵構造
402‧‧‧中心決定(基準)特徵構造
330A‧‧‧轉運手臂
401’‧‧‧基準特徵構造
395WR‧‧‧腕板
421‧‧‧過渡點
422‧‧‧過渡點
425‧‧‧過渡點
426‧‧‧過渡點
427‧‧‧過渡點
428‧‧‧過渡點
499‧‧‧運動
e‧‧‧偏心度
402’‧‧‧基準特徵構造
425’‧‧‧過渡點
426’‧‧‧過渡點
403‧‧‧基準特徵構造
403A‧‧‧基準特徵構造
403B‧‧‧基準特徵構造
403E‧‧‧邊緣
395EG‧‧‧邊緣
401”‧‧‧基準特徵構造
402”‧‧‧基準特徵構造
395W‧‧‧腕部
331‧‧‧編碼器
FS1‧‧‧偏移量
FS2‧‧‧偏移量
501‧‧‧基準特徵構造
502‧‧‧基準特徵構造
395S‧‧‧基材固持站
VRW1‧‧‧第一圓圈
VRW2‧‧‧第二圓圈
390‧‧‧基材處理工具
TREF‧‧‧校準溫度
330AU‧‧‧手臂連桿(上臂)
330AF‧‧‧手臂連桿(前臂)
R‧‧‧徑向位置
KS‧‧‧比例(膨脹)因子
11091R‧‧‧解析器
216A‧‧‧手臂
216B‧‧‧手臂
218A‧‧‧手臂
218B‧‧‧手臂
219A‧‧‧手臂
219B‧‧‧手臂
1500‧‧‧上臂溫度曲線
1051‧‧‧前臂溫度曲線
1600‧‧‧基準特徵構造
1601‧‧‧基準特徵構造
1900‧‧‧演算法
1910‧‧‧熱膨脹模型
1920‧‧‧運動模型
CL‧‧‧末端作用器中心線
WC‧‧‧基材中心
1800‧‧‧熱補償
被揭露的實施例的前述態樣及其它特徵在下文中藉由參考附圖來加以說明,其中:圖1A-1D是一包含被揭露的實施例的態樣的基材處理設備的示意圖;圖2A-2E是依據被揭露的實施例的態樣的運送手臂的示意圖;圖3是依據被揭露的實施例的態樣的基材處理設備整體的一部分的示意圖;圖4A是依據被揭露的實施例的態樣的基材處理設備的一部分的示意圖;圖4B-4F是依據被揭露的實施例的態樣的基材處理設備的一部分的示意圖;圖5是依據被揭露的實施例的態樣的基材處理設備的一部分的示意圖;圖6是依據被揭露的實施例的態樣的流程圖;圖7是依據被揭露的實施例的態樣的基材處理設備的一部分的示意圖;圖8是依據被揭露的實施例的態樣的流程圖;圖9是依據被揭露的實施例的態樣的基材處理設備的一部分的示意圖;圖10是依據被揭露的實施例的態樣的基材處理設備的一部分的示意圖; 圖11是依據被揭露的實施例的態樣的流程圖;圖12是依據被揭露的實施例的態樣的基材處理設備的一部分的示意圖;圖13是依據被揭露的實施例的態樣的基材處理設備的一部分的示意圖;圖14是依據被揭露的實施例的態樣的流程圖;圖15是一示範性圖表,其例示依據被接露的實施例的態樣之基材處理設備的手臂連桿的溫度梯度vs.時間;圖16是依據被揭露的實施例的態樣的基材處理設備的一部分的示意圖;圖17是依據被揭露的實施例的態樣的基材處理設備的一部分的示意圖;圖18是一示範性圖表,其例示依據被接露的實施例的態樣之基材處理設備的的位置補償數據;圖19是依據被揭露的實施例的態樣之基材處理設備的位置計算的示範性方塊圖;圖20是依據被揭露的實施例的態樣的流程圖;圖21是依據被揭露的實施例的態樣的流程圖;及圖22是依據被揭露的實施例的態樣的流程 圖。
參考圖1A-1D,其顯示包含了將於本文中被進一步描述之被揭露的實施例的態樣的基材處理設備或工具的示意圖。雖然被揭露的實施例的態樣將參考附圖被描述,但應被理解的是,被揭露的實施例的態樣可用許多形式來體現。此外,元件或材料的任何適合的尺寸、形狀或種類都可被使用。
如將於下文中被更詳細地描述地,被揭露的實施例的態樣提供基材或晶圓相對於例如一基材運送末端作用器之自動的(如,無須操作員介入)定心、一基材運送設備的基材固持站的自動定位、及將基材固持站的位置教導給基材運送設備。應指出的是,基材及晶圓等詞在本文中被互換地使用。而且,當被使用於本文中時,基材固持站是一處理模組內的基材固持位置或基材處理設備內的任何其它適合的基材固持位置,例如,一裝載埠(或被固持於其上的基材匣)、一負載鎖定室(load lock)、一緩衝站等等。被揭露的實施例的態樣運用被使用在基材處理設備中的既有的設備和裝置(譬如,基材處理感測器)。當被使用本文中時,基材處理感測器是實施自動化晶圓定心(AWC)的主動式晶圓定心感測器、在基材處理期間被用於基材的對準及/或定心之基材對準器及/或其它適合的基材偏心度(如,相對於在一末端作用器上的一預定的基 材固持位置)偵測單元。換言之,當依據被揭露的實施例的態樣的自動化定心及教導被使用時,例如讓客戶在該基材處理設備最初購買/建造之後還要花費額外的設備成本的情事實質上不會發生。
被揭露的實施例的態樣亦可在未實質軟體地改變內建於該基材運送設備內及/或該基材處理設備系統控制器內的程式碼下被實施。例如,被揭露的實施例的態樣可利用和基材運送設備相關的既有的指令,譬如“揀取並放置”指令及/或“基材對準”指令。被揭露的實施例的態樣亦是可操作的環境,譬如可和真空環境(以及大氣環境,例如鈍氣、被過濾過的乾淨空氣)相容的環境,因為在處理環境中之沒有電子構件(如,電纜線、印刷電路板等等)。可被瞭解的是,在大氣處理環境中,該AWC中心可位在該大氣處理環境中。因此,被揭露的實施例的態樣所提供的是,在實質上不干擾已被建立在該基材處理設備處理設備內的環境(如,真空或大氣環境)下(如,該基材處理設備及其構件在自動教導處理期間保持被密封或與外部境隔離),縮短在基材運送設備的自動定心及/或教導期間的停機時間。
將於下文中討論的是,被揭露的實施例的態樣提供的是,消除通常由傳統的以零偏心度界定該參考基材位置的自動化晶圓或基材定心方法(如,使用定心夾具)所導致的誤差。被揭露的實施例的態樣一般而言消除了傳統上自動基材定心處理會使用到的校準步驟及夾具。 被揭露的實施例的態樣亦亦補償導因於例如基材運送設備和基材固持站之間的熱效應,其中在各處理模組內的溫度是在約200℃至約850℃的範圍內。在一態樣中,各處理模組的溫度大於850℃,而在其它態樣中,各處理模組的溫度小於200℃。被揭露的實施例的態樣亦自動地補償導因於例如感測器誤差或潛時(latency)的滯後效應(hysteresis effect)。
參考圖1A及1B,一種依據被揭露的實施例的態樣的處理設備(譬如,例如一半導體體工具站11090)被示出。雖然一半導體工具11090被示於圖式中,但被描述於本文中的被揭露的實施例的態樣可被應用至任何工具站或使用機器人操作器的應用中。在此例子中,該工具11090被顯示為一叢集工具(cluster tool),然而,被揭露的實施例的態樣可被應用至任何工具站,譬如,例如一直線工具站,譬如2013年3月19日頒授之名稱為“Linearly Distributed Semiconductor Workpiece Processing Tool,”的美國專利第8,398,355號的圖1C及1D所顯示及所描述的工具站,該專利的全部內容藉此參照而被併於本文中。該工具站11090大致上包括一大氣的前端11000、一真空的負載鎖定室11010及一真空的後端11020。在其它態樣中,該工具站可具有任何適合的構造。該前端11000、負載鎖定室11010及後端11020的每一者的構件可被連接至一控制器11091,它可以是任何適合的控制架構(譬如,例如一叢集式架構控制)的一部分。該控制系 統可以是一封閉式迴路控制器,其具有一主控制器、叢集控制器及自主的遠端控制器(譬如,揭露於2011年3月8日頒授之名稱為“Scalable Motion Control System”的美國專利第7,904,182號中的控制器,其全部內容藉此參照被併於本文中)。在其它態樣中,任何適合的控制器及/或控制系統都可被使用。該控制器11091包括任何適合的記憶體及處理器,其包括用於操作描述於本文中的處理設備的非暫態程式碼,用來如本文中所描述地實施一基材處理設備的基材固持站的自動化基材定心及/或自動化定位以及將基材固持站的位置教導給一基材運送設備。例如,在一態樣中,該控制器11091包括內建的基材定位指令(如,用來決定基材和基材運送設備之間的偏心度)。在一態樣中,該基材定位指令可被內建移動該基材的揀取/放置指令,且其上固持著該基材的末端作用器通過或經過一或多個自動化基材感測器。該控制器被建構來決定該基材的中心及該末端作用器的參考位置,並決定該基材相對於該末端作用器的參考位置的偏心度。在一態樣中,該控制器被建構來接受對應於該末端作用器的一或多個特徵構造及/或一基材運送設備/機器人的運送手臂的偵測訊號並決定該基材運送設備或該基材運送設備的一構件因為該處理模組內的溫度所造成的熱膨脹或收縮。
可被瞭解地,且如本文中所描述地,在一態樣中,該基材站被設置在一其內具有真空壓力環境的處理模組內部,且描述於本文中的該自動教導係發生在該處理 模組內。在一態樣中,該真空壓力是一高真空,譬如10-5托爾(Torr)或更低。在一態樣中,描述於本文中的該自動定心及/或教導係發生在一例如位在一處於處理安全狀態(例如,用於處理基材)下的處理模組內的基材站特徵構造內。該用於處理基材的處理安全狀態是該處理模組的一種狀態,在此狀態中該處理模組被密封在一可以將處理真空或氛圍導入到該處理模組內的潔淨狀態中,或是一可以將一製造晶圓導入到該處理模組內的狀態中。
在一態樣中,該前端11000大致上包括裝載埠模組11005及一迷你環境11060,譬如,例如一設備前端模組(EFEM)。該裝載埠模組11005可以是開匣器/裝載器工具標準(BOLTS)界面,其遵循用於300mm裝載埠、前開口或底部開口匣/莢及匣盒的SEMI標準E15.1、E47.1、E62、E19.5或E1.9。在其它態樣中,該裝載埠模組可被建構成200mm或450mm晶圓界面或任何適合的基材界面,譬如,例如更大或更小的晶圓或用於平板顯示器的平板玻璃。雖然有兩個裝載埠模組11005被顯示在圖1A中,但在其它態樣中,任何適合數量的裝載埠模組都可被加入到該前端11000內。裝載埠模組11005可被建構來接納來自頂上運送系統、自動導引式車輛、人員導引車輛、軌道導引車輛或來自任何其它適合的運送方法的基材載具或匣盒11050。該裝載埠模組11005可透過裝載埠11040和該迷你環境11060界接。在一態樣中,裝載埠11040允許介於基材匣盒11050和該迷你環境11060之間 的基材通道。
在一態樣中,該迷你環境11060大致上包括任何適合的運送機器人11013,其包含描述於本文中的被揭露的實施例的態樣的一或多個態樣。在一態樣中,該機器人11013可以是安裝在軌道上的機器人,譬如像是描述於美國專利第6,002,840號中的機器人,其全部的內容藉此參照被併於本文中,或在其它態樣中,其可以是具有任何適合的構造的任何其它適合的運送機器人。該迷你環境11060可提供一受控制的、乾淨區域供基材運送於多個裝載埠模組之間。
該真空的負載鎖定室11010可被設置在該迷你環境11060和該後端11020之間並與它們連接。應再次被指出的是,當使用於本文中時,真空一詞代表高真空,譬如10-5托爾(Torr)或更低,基材係在此真空下被處理。該負載鎖定室11010大致上包括大氣的槽閥(slot valve)及真空的槽閥。槽閥可提供環境隔離,其被用來在經由該大氣的前端載入一基材之後排空該負載鎖定室及在用鈍氣氣體(如氮氣)將該負載鎖定室排氣時保持該運送室內的真空。在一態樣中,該負載鎖定室11010包括一用來將該基材的基準線(fiducial)和一用於處理的所想要的位置對準的對準器11011。在其它態樣中,該真空的負載鎖定室可被設置在該處理設備的任何適合的位置且具有任適合的構造及/或度量(metrology)設備。
該真空的後端11020大致上包括一運送室 11025、一或多個處理站或模組11030及任何適合的運送機器人或設備11014。運送機器人11014將於下文中被描述且可被設置在該運送室11025內,用以將基材運送於負載鎖定室11010和各式處理站11030之間。處理站11030可透過各種沉積、蝕刻、或其它處理種類來在基材上操作,用以在基材上形成電路或其它所想要的結構。典型的處理包括但不侷限於薄膜處理,其使用一真空蝕刻,譬如電漿蝕刻或其它蝕刻處理、化學氣相沉積(CVD)、電漿氣相沉積(PVD)、佈植,譬如離子佈植、度量、快速熱處理(RTP)、脫氣原子層沉積(ALD)、氧化/擴散、氮化物的形成、真空微影術、磊晶術(EPI)、引線接合機(wire bonder)及蒸發或其它使用真空壓力的薄膜處理。處理站11030被連接至該運送室11025以允許基材通過從運送室11025到達處理站11030,且反之亦可。在一態樣中,裝載埠模組11005及裝載埠11040係實質地直接耦合至該真空的後端11020,使得一安裝在裝載埠上的匣盒11050實質地直接(例如,在一態樣中,至少該迷你環境11060被省略掉,使得匣盒11050以一種類似於該真空的負載鎖定室11010被抽真空的方式被抽空至真空)和該運送室11025的真空環境及/或一處理站11030的處理真空相界接(例如,該處理真空及/或真空環境延伸於該處理站11030和該匣盒11050之間且在它們之間共用)。
現參考圖1C,一直線的基材處理系統2010的示意平面圖被示出,其中該工具界接區段2012被安裝 至一轉運室模組3018,使得該界接區段2012大致面朝內,但偏離該轉運室3018的縱長軸X。轉運室模組3018可藉由將其它轉運室模組3018A,3018I,3018J附裝至界面2050,2060,2070而被延伸於任何適合的方向上,如之前藉由參照而被併於本文中的美國專利第8,398,355號中所描述的。每一轉運室模組3018,3019A,3018I,3018J包括任何適合的基材運送器2080,其可包括描述於本文中的被揭露的實施例的一或多個態樣,用以將基材運送於整個處理系統2010內以及進出例如處理模組PM(其在一態樣中實質地類似於描述於上文中的處理站11030)。可被瞭解的是,每一室模組都能夠維持一被隔離的或被控制的氛圍(如,N2,潔淨空氣,真空)。
參考圖1D,一示範性處理工具410之沿著直線運送室416的縱長軸X所取的示意平面圖被示出。在圖1D所示的被揭露的實施例的一態樣中,工具界接區段12可被代表性地連接至該運送室416。在此態樣中,界接區段12可界定該運送室416的一端。如圖1D中所見,該運送室416可例如在和該界接區段12相反的一端具有另一工件入口/出口站412。在其它態樣中,用來從該運送室插入/取出工件的其它入口/出口站可被提供。在一態樣中,界接區段12及入口/出口站412可允許載入及從該工具取出工件。在其它態樣中,工件可從一端被載入該工具且從另一端被取出。在一態樣中,該運送室416可具有一或多個轉運室模組18B,18i。每一室模組都能夠維持一被隔 離的或被控制的氛圍(如,N2,潔淨空氣,真空)。如之前提到的,形成圖1D中所示的運送室416的轉運室模組18B,18i、負載鎖定室模組56A,56及工件站的構造/配置只是示範性,且在其它態樣中,該運送室可具有更多或更少的模組以任何所想要的模組配置被設置。在所示的態樣中,站412可以是一負載鎖定室。在其它態樣中,一負載鎖定室模組可被設置在該端部入口/出口站(類似於站412)之間或該鄰接的轉運室模組(類似於模組18i)可被建構成如一負載鎖定室般地操作。
亦如之前提到的,轉運室模組18B,18i具有一或多個相應的運送設備26B,26i,其可包括描述於本文中的被揭露的實施例的一或多個態樣。各轉運室模組18B,18i的該運送設備26B,26i可合作,用以提供直線地配置的工件運送系統於該運送室內。在此態樣中,運送設備26B(其可實質地類似於圖1A及1B中所示之叢集工具的運送設備11013,11014)可具有一普通的SCARA手臂構造(但在其它態樣中,運送手臂可具有任何所想要的構造,譬如,例如圖2B中所示的的直線滑動的手臂214或具有任何適合的手臂連桿機構的其它適合的手臂。手臂連桿機構的適合的例子例如可在2009年8月25日頒授的美國專利第7,578,649號、1998年8月18日頒授的美國專利第5,794,487號、2011年5月24日頒授的美國專利第7,946,800號、2002年11月26頒授的美國專利第6,485,250號、2011年2月22日頒授的美國專利第 7,891,935號、2013年4月16日頒授的美國專利第8,419,341號及2011年11月10日提申之名稱為“Dual Arm Robot”的美國專利申請案第13/293,717號及2013年9月5日提申之名稱為“Linear Vacuum Robot with Z Motion and Articulated Arm”的美國專利申請案第13/861,693號中找到,這些專利案的全部內容藉此參照被併於本文中。在被揭露的實施例的態樣中,該至少一運送手臂可從一傳統的SCARA(選擇順應性鉸接式機器人手臂)設計推導出來,其包括一上臂、一條帶驅動式前臂及條帶限制式末端作用器,或從一伸縮望遠鏡式手臂或任何它適合的手臂設計推導出來。運送手臂的適合的例例如可從2008年5月8日提申之名稱為“Substrate Transport Apparatus with Multiple Movable Arms Utilizing a Mechanical Switch Mechanism”的美國專利申請案第12/117,415號以及2010年1月19日頒授的美國專利第7648,327號中找到,這些專利的全部內容藉此參照被併於本文中。運送手臂的操作可彼此獨立(如,每一手臂的伸展/收縮係獨立於另一手臂之外)、可經由無效運動(lost motion)開關來操作或可用任何適合的方式操作性地連結,使得手臂分享至少一共同的驅動軸線。在另外其它的實施例中,運送手臂可具有任何其它所想要的配置,譬如蛙腳式手臂216(圖2A)構造、跳蛙式手臂217(圖2D)構造、雙對稱式手臂218(圖2C)構造等等。在參考圖2E的另一態樣中,運送手臂219包括至少一第一及 第二鉸接式手臂219A,219B,其中每一手臂219A,219B都包括一末端作用器219E,其被建構來將至少兩片基材S1,S2並排地固持在同一運送平面上(末端作用器219E的每一基材固持位置分享一共用的驅動器,用來揀取並放置基材S1,S2),其中介於基材S1,S2之間的間距DX對應於並排的基材固持位置之間之固定的間距。運送臂的適合的例子可在2001年5月15日頒授的美國專利第6,231,297號、1993年1月19日頒授的美國專利第5,180,276號、2002年10月15日頒授的美國專利第6,464,448號、2001年5月1日頒授的美國專利第6,224,319號、1995年9月5日頒授的美國專利第5,447,409號、2009年8月25日頒授的美國專利第7,578,649號、1998年8月18日頒授的美國專利第5,794,487號、2011年5月24日頒授的美國專利第7,946,800號、2002年11月26頒授的美國專利第6,485,250號、2011年2月22日頒授的美國專利第7,891,935號及2011年11月10日提申之名稱為“Dual Arm Robot”的美國專利申請案第13/293,717號及2011年10月11日提申之名稱為“Coaxial Drive Vacuum Robot”的美國專利申請案第13/270,844號中找到,這些專利的全部內容藉此參照被併於本文中。在一態樣中,被揭露的實施例的態樣被包含在一直線運送載具(shuttle)的運送手臂內,譬如描述於美國專利第8,293,066號及第7,988,398號中的運送手臂,這些專利的全部內容藉此參照被併於本 文中。
在圖1D所示的被揭露的實施例的態樣中,運送設備26B的手臂可被安排來提供被稱為快速交換配置,其允許運送器在一揀取/放置位置快速地交換晶圓(如,從一基材固持站揀取一晶圓然後立即將一晶圓放置在同一基材固持站上)。運送手臂26B可具有任何適合的驅動區段(如,同軸地配置的驅動軸、並排的驅動軸、水平地相鄰的馬達、垂直地疊置的馬達等等),用以提供每一手臂任何適合數量的自由度(如,以Z軸運動來繞著肩關節及肘關節獨立地轉動)。如圖1D中所見,在此態樣中,模組56A,56,30i可被有空隙地設置在轉運室模組18B,18i之間且可界定適當的處理模組、負載鎖定室LL、暫存站、度量站或任何其它所想要的站。例如,該等有空隙的模組(譬如,負載鎖定室模組56A,56及工件站30i)可具有固定不動的工件支撐件/架子56S1,56S2,30S1,30S2,其可和運送手臂合作以實施運送或將工件沿著該運送室的直線軸線X運送通過該運送室的長度。舉例而言,工件被界接區段12載入到該運送室416中。工件可被該界接區段12的運送手臂15放置在負載鎖定室模組56A的支撐件上。在負載鎖定室模組56A中,該工件可被模組18B內的運送手臂26B移動於負載鎖定室模組56A和負載鎖定室模組56之間,且以類似的且連續的方式被(模組18i中的)手臂26i移動於負載鎖定室模組56和工件站30i之間以及被模組18i內的手臂26i移動於站30i和站 412之間。此處理可以整個地或部分地被顛倒,用以將工件移動於相反方向上。因此,在一態樣中,可沿著軸線X被移動於任何方向上且移動至沿著該運送室的任何位置以及可被載入至和該運送室相連通的任何模組(處理模組或其它模組)並從該模組取出。在其它態樣中,具有固定不動的工件支撐件或架子的該等有空隙的轉運室模組可以不被設置在轉運室模組18B,18i之間。在此等態樣中,相鄰接的轉運室模組的運送手臂可直接從末端作用器或一運送手臂傳遞至另一運送手臂的末端作用器,用以將該工件移動通過該運送室。該等處理站模組可藉由各種沉積、蝕刻、或其它種類的處理在該基材上操作,用以在基材上形成電路或其它所想要的結構。該等處理站模組被連接至該等轉運室模組以允許基材從運送室被送至處理站且反之亦可。一具有圖1D中所示的處理設備的一般特徵類似的處理工具的適當的例子被描述在之前藉由參照被併於本文中的美國專利第8,398,355號中。
現參考圖3,任何適合的處理工具390的一部分的示意圖被示出。在此處,該處理工具390實質類似於上文中所描述的處理工具的一者或多者。處理工具390大致上可包括運送機器人130、至少一固定不動的偵測感測器(例如,自動晶圓定心(AWC)感測器199A,199B)及機器人控制器(其在一態樣中是控制器11091),其例如形成一自動的基材定心及站教導設備300。雖然有兩個感測器199A,199B為了示範的目的而被示於圖3中,但 在其它態樣中,該處理工具可以具有多於或少於2個的感測器。圖3亦顯示處理工具390的一示範性處理模組325。在圖3中,該運送機器人330被完全示意地顯示,且如之前指出的,機器人330可具有任何所想要的構造。機器人330被顯示為其上固持有一基材S,用以運送至處理模組325(其實質地類似於描述於上文中的處理站11030,PM)。處理模組325具有一基材固持站315,其界定一預定的中心位置SC。當基材S被放置在該固持站315時,基材S的中心位置和該基材固持站中心SC重合是所想要的。在其它態樣中,該基材站可被該處理設備之基材可被該機器人放置於其內的任何所想要的部分界定。圖3中所示之基材位置及/或固持站315及感測器199A,199B相對於該機器人330的位置只是示範性的。在其它態樣中,基材固持站和感測器可如所需地相對於運送機器人被設置。在圖3中,基材運送機器人330、感測器199A,199B及控制器11091被顯示為相連接,用以如下文所述地形成一同步的(即,在基材運送移動的同時)基材定心系統,其能夠在該機器人將該基材沿著一運送路徑P運送至固持站315的同時,至少決定被固持在機器人末端作用器395的晶圓或基材固持站395S上的基材S(圖4A)的偏心度,並產生一用來調整該運送機器人330的位置的定心因子,以確保該機器人將該基材S放置在該基材站的中心SC上。如本文中所描述的,機器人330的熱膨脹及/或收縮亦被決定,使得基材S的定心是根據至少該 機器人330的熱膨脹及/或收縮來實施。亦如圖3中見,在一態樣中,該處理工具390可包括一對準器或自動晶圓定心站362。在一態樣中,該控制器11091可使用該對準器362所提供的或由其所推導出的資訊或數據(如,基材直徑、基準線F的位置等等)來實施該同步的自動化基材定心。
將可被瞭解的是,該基材運送機器人330被連接至該控制器11091並與之溝通,使得該控制器11091可控制該基材運送機器人330的移動,用以將該機器人末端作用器395,尤其是預定的末端作用器的中心或末端作用器395的參考位置395C以習知的且受控制的方式帶至該處理工具390內的任何所想要的位置。例如,該基材運送機器人330可具有所想要的位置決定裝置(例如,譬如位置或馬達編碼器331),它們被連接至該控制器11091並送出適當的訊號至該控制器11091,讓該控制器11091能夠界定位置座標及動量(momentum),其界定在任何所想要的參考系統中和該機器人330有關的該末端作用器的中心395C的參數。例如,該機器人330可被可樞轉地安裝,用以允許整個本體繞著Z轉動的肩軸轉動且可被鉸接,用以將該末端作用器的中心395C至少以徑向的方式相對於該Z轉動的該肩軸移動。該基材運送機器人330的該編碼器331被連接至該控制器11091用以識別該機器人馬達實施運動的相對或絕對運動。再者,該控制器11091被程式化,用以轉變編碼器資料且(與被程式化於該控制 器內的該機器人的幾何形狀資訊相結合)產生該末端作用器的中心395C的位置座標及貫性參數。因此,該控制器11091知道該末端作用器的中心395C在任何時間點的(在一所想要的座標參考系統中的)位置座標,及該末端作用器(例如,基材站的中心SC)的任何目的地的位置。
在一態樣中,對準器362可以是任何適合的基材對準器。在一態樣中,對準器362被設置在該設備的前或大氣區段11000(參見圖1)內,但在其它態樣中,該對準器可設置在該設備內的任何所想要的位置。一適合的對準器的例子被揭示在名稱為“High Speed Aligner Apparatus”的美國專利第8,545,165號中,該專利的全部內容藉此參照被併於本文中。如之前提到的,對準器362可具有能夠偵測基材S上的基準線F之適合的感測器(譬如,對照型測器(through beam sensor))。可瞭解的是,該基準線F係用來辨識基材S和一或多個處理模組的處理特徵有關之所想要的對準。例如,圖3中所示的處理模組325能夠在基材S上實施一給定的處理,該處理需要該基材具有一特定的方位。當該基材S在該對準器362內時,該對準器362例如可放置該基材S,使得當該基材S後續被基材運送機器人330運送及放置在該基材固持站315內時,該基材S具有所想要的方位。在其它態樣中,該對準器165可為該控制器11091辨識位置資訊,使得該控制器11091可控制該基材運送機器人330,用以將該基 材S以所想要的方位放置在處理模組325內。由該對準器362所建立的該基材的方位可將該基材的該基準線F放置在已知的位置。用於該基材的該基準線的該已知的位置被傳遞給該控制器11091。該控制器11091被程式化,用以在該基材315被末端作用器395運送時,從該對準器362所提供的基準線位置資訊建立該基準線F相對該末端作用器395的一被預期的位置。在該末端作用器395上的該被預期的基準線位置可被該控制器11091用來實施自動化的晶圓定心,例如用感測器199A,199B來辨識該基材S上的至少兩個點。
在一態樣中,該控制器11091可被程式化來監看並記錄該處理工具390及基材S的各種將被用來實施自動化晶圓定心的短暫的資料(ephemeral data)。可被理解的是,基材S的尺寸特徵會隨著環境條件(尤其是溫度)而改變。例如,基材315當它在處理期間受到溫度變動時會經歷熱膨脹及收縮。在一態樣中,該控制器11091被建構來用不受該基材S之前的位置及溫度資料影響的任何適合的方式(譬如,藉由沿著該基材的邊緣偵測數量足夠的點(例如,三個或更多個點))來決定該基材的中心。在其它態樣中,該控制器11091可具有關於該基材之前的位置的資訊及該基材在該之前的位置曾經歷的環境溫度的資訊,以及曝露的時間的資訊及任何其它相關的資訊。例如,該基材S可能已從一被置於一處於某一溫度下運送容器內的烘烤模組被移出了一端時間且被保持在該處 理一端時間,然後被載入到具有一前端溫度的處理工具390內。該控制器11091記憶體因而可儲存關於該基材S被運送通過或該基材S被固持於其內的該處理工具390的不同區域內以及該半導體製造設施的所想要的部分的溫度的資料。例如,用於該運送容器11050(圖1)的溫度資訊可被儲存在該控制器11091內,基材在該運送容器內被運送至該設備。用於前端區段11000(參見圖1)、負載鎖定室11010及基材可被暫存其內的任何暫存站(未示出)的溫度資訊都可被儲存。類似地,在該真空區段11090中,熱資訊(譬如,輻射表面或熱吸收表面(如,加熱板、冷卻板等等)的溫度)亦可用控制器11091來儲存。可被瞭解的是,在該示範性實施例中,控制器170亦可監看並儲存基材的溫度資訊,譬如位置及時間。因此,在該示範性實施例中,該控制器11091可在它的記憶體中具有用於所想要的參數的資料,用以充分地界定該基材S在一所想要的時間區間內(譬如,在通過感測器199A,199B時)的熱條件。例如,該控制器可具有一適合的熱平衡演算法以建立該基材在一給定的時間(譬如,在對準器362測量半徑時及在通過感測器199A,199B時)的熱條件(即,溫度)。在其它實施例中,該控制器可從一所想要的外部記憶體位置存取用於辨識該基材的熱條件的資料。在又另外其它的實施例中,基材的熱條件可用一適合的裝置(譬如,光學溫度計)直接測量。關於基材的溫度的資料可被傳遞給該控制器以用來決定該基材S導因於基 材的熱條件所產生的尺寸變化。在其它態樣中,基材S的中心可獨立於基材的溫度之外地被決定,譬如藉由例如用感測器199A,199B來偵測該基材的邊緣的至少三個點並根據該至少三個偵測點來決定該中心。
仍參考圖3,感測器199A,199B可以是能夠在基材315被基材運送機器人330移動通過感測器時偵測基材315的存在的任何適合的類型,譬如對照型感測器或反射型感測器。在該示範性實施例中,感測器199A,199B每一者都可具有一光束源和一偵測器,其在偵測到該光束或無法偵測到該光束時會產生一適當的訊號。感測器199A,199B可被設置成偏離該基材的運送路徑P,使得基材邊緣通過感測器199A,199B的一者或多者的感測區域且被感測器199A,199B偵測到。基材S的運送路徑P為了舉例的目的在圖3中被顯示為一實質徑向的路徑(即,該路徑延伸通過該基材運送機器人330的Z轉動的肩軸)。在其它態樣中,基材S可具有任何所想要的運送路徑。例如,該路徑可以是一偏離該徑向路徑P但與之實質平行的直線路徑P,而在其它態樣中,該路徑可以是一弧形路徑。在其它態樣中,該路徑偏離該徑向路徑P且相對於該徑向路徑P夾一所想要的角度。感測器199A,199B為了有更好的用於偵測物體邊緣的靈敏度而被非必要地設置成如美國專利第6,990,430號中所描述者,該專利的全部內容藉此參照而被併於本文中。
在一態樣中,感測器199A,199B的一者或多 者相對於該運送路徑P的位置可根據該末端作用器395上的該基材315的被預期的方位(即,在該基準線F被預期的位置上),因此係根據和該處理模組325(即,該基材將被運送至該處)相關的基材方位參數來決定。將被運送至一給定的處理模組的基材的該基材的被預期的方位可在該設備的架設期間該等處理模組和機器人被安裝時被決定。該同步自動化基材定心感測器199A,199B因而可相關於該運送路徑P被設置,用以確保在該器人末端作用器395上的該被預期的基材方位下,根據和該等被給定的處理模組相關的方位參數,該基準線F不被預期會在該感測器所界定的一排除區域之內。
在一態樣中,該控制器11091被建構來以例如描述於2006年1月24日頒授的美國專利第6990430號及2011年4月12日頒授的美國專利第7,925,378號中的方式(該等專利的全部內容藉由此參照被併於本文中)使用感測器199A,199B的一者或多者來決定該基材S相對於該末端作用器395的參考位置395C的中心位置。在其它態樣中,控制器11091被建構來以任何適合的方式(譬如,描述於1989年4月4日頒授的美國專利第4,819,167號及1999年11月9日頒授的美國專利第5,980,194號中的方式,該等專利的全部內容藉由此參照被併於本文中)來決定該基材S相對於該末端作用器395的參考位置395C的中心位置。
如上文所述,控制器11091亦被建構來在為 了在一基材固持站315處揀取及放置該基材而實施該基材的自動定心的時候決定該基材運送設備395的熱膨脹及/或收縮。在一態樣中,參考圖4A,該末端作用器395是一種自我定心的末端作用器,其被設置有一或多個基準特徵構造(datum feature)或中心決定特徵構造401,402,它是該末端作用器整體的一部分(即,其被形成為一件式的單一構件)或以任何適合的方式被安裝至該末端作用器,以用來實施該末端作用器參考點位置的決定。雖然基準特徵構造401,402在本文中係關於該末端作用器395被描述,但應被瞭解的是,在其它態樣中,該等基準特徵構造可被設置在該基材運送機器人330的任何適合的位置上,譬如在手臂連桿上。該一或多個基準特徵構造401,402是相關於該末端作用器395的參考位置395C(在圖4A中亦被標示為位置Xc,Yc)來決定的,因而是相關於該末端作用器的每一空間位置。例如,該一或多個基準特徵構造401,402和參考位置395C之間具有一和該末端作用器395以及基準特徵構造401,402的溫度無關之固定的預定關係,其將於本文中被詳細地描述。在一態樣中,該末端作用器395(其包括該一或多個基準特徵構造401,402)例如在高溫(譬如,在上文描述的溫度的上端範圍)時是尺寸穩定的(例如,約850℃或更高),因為該末端作用器395的材料具有實質上很有限的熱膨脹及收縮。在一態樣中,該末端作用器395及該一或多個基準特徵構造401,402包含鋁或其它在高溫時具有有限的熱膨 脹及收縮之適合的材料。亦應被瞭解的是,該末端作用器和基準特徵構造的材料在低於約850℃的溫度下亦是尺寸穩定的。應指出的是,雖然高溫及該末端作用器395的熱膨脹被描述,但應被瞭解的是,被揭露的實施例的態樣可例如被應用至約500℃的中等基材處理溫度及可應用至約200℃的低處理溫度。
在一參考圖3及4A的態樣中,該一或多個基準特徵構造401,402被塑形且例如被放置在該末端作用器395上或在該基材運送設備330的任何適合的位置上,用以在該基材運送設備330的運動499期間(如,同步地)在該運送手臂330A通過感測器199A,199B(該運動是徑向伸展/收縮運動(如,R運動)、旋轉運動(如,θ運動)或其它適合的直線或曲線運動的一者或多者)的時候被該等自動化的基材或晶圓定心感測器(譬如,感測器199A,199B的一者或多者)偵測到。在一態樣中,該等基準特徵構造401,402亦相對於被固持在該末端作用器395上的基材S被放置,用以在基材S被該末端作用器395固持或載負的同時被感測器199A,199B感測到。例如,該末端作用器395的該基材固持站395S沒有被該一或多個基準特徵構造401,402遮擋到。亦應指出的是,該一或多個基準特徵構造401,402沒有被該末端作用器395所固持的基材S遮擋到。在一態樣中,該等基準特徵構造401,402被設置在該基材運送機器人330上,和該基材固持站395S分離且區隔開。在一如圖3中 所見的態樣中,一或多個基準特徵構造401’被設置在該基材運送設備330的手臂330A上。例如,該末端作用器395在一態樣中被腕板395WR或其它可將末端作用器耦合至該手臂330A的連桿之適當的機械式界面耦合至手臂330A。該腕板395WR在一態樣中界定該基材運送設備手臂330A的腕軸並包括一該末端作用器395被附裝於其上的耦合支撐件或座件。
可被瞭解是,基材S可固持在該末端作用器的一被定心的位置(如,該基材的中心和該末端作用器的參考點395C重合)或在一偏心的位置(如,該基材的中心沒有和該末端作用器的參考點重合)。感測器199A,199B被建構來在末端作用器395的運動499期間同步偵測基材通過個別的感測器199A,199B的過渡點(transition)421-422以及基準特徵構造通過個別的感測器199A,199B的過渡點425-428。可被瞭解的是,基材S可以任意的介於該基材的中心WC和該末端作用器的參考點395C之間的偏心度e被平置於該末端作用器395上。如本文中所述,在該等基準特徵構造401,402和該末端作用器395的參考點395C之間的該預定的用於決定的關係提供和任何教導夾具無關的基材中心偏移量(如,偏心度e)的識別、當該基材運送機器人330(如,至少該機器人的手臂330A)正經歷熱位移(如,膨脹或收縮)時該末端作用器的中心或參考位置395C的識別、該末端作用器的中心或參考位置395C相對於感測器199A, 199B的識別,使得站台固持位置SC可被識別且被教導、以及在偵測該機器人395相關於各別感測器過渡點421-428的位置時將滯後效應(hysteresis effect)減至最小。
每一基準特徵構造401,402具有一已知的預定的形狀,其界定一用於被感測器199A,199B相對於末端作用器的參考點395C掃描的個別邊緣或過渡點點425,426,427,428的偵測之獨一無二的決定的答案(deterministic solution)。此已知的預定的形狀被感測器199A,199B偵測或掃描,用以決定在熱膨賬/收縮之前的該末端作用器的參考點395C的位置Xc,Yc以及在熱膨賬/收縮之後該末端作用器的參考點395C的位置。在一態樣中,感測器199A,199B被設置在該處理工具390內,使得當末端作用器移動通過感測器199A,199B時,每一感測器偏離該末端作用器395的一縱向中心線CL。在此處,感測器199A,199B被設置在該中心線CL的相反側上,而在其它態樣中,在該中心線CL的同一側上會被設置有一個或更多個感測器。
在圖4A所示的態樣中,有兩個基準特徵構造401,402,它們從該末端作用器395的側邊延伸出或懸垂下來(其中側邊通常是在X方向上且該縱軸是由該末端作用器的中心線CL來界定),但在其它態樣中,會有比兩個更多或更少的基準特徵構造401,402。例如,參考圖4B,在一態樣中有單一個基準特徵構造401被設置在該末端作用器395的單一側邊上(如,延伸出或懸垂下來)。 在其它態樣中,該末端作用器395包括被設置在同一側邊或相反側邊上之額外的基準特徵構造。例如,參考圖4C,基準特徵構造401,402’被設置在該末端作用器395的同一側邊上,其中基準特徵構造401,402’提供一使用配對的過渡點點425’,426’及427,728之增補的(supplemental)末端作用器參考點395C位置決定,其中增補的基準特徵構造401,402’的每一者是該等基準特徵構造的另一者的增補物並提供一用於決定該末端作用器參考點395C位置Xc,Yc的獨一無二的決定方案,其可和描述於本文中的答案結合及平均以提高位置決定的精確度。雖然該等增補的基準特徵構造401,402’被例示在該末端作用器395的同一側邊上,但在其它態樣中,該等增補的基準特徵構造可被設置在該末端作用器的相反側邊上。在參考圖4D及4E的其它態樣中,該末端作用器395包括一或多個基準特徵構造403,其中該基準特徵構造403被形成為內部的基準特徵構造,譬如在該末端作用器395內的孔洞(例如,槽或孔)。該一或多個基準特徵構造403具有任何適合的形狀及構造,用以用實質類似於本文中所描述的方式來實施該末端作用器參考點395C位置Xc,Yc的決定。例如,該一或多個基準特徵構造403可以是單一的特徵構造或多個特徵構造403A,403B,其被塑形成可在一或多個方向上被掃描以決定該特徵構造的形狀及大小,該形狀和大小然後被該控制器用來決定該末端作用器的熱膨脹或收縮以及該末端作用器參考點395C的 位置Xc,Yc。在一態樣中,該一個多個基準特徵構造403被塑形及定大小,用以在該基材運送機器人330的運動期間用類似於本文中相關於基準特徵構造401,402所描述的方式被掃描。在一態樣中,描述於本文中的熱效應的決定係獨立於晶圓偏心度的決定之外且可藉由位在手臂330A上、該末端作用器395及/或該腕板395WR上的任何地方的不同的基準特徵構造被感測。例如,在一態樣中,該一或多個內部基準特徵構造403,403A,403B的至少一部分對應於一外部基準特徵構造,譬如該末端作用器395的邊緣395EG。例如,基準特徵構造403A,403B的邊緣403E和邊緣395EG有一預定的關係,使得邊緣403E和395EG係以和相關於基準特徵構造401,402的邊緣被描述的方式相同的方式被感測/偵測,用以實施至少該末端作用器參考點395C的決定。應被瞭解的是,基準特徵構造403,403A,403B的形狀及數量是代表性的且在其它態樣中,可以有任何適合的基準特徵構造數量,每一者都有任何適合的形狀。在參考圖4F的又另外的態樣中,基準特徵構造401”,402”和末端作用器395的一或多個邊緣一致。例如,該末端作用器包括一腕部395W及一基材固持部395H。在此態樣中,該腕部395W或該末端作用器395的任何其它適合的部分被塑形成使得該腕部395W的邊緣一體地形成和該腕部395W的邊緣一致的基準特徵構造401”,402”。在其它態樣中,描述於本文中的基準特徵構造被包含在該基材運送設備330的手臂330A 及介於該末端作用器395和手臂330A之間的機械界面(如,類似於腕部395W的腕板)的一者或多者之內或之上。
在圖4A所示的態樣中,基準特徵構造401,402被例示為具有弧形的形狀,使得基準特徵構造401,402的每一者的前緣和後緣(其對應於過渡點425-428)具有一實質固定的半徑,前緣和後緣在該過渡點425-428處的偵測係被該控制器11091例如用來自該編碼器331的位置資料來解答(resolve),用以建立該末端作用器參考點395C的位置Xc,Yc。在其它態樣中,基準特徵構造401,402具有任何適合的形狀,其具有關於末端作用器參考點395C的獨一無二的答案,其將被解答以辨認出該末端作用器參考點395C的位置Xc,Yc。例如,在一參考圖5的態樣中,基準特徵構造501,502包括直的邊緣,其具有相對於該末端作用器參考點395C的位置Xc,Yc的預定的偏移量FS1,FS2及角度α。在一態樣中,每一描述於本文中的基準特徵構造被建構來獨立地解答該基材固持站395S的該末端作用器參考點395C。在一態樣中,描述於本文中的基準特徵構造被設置在該末端作用器395或該基材運送機器人330的手臂330A的其它適合的位置,使得該基準特徵構造的偵測界定和該末端作用器395無關的該基材運送機器人330在尺寸上的變化,其中該變化在一態樣中是肇因於該基材運送機器人330上的熱效應。
在一態樣中,仍然參考圖4A,多個基準特徵構造401,402被設置在該末端作用器上以改善決定該末端作用器參考點395C的參考位置Xc,Yc的精確度。例如,基準特徵構造401,402彼此實質地相類似且相對於彼此被相對地設置,使得基準特徵構造401,402的每一者的形狀和一或多個共用的垂直的參考特徵構造(譬如,例如第一圓圈VRW1(其對應於過渡點425,427)及第二圓圈VRW2(其對應於過渡點426,428))。雖然兩個圓圈被示出,但在其它態樣中,過渡點可對應至單一個圓圈或多於兩個的圓圈。在其它態樣中,基準特徵構造401,402可界定任何適合的幾何特徵構造/形狀,其例如和末端作用器參考點395C具有預定的關係。每一圓圈VRW1,VRW2具有一已知的直徑,因此每一圓圈VRW1,VRW2(以及對應於各個圓圈及過渡點425,427及426,428的基準特徵構造401,402的邊緣)具有關於決定該末端作用器參考點395C的位置Xc,Yc之決定的解答。在一態樣中,對應於過渡點425,427及426,428的每一用於該等圓圈VRW1,VRW2的解答以及來自該編碼器331的位置資料被該控制器11091用任何適合的方式(例如,實質上去除掉在感測器199A,199B訊號中及機器人編碼器訊號中的雜訊變動)結合以並加以平均。在其它態樣中,用於基準特徵構造(譬如,一單一基準特徵構造)、在末端作用器的相反側上的基準特徵構造及/或在該末端作用器的同一側上的基準特徵構造(不論是增補的特徵構造或是 非增補的特徵構造)的每一者的解答可被結合且被平均化,用以去除掉在感測器資料及編碼器資料中的雜訊變動。
可被理解的是,存在有肇因於例如在偵測過渡點421-428的一者或多者時移動中的運送手臂330A及末端作用器395的速度效應所造成的滯後效應(如,在感測器199A,199B訊號中)。例如,一較高的運送手臂330A速度會因為一感測器199A,199B感測到至少該等過渡點425-428的一者或多者的時間和該感測訊號被該控制器11091接收到的時間之間的延遲而造成一更大的差異效果。該滯後效應在一態樣中係藉由例如結合運送手臂330A的徑向伸展位置編碼器數值(其對應於在不同速度的各過渡點425-428的偵測訊號)來解決。雖然手臂330A的徑向伸展被當作例子使用,但在其它態樣中,從編碼器331處獲得的手臂330A的位置資訊可以是對應於任何適合的座標系統的任何適合的位置資料。例如,該運送手臂330A以第一速度延伸至該基材固持站315內用以放置該基材S並在放置該基材S之後以一不同於該第一速度的第二速度從該基材固持站315撤回。該控制器11091接收到在例如該末端作用器通過感測器199A,199B時運送手臂330A伸展及收縮這兩者的過渡點425-428資料且該編碼器331送出和過渡點425-428資料相對應的位置數值/資料至該控制器11091。該控制器11091被建構來將來自該編碼器331之在伸展及收縮操作時和過渡點425-428 資料相對應的位置數值/資料結合並加以平均,用以補償滯後效應。可被瞭解的是,多次的伸展及收縮操作可被結合並且被平均以實質地降低或消除滯後效應。雖然手臂330A的徑向伸展被作為滯後效應補償例子在上文中被描述,但在其它的態樣中,該手臂330A可沿著任何適合的路徑在多個方向上以不同的速度通過該等感測器,其中和過渡點相對應的位置資料被結合且被平均以補償滯後效應。
仍參考圖4A,該被揭露的實施例的態樣的一示範性操作將被描述。如上文所述,傳統的自動化晶圓或基材定心演算法係利用基材定心夾具來將該參考晶圓位置界定成零偏心度。在該被揭露的實施例的態樣中,基準特徵構造401,402和零偏心度的晶圓位置(如,該末端作用器參考點395C的位置)具有一預定的決定關係。因此,從基準特徵構造401,402獲得的測量值界定了該末端作用器參考點395C的位置Xc,Yc。在基準特徵構造401,402通過個別感測器199A,199B時被一或多個感測器199A,199B偵測到的過渡點425-428被測量成為當個別感測器199A,199B偵測到每一過渡點425-428時,該基材運送機器人330的編碼器331所回報的末端作用器的位置。在一態樣中,該末端作用器參考點395C的位置Xc,Yc係與決定被固持在該末端作用器395上的基材S的偏心度實質同步地(例如,在該末端作用器、基準特徵構造、及/或基材的同一次通過或單次通過感測器199A, 199B時)被決定。
在一態樣中,描述於本文中的該自動化基材定心及站教導設備300在一預定的刻度或參考溫度TREF被校準,使得在基準特徵構造401-402通過一或多個感測器199A,199B時,至少該基材運送機器人330的編碼器資料和該末端作用器參考點395C相關聯。該校準溫度可以是任何適合的溫度,譬如,例如該基材運送手臂330不會有熱膨脹或收縮的溫度及/或基材固持站315被教導給該基材運送設備330的溫度。在其它態樣中,校準溫度是該基材運送設備330的熱膨脹或收縮量被知道的溫度。在一態樣中,為了校準該自動化基材定心及站教導設備300,該基材運送機器人所處的環境被帶至該校準溫度(圖6的方塊600)。該基材運送機器人330例如在一運送室內移動至一基材固持站315的位置。當該基材運送機器人330移動至該基材固持站315時,該末端作用器395(如,基準特徵構造)被移動通過一或多個感測器199A,199B(圖6的方塊605)。該一或多個感測器199A,199B例如偵測在過渡點425-428的一或多個基準特徵構造401,402的前緣及後緣(圖6的方塊610)。在每一過渡點425-428處,該一或多個感測器199A,199B送出一訊號給該控制器11091,其指出已發生了過渡點425-428(圖6的方塊615)且該基材運送機器人330的編碼器則送出訊號至該控制器11091作為該感測器訊號的回應,其指出該基材運送機器人330的位置(例如,該 控制器11091接受編碼器資料以回應該等運送偵測)(圖6的方塊620)。該控制器根據對應於過渡點425-428的編碼器資料以及基準特徵構造401,402和末端作用器參考點395C之間的該已知的決定關係以任何適當的方式來決定該末端作用器參考點395C的位置Xc,Yc(例如,在零偏心度的基材位置),使得該基材運送機器人330的編碼器資料(以及例如徑向伸展位置)和該末端作用器參考點395C相關聯(例如,該末端作用器參考點的位置Xc,Yc是該控制器已經知道的)(圖6的方塊625)。在一態樣中,該控制器11091例如使用下面和過渡點427,428以及基準特徵構造401相關的等式並參考圖4A及7來決定位置Xc,Yc:γ1 sin γ1=γ2 sin γ2;其中
Figure 105122083-A0202-12-0038-3
Figure 105122083-A0202-12-0038-4
Yc=R CT +r2 cos γ2及Xc=γ2 sin γ2。
其中r1及r2分別為基準特徵構造401,402所界定之虛擬圓圈VRW1及VRW2的半徑;γ 1及γ 2是在虛擬圓圈VRW1及VRW2上的過渡點427及428的角度;及△R是手臂在過渡點427和在過渡點428的徑向伸展的差異。用於基準特徵構造402的過渡點425,426之類似計算可被實施且和用於過渡點427,428的記算結果一起被平均以提高該校準的精確度。
再次地,應被瞭解的是,其它的解答/等式亦 可被使用,其取決於基準特徵構造401,402的幾何構造。可被理解的是,該自動化基材定心及站教導設備300的校準是在例如工具設定時或基材運送手臂被更換時被實施,且一但該基材處理設備已處在處理安全狀態時(例如,在該已被密封的處理設備內的溫度被培養到基材處理溫度時)就不用被實施。此外,該基材運送手臂330A在該校準溫度的徑向伸展RCT為了該等過渡點425-428的至少一者被決定,然而,在其它態樣中,該基材運送手臂的該伸展並不是徑向,而是該基材運送手臂用於過渡點425-428的至少一者的X及Y座標(或該基材運送機器人在任何其它適合的座標系統中的座標)被該控制器11091記錄且和過渡點425-428相關聯。
如上文中提到的,在基材處理設備(譬如,例如基材運送機器人330)上的溫度效應可以是例如在將基材S放置於基材固持站315或由基材固持站315揀取基材S時之精確度誤差的來源。該基材運送機器人330的熱膨脹及/或收縮在一態樣中係用基材運送機器人330的手臂330A的位置資料(其對應於基準特徵構造401,402在從基材固持站(譬如,圖3的基材固持站315)揀取基材S及將基材S置於基材固持站期間的過渡點資料)和基材處理實質同步地被補償。在一態樣中,基材處理模組325(及其構件,譬如,基材固持站315)上的熱效應亦例如藉由儲存一可配置的刻度尺(configurable scale)於該控制器11091內來加以補償,該可配置的刻度尺將該基材處 理模組325的熱膨脹及/或收縮和該基材運送設備330的熱膨脹及/或收縮關聯在一起。在一態樣中,該基材處理模組325的熱膨脹及/或收縮的數值是由該控制器根據該基材運送設備330的熱膨脹及/或收縮的數值如本文中所描述地估計出來的。例如,該手臂330A的熱膨脹及/或收縮可作為溫度感測器,用來估計該基材處理模組325的熱膨脹及/或收縮,其關聯性可被顯示在該可配置的刻度尺中。
可理解的是,當該基材處理設備的處理溫度改變時,被偵測到的基準特徵構造401,402的過渡點425-428會因為基材運送設備330(譬如,運送手臂395)的熱膨脹或收縮而相對於基材運送機器人330的位置漂移。因此,可藉由將該基材運送設備330位置資料和它們在校準溫度TREF的相對數值相比較來測量熱膨脹或收縮效應的結果。參考圖9,除了例如存在有肇因於溫度效應的變形的時候之外,該末端作用器參考點395C的位置應是獨一無二的。如果有必要的話,為了要將例如該基材運送手臂330A的溫度變形效應量化,可測量在校準溫度TREF的位置Xc,Yc並將該位置儲存為(上文所述之)校準程序的一部分。當該基材運送機器人在一任意的溫度T伸展或收縮來回於該基材處理模組325的基材固持站315時,該溫度效應可如下地被計算:(△X(T),Y(T))=(Xc(T)-Xc(T REF )),(Yc(T)-Yc(T REF ))。
其中該被測得的變形以任何適合的方式被加 入到該晶圓偏位測量及校正中,使得該晶圓中心WC被放置成和站中心SC對準。在一態樣中,△X(T),△Y(T)可被用來決定如本文中所描述的在該基材處理模組325上的熱效應。例如,在一態樣中,該基材運送機器人330用末端作用器395從任何適合的基材固持站揀取一基材S(圖8的方塊800)。應指出的是,在一態樣中,熱補償是在該末端作用器沒有固持基材S時被實施(如,圖8的方塊800是非必要的)且可在基材處理之前或期間被實施。該基材運送機器人330例如在一運送室內或其它受控制的環境內移動至一基材固持站315的位置。當該基材運送機器人330朝向該基材處理模組325的基材固持站315移動(例如,用以放置該基材S或將基準特徵構造401,402移動通過感測器199A,199B)時,該基材S及/或末端作用器395(例如,基準特徵構造401,402)被移動通過感測器199A,199B的一者或多者(圖8的方塊805)。在該末端作用器395固持著一基材的態樣中,感測器199A,199B的一者或多者在過渡點421-424同步偵測例如基材S前緣及後緣(圖8的方塊810)。在一態樣中,感測器199A,199B的一者或多者除了過渡點421-424的偵測之外或者取代過渡點421-424的偵測(例如,圖8的方塊810的基材偵測在一態樣中是非必要的),同步地偵測在過渡點425-428的基準特徵構造401,402的一者或多者的前緣及後緣(圖8的方塊815)。感測器199A,199B的一者或多者在每一過渡點421-428送出一 訊號至控制器11091,其指出各個已發生的過渡點421-428(圖8的方塊820及821,其中方塊820只在該末端作用器有固持一基材時才會發生)而且為了回應此訊號,該基材運送機器人330的編碼器送出訊號該控制器11091,其指出該基材運送機器人330的位置(例如,該控制器11091接受編碼器資料以回應過渡點偵測)(圖8的方塊825)。在一態樣中,該末端作用器參考點395C在處理溫度(如,在△T)的熱補償係例如根據基材運送機器人330在過渡點425-428的一者或多者處(譬如,例如在過渡點428處)的徑向伸展位置R△T來決定。例如,R△T是在感測器119A偵測到過渡點428且該基材運送設備330的編碼器331將指出該基材運送設備330的位置的位置訊號送至該控制器11091時由該控制器11091決定的(圖8的方塊830)。應指出的是,R△T對應於或反映出該基材運送機器人330的手臂330A從該感測器199A到該肩軸Z之肇因於熱膨脹或收縮的尺寸改變。因此,末端作用器參考點395C在處理溫度時的的位置Xc△T,Yc△T係由下面的等式來決定,例如和基材處理實質同步地決定(圖8的方塊835):Y CT =R T +γ2 cos γ2及X CT =γ2 sin γ2=Xc
其中,γ2 cos γ2因為例如該末端作用器395的材料的熱穩定性的關係而未從γ2 cos γ2的校準值被改變且Xc△T再度因為例如該末端作用器395的材料的熱穩定性的關係 而實質地恆定不變(如,等於Xc)。在一態樣中,該處理模組的尺寸因子(例如,肇因於熱效應的關係)可如所需地譬如藉由加總而和Yc△T及Xc△T結合,用以將用於該運送機器人的變換(commutation)用以將基材S置於該處理模組站315的處理模組325的站315的尺寸變動的效應併入。在一態樣中,補償該基材運送手臂330A的熱膨脹效應無需知道該處理溫度的實際數值,因為溫度補償效應是例如藉由自然地偵測在處理溫度時的過渡點425-428和在校準溫度時的過渡點425-428的相對應位置之間的相對偏差來達成。該控制器11091根據末端作用器參考點395C在處理溫度的位置Xc△T,Yc△T來控制基材運送機器人330的移動,用以同步地補償該基材運送機器人330的熱膨脹及/或收縮,例如,位置Xc,Yc係根據在處理溫度的R△T而被同步地調整。
雖然末端作用器參考點395C在處理溫度的位置Xc△T,Yc△T的決定在上文中是從過渡點428來決定,但在其它態樣中,位置Xc△T,Yc△T是使用例如在第一圓圈VRW1及第二個圓圈VRW2上多於一個點來決定,其中這些複數個點對應於該等圓圈VRW1,VRW2的同一個圓圈。例如,兩個點(譬如,過渡點426及428)被使用(或在其它態樣中是過渡點425及427被使用)來決定位置Xc△T,Yc△T。例如,參考圖9,位置Xc△T,Yc△T可例如藉由感測過渡點426,428使用下面的等式來找出來:
Figure 105122083-A0202-12-0043-5
Figure 105122083-A0202-12-0044-6
Figure 105122083-A0202-12-0044-7
X3-X1=R cos(α SS -θ SS )→X3=X1+R cos(α SS -θ SS )。
Y3-Y1=R sin(α SS -θ SS )→Y3=Y1+R sin(α SS -θ SS )。
其中這些等式使用該等虛擬的圓圈VRW1,VRW2的一者或多者來定位末端作用器參考點395C,其代表被該末端作用器395固持的一被定心的基材。可被理解的是,使用一個圓圈上的兩個點的該等等式可被應用於圓圈VRW1,VRW2這兩者上,所得到之用於末端作用器參考點395C的個別位置結果被平均化,用以增加位置Xc△T,Yc△T決定的精確度。在此處,如同被揭露的實施例的其它態樣一樣,每一次該基材運送設備330伸展該手臂330A來回於基材處理模組/站325時,末端作用器參考點395C的位置可被決定,該決定和被固持在該末端作用器395上的基材S的位置無關。再次地,在一態樣中,處理模組325的尺寸的變化可如本文中所述地和位置Xc△T,Yc△T的的決定相結合,用以實施基材S在處理模組站315處的放置。
在一態樣中,當該末端作用器固持一基材S時,如果有必要的話該控制器11091被建構來根據用於過渡點421-424的基材感測器過度點資料以及在處理溫度的末端作用器參考點395C的位置Xc△T,Yc△T來決定該基材S的偏心度e(圖8的方塊840)。在一態樣中,該基材S的偏心度e係用任何適合的方式(譬如,例如描述在之前 被併於本文中的美國專利第6,990,430號;第7,925,378號;第4,819,167號及第5,980,194號中的方式)被同步地決定。例如,參考圖4A,基材中心WC的位置Xw,Yw、末端作用器參考點395C的位置Xc,Yc及基材S的偏心度e被示出。應被理解的是,基材中心WC的位置Xw,Yw、末端作用器參考點395C的位置Xc,Yc代表在校準溫度或在處理溫度△T的位置,其中位置Xw,Yw及Xc,Yc(Xc△T,Yc△T)係如本文中所描述地被決定。在一態樣中,偏心度e可用下面的等式來決定:e=(△X,Y)=(Xw-Xc,Yw-Yc)。
雖然在上文中指出了基材固持站315的位置被教導給在該校準溫度的基材運送機器人330,但在一態樣中,基材固持站315的位置則以任何適合的方式例如根據在處理溫度被決定的參考點位置Xc△T,Yc△T及/或基材的偏心度e而被重新教導(或取代在校準溫度的教導而被教導)給在處理溫度的該基材運送機器人(圖8的方塊845)。例如,該控制器11091被建構來從描述於本文中的基準特徵構造的偵測得知該基材處理工具390的基材處理模組/站325的中心位置SC。在一態樣中,該控制器11091被建構來從感測器資料(其對應於描述於本文中的至少一基準特徵構造的至少一邊緣的偵測)中辨識出並獲知該基材處理工具390的基材處理模組325的中心位置SC。在一態樣中,基材固持站315的位置以實質類似於描述在2015年7月13日提申名稱為“Tool Auto-Teach Method and Apparatus”(其律師文件編號第390P015016-US(-#3)號)的美國專利暫時申請案中的方式被教導給在處理溫度的該基材運送機器人330,該專利案的全部內容藉此參照被併於本文中。
在一參考圖4A及10的態樣中,該基材運送機器人330的末端作用器395的位置395C被決定且該站中心SC在沒有一教導晶圓(teaching wafer)下於一次操作或步驟中被帶至該基材運送設備330。例如,感測器199A,199B(它們被設置在該中心線CL的相反側上,但並不須要被對稱地設置)和該站中心SC具有一預定的空間關係,使得感測基準特徵構造(譬如,基準特徵構造401,402)係用於決定末端作用器中心或參考位置395C以及獲知該站中心SC這兩者。例如,站中心SC的教導將參考由末端作用器395的基準特徵構造401,402所界定的虛擬圓圈VRW1來描述,但應被瞭解的是,該站中心SC可用由基準特徵構造及/或基材S所界定的圓圈VRW2以類似的方式來教導。在一態樣中,晶圓S及/或基準特徵構造401,402被末端作用器395朝向感測器199A,199B移動(圖11的方塊1100)。晶圓S及/或基準特徵構造401,402被該等感測器感測到(圖11的方塊1110)且該晶圓中心WC和該基材運送設備的位置(即,參考位置395C)的一者或多者被決定(圖11的方塊1120)。例如,參考位置395C係如本文中所描述地使用圓圈VRW1來決定。可被理解的是,因為感測器199A, 199B相對於該站中心SC的位置是已知的以及因為該晶圓中心WC和末端作用器參考點395C實質重合,所以該基材固持站相對於該末端作用器參考點395C的位置亦是已知的且被教導給該基材運送設備,其中感測該晶圓S及/或基準特徵構造401,402係實施末端作用器參考點395C(即,該基材運送設備的位置)在該末端作用器通過感測器199A,199B一次的操作(或步驟)中,相對於該站中心的登錄(registration)(圖11的方塊1130)。
在一參考圖1A及3的態樣中,控制器11091係用一運動模型及/或演算法予以程式化,它以一運送模組11025或處理站11030參考座標(reference frame)來描述或敘述手臂330A的位置(或該手臂330A上的一預定的參考點的位置(譬如,例如末端作用器395/基材S中心395C(Xc,Yc)或其它適合的參考點)以及和該手臂330A的運動。在一態樣中,該運動模型及/或演算法係根據手臂330A的尺寸(譬如,每一手臂連桿330AU,330AF的尺寸LUi,LFi,參見圖12)及手臂330A的幾何形狀(如,固定樞軸式、SCARA式、蛙腳式、跳蛙式手臂、雙對稱式手臂、直線滑動式等等)。在一態樣中,該運動模型或演算法將一手臂參考點或基準點(譬如,例如基準特徵構造401,402)和該末端作用器395的位置(譬如,末端作用器395的中心395C)相關連,其中從該肩軸Z到該末端作用器395的中心395C或到該手臂參考點或基準點(譬如,中心決定/基準特徵構造401, 402)的距離大致上被稱為該手臂330A的徑向位置或距離R,如圖4A、9、12及13中所示。
參考圖12,一SCARA手臂的示意圖(其亦適用於雙對稱式手臂)為了示範的目的而被示出。在其它態樣中,該手臂可以例如是參考圖2A-2E在上文中被描述的手臂的一者或其它任何適合的手臂。關於圖12中所示的該SCARA手臂,該上臂330AU具有一長度LUi、該前臂330AF具有一長度LFi及該末端作用器395可具有一或多個參考點尺寸LEi,譬如例如該SCARA手臂的腕部的尺寸LEWi、一(例如,從該腕部)到一或多個基準點或中心決定特徵構造401,402(類似於上文中描述的圖4A-4C中所示的特徵構造401,402及/或圖4D-5中所示的中心決定特徵構造)的尺寸(LEFi)、及/或一(例如,從該腕部)到該末端作用器395或該基材S的中心的尺寸LECi。該上臂330AU和該前臂330AF的連桿亦具有連桿角度εiβ i,其中為了該SCARA手臂330A的徑向伸展(如,沿著延伸穿過樞軸Z的Y軸),該末端作用器395的角度約等於零(0)。
該運動模型及/或演算法產生一用於該SCARA手臂330A徑向位置R的數值,作為一描述一預定的參考點的伸/縮位置或該SCARA手臂330A上的一預定的參考點或基準點的尺寸。例如,參考圖4及7,該手臂330A在該處理溫度的徑向位置R△T和該手臂330A在該校準溫度TREF的徑向位置RCT係相對在該機器人末端作用器395 上的該一或多個基準點或中心決定特徵構造401,402來決定,而在圖9中,該手臂330A在該處理溫度的徑向位置R△T和該手臂330A在該校準溫度TREF的徑向位置RCT則是相對於末端作用器395的中心395C來決定。因此,對於SCARA的手臂330A(或雙對稱式手臂的每一手臂)而言,Ri是LUi、LFi、LEi、εiβ i的函數,例如,
Figure 105122083-A0202-12-0049-49
。對於在該校準溫度TREF的SCARA的手臂330A的徑向位置RCT而言,該上臂LUi的長度LUi及該前臂LFi的長度LFi是已知的且連桿角度εiβ i,是從具有已知的初始數值之(用於個別手臂的)馬達編碼器資料來決定的。該末端作用器參考點尺寸LEi(其中,它可以是在腕部的尺寸LEWi、在一或多個基準點或中心決定特徵構造401,402的尺寸(LEFi)、或在該末端作用器或該基材的中心的尺寸LECi)可如上文所述地被視為一固定值。因此,在該手臂330A的移動期間,該手臂330A在該處理溫度的徑向位置R△T和該手臂330A在該校準溫度TREF的徑向位置RCT是藉由該運動模型及/或演算法在任何預定的位置從一來自用來偵測一手臂330A的特徵構造或基材的特徵構造(如上文中參照圖3、4A-4F、5、7及9所描述者)的自動的晶圓定心(AWC)感測器199A,199B的一者或多者的感測器訊號以及從手臂連桿330AU,330AF,395和該手臂330A上的該預定的參考點的幾何關係來產生。在一態樣中,在該手臂上的該參考點係相對於該手臂330A的參考座標(如,以徑向的R,θ為單位的 座標系統)及對於該運送室或處理室的參考座標(如,直角座標系統)這兩者來決定的。
參考圖3、9及13,例如,該手臂330A的徑向伸展位置R在該運動模型及/或演算法中係相對於該末端作用器395的中心位置被設定,其中
Figure 105122083-A0202-12-0050-8
,其中Y 2-Y 1=R C2-R C1X 2-X 1=D c
其中DC是介於感測器199A,199B之間的已知的尺寸且Y3、Y2、Y1、X2、X1類似於上文中描述者。
此外,如本文中之前提到的,該手臂330A的徑向位置R係隨著運送室11025及/或處理站11030的熱改變而改變以及肇因於熱效應的徑向位置R△T的改變如本文中之前提到地係被感測器199A,199B偵測且被輸入到該運動模型及/或演算法中,使得該手臂330A在該處理溫度的徑向位置R(y)△T(即,如該運動模型或演算法所決定之沿著手臂的軸線的整個運動範圍的徑向的手臂位置)係如本文中之前所描述地由該手臂在該校正溫度TREF的徑向位置R(Y)CT來加以校正的。通常且將被詳細描述的是,該手臂在該處理溫度及在該校準溫度TREF的徑向位置(R△T及RCT)界定一比例因子(proportion factor)KS,其被使用在該運動模型或演算法中以建立該手臂330A的該徑向位置R(Y)△T
在一態樣中,該控制器11091包括一運動效 果解析器11091R(參見圖3),其被建構來將該運動模型及/或演算法靈敏度的效果解析成該運送室11025及/或處理站11030的溫度改變△T的函數。在一態樣中,該解析器11091R被建構來從該中心決定特徵構造401,402的至少一前緣的偵測決定比例因子變化和該至少一邊緣的偵測之間的關係,其中該至少一邊緣的偵測是和該基材運送設備的運動(譬如,徑向運動)同步地被感測器199A,199B實施,且該解析器進一步依據界定該手臂的變化的比例因子來解析該被決定的比例因子變化的效果。例如,該解析器11091R被建構來解析該手臂330A的一被運動地界定的尺寸(譬如,例如R△T)、膨脹因子KS和該手臂330A的尺寸變化(譬如,肇因於熱效應)之間的關係,這將於下文中進一步描述。例如,該解析器11091R可藉由該運動模型及/或演算法來實施因子化(factorization),其將該SCARA手臂330A在處理溫度的徑向位置R△T和該SCARA手臂330A在該校準溫度TREF的徑向位置RCT關聯在一起(例如,透過伸展或比例因子KS),其中KS可被一般性地表示為:
Figure 105122083-A0202-12-0051-9
在一態樣中,該膨脹因子KS可被始終如一地運用在用於該手臂330A在該校準溫度TREF的徑向位置R(Y)CT(例如,在該校準溫度TREF該手臂330A沿著Y軸的徑向位置,或換言之,沒有熱效應的徑向位置)的數值,用以校正該運動模型及/或演算法中在該手臂330A的 整個徑向運動範圍內的該手臂330A的徑向位置R(譬如,在該處理溫度或任何其它溫度的徑向位置R(Y)△T)。
在其它態樣中,該膨脹因子KS可被決定,用以在對該手臂330A的熱效應的影響是可忽略的情形下去除掉手臂構件的效應,譬如,如本文中所描述的,該末端作用器395是熱穩定的且XC△T,YC△T(參見圖4A)是實質固定不變的。當KS被決定為在對該手臂330A的熱效應的影響是可忽略的情形下去除掉手臂構件的效應時,KS可被表示為:
Figure 105122083-A0202-12-0052-10
該手臂330A的徑向位置R被設定為該末端作用器的中心395C(XC,YC),如圖9、12及13所示,且LEi等於LECi
在一態樣中,在該運動模型及/或演算法中,該解析器11091R可被直接運用至該膨脹因子KS,用以過濾掉或補償在手臂330A的尺寸LUi,LFi上的改變的非線性的熱效應,該非線性的熱效應是該手臂330A及基材處理系統中的非線性變化及非線性因素所產生的。該非線性變化包括但不侷限於在該手臂330A的每一手臂連桿(譬如,上臂330AU及前臂330AF以不同的速率膨脹)中的不同的膨脹、一共同的基材運送設備(譬如,在蛙腳式機器人構造、雙對稱式機器人構造或基材運送設備具有多個獨立的手臂的構造,例如參見圖2A、2C及2E)的不同手 臂216A,216B,218A,218B,219A,219B的不同的膨脹、該基材運送設備的不同的手臂連桿或不同的手臂所受之不同的溫度(例如,該手臂的一個部分(或一第一手臂)受到一溫度其不同於該手臂的另一個部分(或一第二手臂)受到的溫度)。該基材運送設備的一個手臂可接受到一不同於另一手臂所接受到的溫度的一個例子是,該基材運送設備具有一手臂疊在另一手臂之上的多個手臂,使得最上面的手臂可(例如,因為熱梯度而)接受到一高於最底下的手臂的溫度。多手臂式基材運送設備之一手臂可接受到一不同於另一手臂的溫度的另一個例子是,一個手臂一直被用來揀取一熱的基材,而另一手臂則一直被用來揀取一冷的基材。再另一個例子是,該前臂330AF被伸展至一處理模組中,而該上臂330AU則仍留在該運送室內,使得該前臂330AF受到高於上臂330AU的溫度。
在一態樣中,該解析器11091R可以是以歷史為主的(history based)且被建構來使用一適合的過濾器,譬如一有限脈衝過濾器或一運轉平均過濾器(running average filter),其補償熱該手臂330A的尺寸LUi、LFi的熱改變(thermal change)的非線性效應,其中尺寸LUi、LFi的熱改變是由該基材處理系統內的非線性變化及後續在用該運動模型或演算法建立該手臂330A的徑向尺寸R(Y)△T時所得到的非限性效應所界定的。在一態樣中,該解析器11091R在加熱及冷卻這兩種環境中被直接使用至該膨脹因子KS,其中在熱瞬變期間該手臂 330A(或該手臂的一預定的部分,譬如描述於本文中的該預定參考點/基準點)經過感測器199A,199B的每一次通過該膨脹因子KS(t)i都被決定。每一次通過時的該膨脹因子KS(t)i的數值被輸入到該解析器11091R中且在每一次通過之後該膨脹因子KS(t)即被更新且被該控制器11091使用於該手臂330A的移動上。該被解析的該膨脹因子KS(t)一般性地可用下面的形式被表示為有限脈衝過濾器:
Figure 105122083-A0202-12-0054-11
其中i=連續的手臂330A的運動之間的△t且n是任何適合的測量窗口值(譬如,任何適合的整數值)。該被解析的膨脹因子KS(t)可被更具體地表示為:
Figure 105122083-A0202-12-0054-12
其中Nsample是KS被取樣的次數。
因此,對於該手臂330A(在該預定的校準溫度TREF下,校準之後的任何給定的移動次數(i=1,2,3...)的徑向移動的範圍而言,該運動模型或演算法所決定之用於該手臂330A的徑向移動的徑向尺寸R(Y)△T可被表示為:R i =GK S (t)(R CTK -L Ei )+L Ei
其中RCTX是該該運動模型或演算法在該預定的校準溫度TREF所決定之該手臂330A的相應的徑向移動及G是一增益或縮放係數(scaling factor),其可被包 括,用以補償在該手臂330A的各個位置(如,基材固持站、處理模組等等)被指認出的一預定的偏斜(bias)(譬如,穩態偏斜)。因此,Ri是離該運動模型的徑向距離R,其中該運動模型是已經被熱改變效應補償過(譬如,當該手臂的不同環節不是在相同的穩態溫度時)且如之前所述地或以其它已知的方式被用來決定自動化晶圓定心(AWC)及基材固持/處理站位置。
在一態樣中,該膨脹因子KS可具有一可建構的門檻值(configurable threshold),當該膨脹因子KS高於一預定的門檻值時,該控制器11091的該解析器11091R被建構來持續地使用該運轉的平均過濾器(running average filter)。當該KS低於該預定的門檻值時,手臂330A中導因於該手臂330A的上臂和前臂330AU,330AF之間的熱膨脹的運動誤差可被視為是穩態且該運轉的平均過濾器可以不被施加於該膨脹因子KS上,使得該膨脹因子KS在實質沒有過濾下被該控制器11091施加至該運動模型或演算法中的手臂運動。在一態樣中,該解析器11091R可被建構來根據一如所需地被設定的初始門檻值(例如,該初始門檻值可以是KS=1.0001)來開始及/或施用該被解析的膨脹因子KS(t)。
用於該運動模型或演算法的靈敏度的該解析器11091R可被建構來在該手臂330A處在閒置(idle)的期間施加以回填值KS(B)(backfill value)。例如,該 解析器11091R可使用該手臂330A的一或多個先前的揀取/放置運動(在該手臂變成閒置之前的一先前的手臂運動操作)的該已被過濾的KS(t)來作為在一未過濾的測量窗口中的初始膨脹因子KS(B)的數值。在一態樣中,該回填值KS(B)係取決於手臂330A的運動的最後一次操作和手臂330A的運動重新開始之間的閒置時間長度。在一態樣中,任何適合的回填值KS(B)都可被使用,其包括了根據該鞋置時間長度的一預定的衰減函數(decay function)在內。該解析器可被建構成使得該被解析的膨脹因子KS(t)可分別為了每一手臂來決定、分別為了每一AWC來決定(例如,為了每一感測器199A,199B或為了和一個別的基材固持/處理站11030相對應的每一感測器群組199A1-199A7,參見圖1B)、分別為了一或多個手臂330A的熱成長來決定、及分別為了一或多個手臂330A的熱收縮來決定。
參考圖3,作為實施被解析的及未被解析的膨脹因子的一個例子,在一態樣中,該基材運送機器人330用該自我定心的末端作用器395從任何適合的基材固持位置揀取一基材S(圖14的方塊1400)。如上文中提到的,在一態樣中,熱補償是在該末端作用器395沒有固持基材S下被實施的(例如,圖14的方塊1400是非必要的)且可在基材處理之前或期間被實施。在其它態樣中,在該基材運送機器人330用末端作用器395運送一或多個基材S時,熱補償可被同步地實施。該基材運送機器人 330例如在一運送室或其它受控制的環境內移動至一基材固持站315的位置。當該基材運送機器人330朝向基材處理模組325的基材固持站315移動時(例如,放置基材S或將基準特徵構造401,402移動通過感測器199A,199B),該基材S及/或末端作用器395(例如,基準特徵構造401,402)被移動通過該等感測器199A,199B的一者或多個(圖14的方塊1405)。亦參考圖4A,在末端作用器395有固持基材S的態樣中,該等感測器199A,199B的一者或多者例如在過渡點421-424同步地偵測基材S的前緣及後緣(圖14的方塊1410)。在一態樣中,除了在過渡點421-424的偵測之外或取代在過渡點421-424的偵測地(例如,在圖14的方塊1410中的基材偵測在一態樣中是非必要的),該等感測器199A,199B的一者或多者例如在過渡點425-428同步地偵測基準特徵構造401,402的一者或多者的前緣及後緣(圖14的方塊1415)。該等感測器199A,199B的一者或多者在每一個過渡點421-428送出一訊號至該控制器11091指出各個過渡點421-428已發生(圖14的方塊1420及1421,應指出的是,方塊1420只在該末端作用器有固持基材時才會發生),且為了回應此訊號,該基材運送機器人330的編碼器送出訊號至該控制器11091,其指出該基材運送機器人330的位置(例如,該控制器11091接受編碼器訊號以回應該等過渡點的偵測)(圖14的方塊1425)。這同時地以手臂尺寸通知末端作用器中心位置及熱變化效應這兩 者。該控制器11091被建構來根據該編碼器資料辨識出該基材運送機器人330的手臂330A尺寸的變化並決定該膨脹因子KS(其將該尺寸上的變化和該手臂330A的尺寸關聯起來)。在一態樣中,該末端作用器參考位置(其在一態樣中為該末端作用器395的中心395C)在該處理溫度(例如,在△T)的熱補償或膨脹因子KS是例如根據該基材運送機器人330在該等過渡點425-428的一者或多者(譬如,例如過渡點428)的徑向伸展位置R△T來決定的。例如,R△T是在感測器119A偵測到過渡點428且該基材運送設備330的編碼器331將指認出該基材運送設備330的位置的位置訊號送至該控制器11091時被該控制器11091決定(圖14的方塊1430)。如上文中提到的,R△T對應於或以其它方式反映出該基材運送機器人330的手臂330A從感測器199A到肩軸Z見例如肇因於熱膨脹或收縮的尺寸改變。因此,在該處理溫度的該末端作用器參考點395C的位置XC△T,YC△T或R△T如上文所述地被決定(例如,和基材處理實質同時地被決定)(圖14的方塊1435)。該控制器11091亦比較該膨脹因子KS和該預定的門檻值且如果該膨脹因子KS的數值高於該預定的門檻值的話,上文所描述的運轉的平均過濾器在決定該手臂330A的移動時以上文描述的方式被直接應用至該膨脹因子KS(圖14的方塊1440)。如果該KS的數值低於該預定的門檻值的話,則在決定該手臂330A的移動時,該膨脹因子KS係實質未被過濾地被應用。
描述於本文中的系統及方法的另一個態樣提供一種估計描述於本文中的基材運送機器人的各個操作器/手臂環節的每一者的溫度及個別的熱膨脹以及將運送機器人手臂運動的非線性效應列入考量的方式(approach)。例如,此方式明確地校正運送機器人手臂的運動等式以回報一更確的運送機器人手臂位置。此外,當運送機器人到達穩態時,將於下文中被更詳地描述的此方式回報類似的結果給目前的實施方式。
如上文中提到的,在參考圖12及13的被揭露的實施例的示範性態樣中,該控制器11091被建構來從:取決於連桿角度(例如,圖12中所示的εiβ i)的KS參數認知到對該熱效應關係模型的非線性影響;及該上臂及前臂溫度可不相同(參見圖15)且隨著時間的推移,以一和該KS被算出的速率不同的速率改變。
亦參考圖15,一代表性的上臂溫度曲線1500 vs.時間以及一代表性的前臂溫度曲線1501 vs.時間被示出。該代表性的上臂溫度曲線1500和該代表性的前臂溫度曲線1501是從一具有熱處理模組(如,在操作溫度下的處理模組)的示範性客戶應用中觀察到的。從圖15中可觀察到的是,即使是在該運送機器人到達穩態(例如,熱穩態)的時候,在該運送手臂(如,圖12中所示的運 送手臂330A)的上臂和前臂之間仍有溫度差。
圖16例示依據一末端作用器395的被揭露的實施例的態樣的另一示範性構造,該末端作用器395例如具有兩個基準特徵構造1600,1601(其類似於上文描述的基準特徵構造),它們被設置在該末端作用器395上的不同的運動位置。如上文中提到的,基準特徵構造1600,1601具有代表性的構造且為了示範的目的而被顯示在末端作用器395的一個側邊上,然而,在其它態樣中,基準特徵構造可被設置在末端作用器395的相反的側邊上(如上文所描述),用以如上文所述地用來將該KS的數值平均。而且,在其它態樣中,該等基準特徵構造1600,1601的一者或多者可以是中心位置XC,YC決定特徵構造或被建構來決定該運送手臂的任何適合的部分(如上文所述)。在其它態樣中,基準特徵構造1600,1601可和用來決定中心位置XC,YC(或該運送手臂的其它部分)的其它基準特徵構造一起被提供。在一態樣中,基準特徵構造1600,1601可沿著伸展/收縮方向499的直線地位移(例如,一被該運動模型所產生的預定的伸展尺寸,參見圖15及17),使得用於每一基準特徵構造1600,1601之相對應的KS數值(KS1,KS2)實施在一給定的溫度設定下之上文中提到的該上臂連桿和該前臂連桿間的非線性效應貢獻的區別。分別相關於在同一次通過感測器199A,199B(例如,在同一個伸展或收縮運動通過該等感測器)時的基準特徵構造1600,1601的感測器199A, 199B偵測的各個KS數值(譬如,KS1,KS2)會例如因為每一基準特徵構造1600,1601被偵測時的每一基準特徵構造1600,1601的不同的運動而實質不相同。因此,各個KS數值(譬如,KS1,KS2)可被用來提供該上臂和該前臂連桿之間的溫度差的指示。因此,相較於傳統的熱補償演算法,該運送機器人運動可被校正,用以用更高的精確度來報告該末端作用器395的位置。
在一態樣中,參考圖16及17(其為了示範的目的而顯示一蛙腳式手臂構造,但應被理解為該被揭露的態樣可等效地應用至SCARA手臂構造、雙對稱式手臂構造及描述於上文中的其它手臂構造,例如參考圖2A-2E所描述者),KS1和KS2之間的關係,其另外被表示為KS1:KS2(其中如之前所描述地,KS1及KS2是和每一基準特徵構造1600,1601相關聯的KS數值),取決於上臂連桿330AU的熱膨脹/收縮效果(亦參見LUi)及前臂連桿330AF的熱膨脹/收縮效果(亦參見LFi)。上臂連桿的熱膨脹/收縮LUi和前臂連桿的熱膨脹/收縮LFi之間的任何差異以以及造成此膨脹/收縮差異之該上臂連桿和前臂連桿在溫度上的任何相應的差異都將從KS1:KS2關係上被反應及辨識出來。因此,當該手臂330A在熱瞬變期間於不同的通過中被循環(例如,被伸展及/或縮回)時,在每一次通過(i)中,和相應的基準特徵構造1600,1601相關聯的各相對的熱膨脹數值KS1(i),KS2(i)在它們彼此相關時是可從該被給定的通過(i)的上臂連桿溫度TUi和前 臂連桿溫度TFi之間的熱差異△TU/Ai來決定。應指出的是,各手臂溫度TUi,TFi可被視為已經針對各手臂連桿LUi,LFi的相應長度L1,L2被平均過。在其它態樣中,各手臂溫度TUi,TFi可和相應的手臂上的一預定的位置(譬如,在手臂連桿的端點、在手臂連桿LUi,LFi的中點或沿著各手臂連桿的長度L1,L2的任何其它位置)相關聯。亦應指出的是,雖然各手臂溫度TUi,TFi間的關係被描述為有差異,但各手臂溫度TUi,TFi間的任何適合的關係都可被使用(例如,TUi:TFi)。
依據被揭露的實施例的其它態樣,該上臂連桿LUi及該前臂連桿LFi的不同的手臂溫度(TUi,TFi)和各自不同的膨脹因子KSi1,KSi2(其相關於每一基準特徵構造1600,1601)之間的獨一無二的關係可用一查找表來表示,或用儲存在控制器11091(參見圖1及3)中的任何適合的演算法來表示。被體現為查找表/演算法的該關係可依據經驗地,或藉由適合的模型,或它們的組合來指認。
亦參考圖19,一依據被揭露的實施例的態樣的用來決定一經過校正的機器人位置的非線性變化解析器1950(它可以是該控制器11091整體的一部分)被示出。依據被揭露的實施例的一態樣,用於KS1,KS2的數值係如上文參考圖12及13的每一基準特徵構造1600,1601所描述地被計算出來(圖20的方塊2000)。在一態樣中,用於KS1,KS2的數值例如被輸入到一查找表中或任 何適合的演算法1900中(圖20的方塊2005),其輸出上臂連桿330AU,LUi及前臂連桿330AF,LFi的模型化的溫度TUi,TFi(圖20的方塊2010),其中該上臂連桿330AU,LUi及該前臂連桿330AF,LFi在該預定的校準溫度具有各自的連桿長度L1i,L2i。在一態樣中,該模型化的溫度資訊被施入到一熱膨脹模型1910中,其預測被膨脹的(或被收縮的)連桿長度(例如,在圖17中的連桿330AU’及330AF’上標示的L1i+△L1i及L2i+△L2i)(圖20的方塊2015)且該經過校正的運送機器人的位置係用一運動模型1920計算出來,其已為了該被膨脹的連桿長度L1+△L1,L2+△L2被校正過(圖20的方塊2020),應指出的是,末端作用器395的長度L3係如上所述地是實質固定不變的。
在被揭露的實施例的一個態樣中,基準特徵構造1600,1601以類似於上文中參考圖16所描述的方式提供在該基材/末端作用器通過感測器199A,199B一次時的基材S(參見圖4A)的中心決定及該末端作用器395的中心決定(例如,在沒有一用來登錄相對於該站中心的該末端作用器中心的教導晶圓下,該站中心SC被教導給該基材運送設備330)。例如,如上文所述地,感測器199A,199B(亦參見圖4A,它們被設置在末端作用器的中線CL相反的兩側邊上,但不須被對稱地配置)和該站中心SC(亦參見圖4)之間有一預定的空間關係,使得感測該等基準特徵構造(譬如,基準特徵構造1600, 1601)係用來決定該末端作用器中心或參考位置395C、該基材/晶圓中心WC(參見圖4)並獲悉該站中心SC。例如,一站中心SC的教導(以及晶圓中心的決定)將參考該末端作用器395的基準特徵構造1600,1601來描述,但應被瞭解的是,該站中心SC可用類似於使用基材/晶圓S的方式來教導。在一態樣中,該末端作用器395被移動(圖21的方塊2100),用以將該基材S及/或該等基準特徵構造1600,1601朝向感測器199A,199B移動。該基材S被感測器偵測到(圖21的方塊2105)且基準特徵構造1600,1601被感測器偵測到(圖21的方塊2110)且用任何適合的方式(譬如,描述於上文中的方式(例如,譬如藉由使用本文中所描述的圓圈VRW1))來決定該基材中心WC及該基材運送設備的位置(即,參考點395C)(圖21的方塊2115及2120)。可被理解的是,當在該末端作用器通過感測器199A,199B一次的期間對該基材S及/或基準特徵構造1600,1601的感測係實施末端作用器中心參考點395C(即,該基材運送設備的位置)相對於該站中心的登錄時,因為感測器199A,199B相對於該站中心SC的位置是已知的以及因為該基材中心WC和末端作用器中心參考點395C實質重合,所以該基材固持站相對於該末端作用器中心參考點395C的位置亦為已知且被教導給該基材運送設備(圖21的方塊2125)。
在另一態樣中該末端作用器中心參考點395C 相對於該站中心SC的登錄以及基材中心WC的決定可用單次通過或多次通過來實施。例如,仍參考圖16,當該基材中心395C及該站位置SC這兩者要被教導時,該末端作用器395被移動(圖22的方塊2200),用以將該基材S及/或該等基準特徵構造1600,1601朝向感測器199A,199B移動。該基材S被感測器偵測到(圖22的方塊2205)且基準特徵構造1600,1601被感測器偵測到(圖22的方塊2215)且用任何適合的方式(譬如,描述於上文中的方式(例如,譬如藉由使用本文中所描述的圓圈VRW1))來決定該基材中心WC及該基材運送設備的位置(即,參考點395C)(圖22的方塊2220及2225)。該末端作用器中心參考點395C相對於該站中心SC的登錄是用類似於上文所描述的方式來實施(圖22的方塊2230)。
當只有該基材中心395C要被決定時,該末端作用器395被移動(圖22的方塊2200),使得該基材被朝向感測器199A,199B移動且該基材S如上文所述地被感測器偵測到(圖22的方塊2205)。該基材的中心被決定(圖22的方塊2210),使得該基材被放置在該站位置SC。在一態樣中,任何適當數量的基材都可在該站位置SC再次被教導之前(不論有或沒有一基材被固持在該末端作用器395上)被運送至該站位置SC及從該站位置被運送走。例如,該站位置SC可在放置了10片基材、20片基材或任何其它數量的基材之後被教導。在其它態樣 中,該站位置SC可在任何適合的預定的時間間隔(例如,30分鐘、60分鐘或任何它適合的時間間隔)之後被教導。該基材站SC可藉由將該末端作用器朝向感測器199A,199B移動(圖22的方塊2200)而被教導,使得基準特徵構造1600,1601被感測器偵測到(圖22的方塊2215)。該末端作用器中心395C係用上文所描述的方式決定(圖22的方塊2220)且該末端作用器中心係用上文所描述的方式用站中心SC登錄(圖22的方塊2230)。如果在該站中心SC被教導的同時,該末端作用器正固持著一基材的話,則該基材的中心WC可在該末端作用器同一次通過感測器199A,199B時(例如,在一次通過時)被決定或是在該末端作用器的第二次通過感測器199A,199B時被決定,使得該基材中心WC和該站位置SC在不同的通過時被教導。
亦參考圖18,一顯示依據被揭露的實施例的態樣的運送手臂(譬如,描述於本文中的運送手臂)的熱補償1801和依據傳統的先前技術的熱補償演算法的運送手臂的熱補償1800之間的比較的示範性圖表被示出。在圖18的示範性圖表中,該運送手臂的定位誤差對照著時間被標示出來,可從圖表中看出的是,依據被揭露的實施例的態樣的熱補償提供比傳統的熱補償演算法更好的位置精確度。
依據被揭露的實施例的一或多個態樣,一種基材處理設備包含一基材運送設備,其具有一自我定心的 末端作用器(其具有一有預定的中心的晶圓固持站),該末端作用器被建構來將一晶圓固持在該晶圓固持站並將該晶圓運送於該基材處理設備內;及至少一中心決定特徵構造,其為該基材運送設備整體的一部分且被設置成使得該基材處理設備的一固定不動的偵測感測器在該基材運送設備徑向運動時同步地偵測該至少一中心決定特徵構造的至少一邊緣,該至少一邊緣的該偵測係只藉由將該至少一中心決定特徵構造通過該固定不動的偵測一次來實施該末端作用器上的該晶圓固持站的該預定的中心的決定。
依據被揭露的實施例的一或多個態樣,其中該晶圓固持站未被該至少一中心決定特徵構造遮擋。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造未被該晶圓固持站所固持的晶圓遮擋。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造被設置在該基材運送設備上與該晶圓固持站分離且與之區隔開。
依據被揭露的實施例的一或多個態樣,其中該末端作用器包括一縱長向中心線且該至少一中心決定特徵構造包含至少兩個中心決定特徵構造,其被設置在該縱長向中心線的相反側上。
依據被揭露的實施例的一或多個態樣,其中該末端作用器包括一縱長向中心線且該至少一中心決定特徵構造包含至少兩個中心決定特徵構造,其被設置在該縱 長向中心線的同一側上。
依據被揭露的實施例的一或多個態樣,該至少一中心決定特徵構造包含至少兩個被相對立地配置的中心決定特徵構造。
依據被揭露的實施例的一或多個態樣,該至少一中心決定特徵構造包括至少兩個中心決定特徵構造,其中該至少兩個中心決定特徵構造中的至少一者相對於該至少兩個中心決定特徵構造中的另一者是增補的(supplemental)。
依據被揭露的實施例的一或多個態樣,該至少一中心決定特徵構造的每一者係被建構來獨立地解析(resolve)在該末端作用器上的該晶圓固持站的該預定的中心。
依據被揭露的實施例的一或多個態樣,該等中心決定特徵構造的每一者具有一相應的形狀,其和該末端作用器上的該晶圓固持站的該預定的中心有一預定的關係,使得每一該相應的形狀獨立地決定該末端作用器上的該晶圓固持站的該預定的中心。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造是該末端作用器整體的一部分。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造從該末端作用器的一側延伸出。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造從該末端作用器的一側懸垂下來。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造被設置成使得該至少一中心決定特徵構造的偵測界定和該末端作用器不相干之該基材運動設備的尺寸上的變化。
依據被揭露的實施例的一或多個態樣,其中該尺寸上的變化量係肇因於熱效應。
依據被揭露的實施例的一或多個態樣,其更包含一控制器,其被建構來接受來自該固定不動的偵測感測器對應於該至少一邊緣的偵測的感測器資料,並控制該基材運送設備,用以根據從該感測器資料所決定出來的該基材運送設備的熱尺寸的改變來調整該預定的中心的位置。
依據被揭露的實施例的一或多個態樣,其更包含一控制器,其被建構來從該至少一中心決定特徵構造的偵測中獲得該基材處理設備的一基材處理站的中心位置。
依據被揭露的實施例的一或多個態樣,該固定不動的感測器包括一自動的晶圓定心感測器。
依據被揭露的實施例的一或多個態樣,其更包含一控制器,其被建構來從對應於該至少一中心決定特徵構造的至少一邊緣的偵測的感測器資料辨識出及獲得該 基材處理設備的一基材處理站的中心位置。
依據被揭露的實施例的一或多個態樣,一種方法包含了提供一基材運送設備,其具有一自我定心的末端作用器,該末端作用器具有一晶圓固持站,其具有一預定的中心,其中該末端作用器將一晶圓固持在該晶圓固持站並將該晶圓運送於一基材處理設備內,及至少一中心決定特徵構造,其為該基材運送設備整體的一部分;及用該基材處理設備的一固定不動的偵測感測器在該基材運送設備運動時,同步地偵測該至少一中心決定特徵構造的至少一邊緣,其中該至少一邊緣的該偵測係藉由將該至少一中心決定特徵構造只通過該固定不動的偵測感測器一次來實施該末端作用器上的該晶圓固持站的該預定的中心的決定。
依據被揭露的實施例的一或多個態樣,其中該晶圓固持站未被該至少一中心決定特徵構造遮擋。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造未被該末端作用器所固持的晶圓遮擋。
依據被揭露的實施例的一或多個態樣,其更包含用該固定不動的偵測感測器來決定一被該末端作用器固持的晶圓相對於該末端作用器上的該晶圓固持站的該預定的中心的偏心度。
依據被揭露的實施例的一或多個態樣,其中該末端作用器上的該晶圓固持站的該預定的中心及該偏心 度係藉由將該至少一中心決定特徵構造只通過該固定不動的偵測感測器一次來同步地決定。
依據被揭露的實施例的一或多個態樣,其中該固定不動的偵測感測器偵測該晶圓的邊緣。
依據被揭露的實施例的一或多個態樣,其更包含用一控制器接受來自該固定不動的偵測感測器之對應於該至少一邊緣的偵測的感測器資料;及用該控制器來控制該基材運送設備,用以根據從該感測器資料決定出來的該基材運送設備的一熱尺寸的改變來調整該預定的中心的位置。
依據被揭露的實施例的一或多個態樣,其更包含用一控制器來從該至少一中心決定特徵構造的該至少一邊緣的該偵測辨識並獲得該基材處理設備的一晶圓處理站的中心位置。
依據被揭露的實施例的一或多個態樣,其更包含用一控制器來從該至少一中心決定特徵構造的該至少一邊緣的該偵測來界定一和該末端作用器不相干之該基材運動設備的尺寸上的變化。
依據被揭露的實施例的一或多個態樣,其中該尺寸上的變化量係肇因於熱效應。
依據被揭露的實施例的一或多個態樣,一種基材處理設備其包含一機架;一基材運送設備,其被連接至該機架且具有一自我定心的末端作用器,其具有一有預定的中心的晶圓固持站,該末端作用器被建構來將一晶圓 固持在該晶圓固持站並將該晶圓運送於該基材處理設備內;一自動的晶圓定心感測器,其被連接至該機架且被建構來在該基材運送設備運動時同步地實施被固持在該末端作用器上的該晶圓的邊緣偵測;及至少一中心決定特徵構造,其為該基材運送設備整體的一部分且被設置成使得該自動的晶圓定心感測器同步地偵測該至少一中心決定特徵構造的至少一邊緣,其中該至少一邊緣的偵測係實施該末端作用器上的晶圓固持站的該預定的中心的偵測。
依據被揭露的實施例的一或多個態樣,其中該晶圓固持站未被該至少一中心決定特徵構造遮擋。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造未被該末端作用器所固持的晶圓遮擋。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造被設置在該基材運送設備上與該晶圓固持站分離且與之區隔開。
依據被揭露的實施例的一或多個態樣,其中該末端作用器包括一縱長向中心線且該至少一中心決定特徵構造包含至少兩個中心決定特徵構造,其被設置在該縱長向中心線的相反側上。
依據被揭露的實施例的一或多個態樣,其中該末端作用器包括一縱長向中心線且該至少一中心決定特徵構造包含至少兩個中心決定特徵構造,其被設置在該縱長向中心線的同一側上。
依據被揭露的實施例的一或多個態樣,該至少一中心決定特徵構造包含至少兩個被相對立地配置的中心決定特徵構造。
依據被揭露的實施例的一或多個態樣,該至少一中心決定特徵構造包括至少兩個中心決定特徵構造,其中該至少兩個中心決定特徵構造中的至少一者相對於該至少兩個中心決定特徵構造中的另一者是增補的(supplemental)。
依據被揭露的實施例的一或多個態樣,該至少一中心決定特徵構造的每一者係被建構來獨立地解析(resolve)在該末端作用器上的該晶圓固持站的該預定的中心。
依據被揭露的實施例的一或多個態樣,該等中心決定特徵構造的每一者具有一相應的形狀,其和該末端作用器上的該晶圓固持站的該預定的中心有一預定的關係,使得每一該相應的形狀獨立地決定該末端作用器上的該晶圓固持站的該預定的中心。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造是該末端作用器整體的一部分。
依據被揭露的實施例的一或多個態樣,其中該基材運送設備包括一連接至該末端作用器的手臂且該至少一中心決定特徵構造是該基材運送設備的該手臂整體的一部分。
依據被揭露的實施例的一或多個態樣,其中該基材運送設備包括一在一機械式界面耦接至該末端作用器的手臂且該至少一中心決定特徵構造是該基材運送設備的該機械式界面整體的一部分。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造從該末端作用器的一側延伸出。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造從該末端作用器的一側懸垂下來。
依據被揭露的實施例的一或多個態樣,該至少一中心決定特徵構造被設置成使得該至少一中心決定特徵構造的偵測界定和該末端作用器不相干之該基材運動設備的尺寸上的變化。
依據被揭露的實施例的一或多個態樣,其中該尺寸上的變化量係肇因於熱效應。
依據被揭露的實施例的一或多個態樣,其更包含一控制器,其被建構來接受來自該固定不動的偵測感測器對應於該至少一邊緣的偵測的感測器資料,及控制該基材運送設備,用以根據從該感測器資料所決定出來的該基材運送設備的熱尺寸的改變來調整該預定的中心的位置。
依據被揭露的實施例的一或多個態樣,其更包含一控制器,其被建構來從該至少一中心決定特徵構造 的偵測中獲得該基材處理設備的一基材處理站的中心位置。
依據被揭露的實施例的一或多個態樣,一種基材處理設備其包含一機架;一基材運送設備,其被連接至該機架且具有一自我定心的末端作用器,其具有一有預定的中心的晶圓固持站,該末端作用器被建構來將一晶圓固持在該晶圓固持站並將該晶圓運送於該基材處理設備內;一自動的晶圓定心感測器,其被連接至該機架;及至少一中心決定特徵構造,其為該基材運送設備整體的一部分且被設置成使得該自動的晶圓定心感測器在該基材運送設備移動時同步地偵測該至少一中心決定特徵構造,其中該至少一邊緣的偵測係藉由將該至少一中心決定特徵構造只通過該自動的晶圓定心感測器一次來實施該末端作用器上的晶圓固持站的該預定的中心的偵測。
依據被揭露的實施例的一或多個態樣,其中該晶圓固持站未被該至少一中心決定特徵構造遮擋。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造未被該末端作用器所固持的晶圓遮擋。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造被設置在該基材運送設備上與該晶圓固持站分離且與之區隔開。
依據被揭露的實施例的一或多個態樣,其中該末端作用器包括一縱長向中心線且該至少一中心決定特 徵構造包含至少兩個中心決定特徵構造,其被設置在該縱長向中心線的相反側上。
依據被揭露的實施例的一或多個態樣,其中該末端作用器包括一縱長向中心線且該至少一中心決定特徵構造包含至少兩個中心決定特徵構造,其被設置在該縱長向中心線的同一側上。
依據被揭露的實施例的一或多個態樣,該至少一中心決定特徵構造包含至少兩個被相對立地配置的中心決定特徵構造。
依據被揭露的實施例的一或多個態樣,該至少一中心決定特徵構造包括至少兩個中心決定特徵構造,其中該至少兩個中心決定特徵構造中的至少一者相對於該至少兩個中心決定特徵構造中的另一者是增補的(supplemental)。
依據被揭露的實施例的一或多個態樣,該至少一中心決定特徵構造的每一者係被建構來獨立地解析(resolve)在該末端作用器上的該晶圓固持站的該預定的中心。
依據被揭露的實施例的一或多個態樣,該等中心決定特徵構造的每一者具有一相應的形狀,其和該末端作用器上的該晶圓固持站的該預定的中心有一預定的關係,使得每一該相應的形狀獨立地決定該末端作用器上的該晶圓固持站的該預定的中心。
依據被揭露的實施例的一或多個態樣,其中 該至少一中心決定特徵構造是該末端作用器整體的一部分。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造從該末端作用器的一側延伸出。
依據被揭露的實施例的一或多個態樣,其中該至少一中心決定特徵構造從該末端作用器的一側懸垂下來。
依據被揭露的實施例的一或多個態樣,該至少一中心決定特徵構造被設置成使得該至少一中心決定特徵構造的偵測界定和和該末端作用器不相干之該基材運動設備的尺寸上的變化。
依據被揭露的實施例的一或多個態樣,其中該尺寸上的變化量係肇因於熱效應。
依據被揭露的實施例的一或多個態樣,其更包含一控制器,其被建構來接受來自該固定不動的偵測感測器對應於該至少一邊緣的偵測的感測器資料,及控制該基材運送設備,用以根據從該感測器資料所決定出來的該基材運送設備的熱尺寸的改變來調整該預定的中心的位置。
依據被揭露的實施例的一或多個態樣,其更包含一控制器,其被建構來從該至少一中心決定特徵構造的偵測中獲得該基材處理設備的一基材處理站的中心位置。
一種基材處理設備包含: 一基材運送設備,其具有一自我定心的末端作用器,其具有一有預定的中心的晶圓固持站,該末端作用器被建構來將一晶圓固持在該晶圓固持站並將該晶圓運送於該基材處理設備內; 至少一中心決定特徵構造,其為該基材運送設備整體的一部分且被設置成使得該基材處理設備的一固定不動的偵測感測器在該基材運送設備徑向運動時同步地偵測該至少一中心決定特徵構造的至少一邊緣; 一控制器,其被可溝通地耦接至該基材運送設備,該控制器被建構成使得該至少一邊緣的該偵測實施一比例因子(proportion factor)的決定,該比例因子在該基材運送設備徑向運動時同步地辨認出該基材運送設備的一手臂的變化量(variance); 其中該控制器包括一運動效果解析器(kinematic effects resolver),其被建構來從該至少一邊緣的偵測決定一比例因子變化量和該基材處理設備的該固定不動的偵測感測器在該基材運送設備徑向運動時所同步偵測到的該至少一邊緣的偵測之間的關係,並進一步解析該被決定的比例因子變化量對於決定該手臂的變化量的該比例因子的影響。
依據上面所述的該基材處理設備,其中實施該末端作用器上的該晶圓固持站的該預定的中心的決定的該至少一邊緣的該偵測係藉由將該至少一中心決定特徵構 造只通過該固定不動的偵測感測器一次來使其發生。
依據上面所述的該基材處理設備的一者或多者,其中該晶圓固持站未被該至少一中心決定特徵構造遮擋。
依據上面所述的該基材處理設備的一者或多者,其中該至少一中心決定特徵構造未被該晶圓固持站所固持的晶圓遮擋。
依據上面所述的該基材處理設備的一者或多者,其中該至少一中心決定特徵構造被設置在該基材運送設備上與該晶圓固持站分離且與之區隔開。
依據上面所述的該基材處理設備的一者或多者,其中該末端作用器包括一縱長向中心線且該至少一中心決定特徵構造包含至少兩個中心決定特徵構造,其被設置在該縱長向中心線的相反側上。
依據上面所述的該基材處理設備的一者或多者,其中該末端作用器包括一縱長向中心線且該至少一中心決定特徵構造包含至少兩個中心決定特徵構造,其被設置在該縱長向中心線的同一側上。
依據上面所述的該基材處理設備的一者或多者,其中該至少一中心決定特徵構造包含至少兩個被相對立地配置的中心決定特徵構造。
依據上面所述的該基材處理設備的一者或多者,其中該至少一中心決定特徵構造包括至少兩個中心決定特徵構造,其中該至少兩個中心決定特徵構造中的至少 一者相對於該至少兩個中心決定特徵構造中的另一者是增補的(supplemental)。
依據上面所述的該基材處理設備的一者或多者,其中該至少一中心決定特徵構造的每一者係被建構來獨立地解析(resolve)在該末端作用器上的該晶圓固持站的該預定的中心。
依據上面所述的該基材處理設備的一者或多者,其中該等中心決定特徵構造的每一者具有一相應的形狀,其和該末端作用器上的該晶圓固持站的該預定的中心有一預定的關係,使得每一該相應的形狀獨立地決定該末端作用器上的該晶圓固持站的該預定的中心。
依據上面所述的該基材處理設備的一者或多者,其中該至少一中心決定特徵構造是該末端作用器整體的一部分。
依據上面所述的該基材處理設備的一者或多者,其中該至少一中心決定特徵構造從該末端作用器的一側延伸出。
依據上面所述的該基材處理設備的一者或多者,其中該至少一中心決定特徵構造從該末端作用器的一側懸垂下來。
依據上面所述的該基材處理設備的一者或多者,其中該至少一中心決定特徵構造被設置成使得該至少一中心決定特徵構造的偵測界定和該末端作用器不相干之該基材運動設備的尺寸上的變化量。
依據上面所述的該基材處理設備的一者或多者,其中該尺寸上的變化量係肇因於熱效應。
依據上面所述的該基材處理設備的一者或多者,其中該控制器被進一步建構來: 接受來自該固定不動的偵測感測器對應於該至少一邊緣的偵測的感測器資料,及 控制該基材運送設備,用以根據從該感測器資料所決定出來的該基材運送設備的熱尺寸的改變來調整該預定的中心的位置。
依據上面所述的該基材處理設備的一者或多者,其中該控制器被進一步建構來從該至少一中心決定特徵構造的偵測中獲得該基材處理設備的一基材處理站的中心位置。
依據上面所述的該基材處理設備的一者或多者,其中該固定不動的感測器包括一自動的晶圓定心感測器。
依據上面所述的該基材處理設備的一者或多者,其中該控制器被建構來從對應於該至少一中心決定特徵構造的至少一邊緣的偵測的感測器資料辨識出及獲得該基材處理設備的一基材處理站的中心位置。
依據上面所述的該基材處理設備的一者或多者,其中該控制器被進一步建構來:接受來自該固定不動的感測器的感測器資料,該感測器資料對應於該至少一中心決定特徵構造的偵 測,根據該感測器資料辨識出該基材運送設備的尺寸上的變化量並決定該比例因子,該比例因子將該變化量和該基材運送設備的尺寸關連在一起。
依據上面所述的該基材處理設備的一者或多者,其中該運動效過解析器被建構來解析該基材運送設備的該手臂的一被運動學地界定的尺寸、該比例因子及該變化量之間的關係。
依據上面所述的該基材處理設備的一者或多者,其中該運動效果解析器包括該比例因子的一過濾器(filter)。
一種方法包含:提供一基材運送設備,其具有:一自我定心的末端作用器,其具有一有預定的中心的晶圓固持站,該末端作用器被建構來將一晶圓固持在該晶圓固持站並將該晶圓運送於一基材處理設備內;及至少一中心決定特徵構造,其為該基材運送設備整體的一部分;用該基材處理設備的一固定不動的偵測感測器在該基材運送設備運動時,同步地偵測該至少一中心決定特徵構造的至少一邊緣,該至少一邊緣的該偵測係實施一比例因子的決定,該比例因子在該基材運送設備運動時同步地辨認出該基材運送設備的一手臂的變化量 (variance);用一運動效果解析器(kinematic effects resolver)來從該至少一邊緣的偵測決定一比例因子變化量和該固定不動的偵測感測器所實施的該至少一邊緣的偵測之間的關係並進一步解析該被決定的比例因子變化量對決定該手臂的變化量的該比例因子的影響。
依據上面所述的該方法的一者或多者,其中該至少一邊緣的該偵測係藉由將該至少一中心決定特徵構造只通過該該固定不動的偵測感測器一次來實施該末端作用器上的該晶圓固持站的該被預定的中心的決定。
依據上面所述的該方法的一者或多者,其中該晶圓固持站未被該至少一中心決定特徵構造遮擋。
依據上面所述的該方法的一者或多者,其中該至少一中心決定特徵構造未被該末端作用器所固持的晶圓遮擋。
依據上面所述的該方法的一者或多者,其更包含用該固定不動的偵測感測器來決定該末端作用器所固持的該晶圓相對於該末端作用器上的該晶圓固持站的該預定的中心的偏心度。
依據上面所述的該方法的一者或多者,其中該末端作用器上的該晶圓固持站的該預定的中心和該偏心度是藉由將該至少一中心決定特徵構造只通過該固定不動的偵測感測器一次而被同步地決定。
依據上面所述的該方法的一者或多者,其中 該固定不動的偵測感測器偵測該晶圓的邊緣。
依據上面所述的該方法的一者或多者,其更包含:用一控制器來接受來自該固定不動的偵測感測器之對應於該至少一邊緣的偵測的感測器資料;及用該控制器來控制該基材運送設備,用以根據從該感測器資料決定出來的該基材運送設備的一熱尺寸的改變來調整該預定的中心的位置。
依據上面所述的該方法的一者或多者,其更包含用一控制器來從該至少一中心決定特徵構造的該至少一邊緣的該偵測辨識並獲得該基材處理設備的一晶圓處理站的中心位置。
依據上面所述的該方法的一者或多者,其更包含用一控制器來從該至少一中心決定特徵構造的該至少一邊緣的該偵測來界定一和該末端作用器不相干之該基材運動設備的尺寸上的變化。
依據上面所述的該方法的一者或多者,其中該尺寸上的變化量係肇因於熱效應。
一種基材處理設備,包含:一基材運送設備,其具有一具有一有預定的中心的晶圓固持站的末端作用器,該末端作用器被建構來將一晶圓固持在該晶圓固持站並將該晶圓運送於該基材處理設備內;多於一個的特徵構造,其被設置在該基材運 送設備上,使得該基材運送設備的一固定不動的偵測感測器在該基材運送設備的徑向運動時同步地偵測該多於一個的特徵構造的每一者的至少一邊緣;及一控制器,其被可溝通地耦接至該基材運送設備,該控制器被建構成使得該至少一邊緣的每一者的該偵測分別實施不同的比例因子(proportion factor)的決定,該等比例因子在該基材運送設備的同一徑向運動時同步地辨認出該基材運送設備的同一手臂的不同的變化量(variance);其中該控制器包括一運動效果解析器(kinematic effects resolver),其被建構來在該基材運送設備的一次運動中同步地從該等不同的被決定的比例因子同解析該同一手臂上的不同的對應連桿的各自的變化量並決定一用於晶圓位置之該同一手臂的有效變化量。
依據上面所述的該基材處理設備的一者或多者,其中該控制器被建構來進一步解析該等不同的比例因子的變化量對於該手臂的該有效變化量的影響。
依據上面所述的該基材處理設備的一者或多者,其中實施該末端作用器上的該晶圓固持站的該預定的中心的決定的該至少一邊緣的偵測係藉由將該多於一個的特徵構造只通過該固定不動的偵測感測器一次來使其發生。
依據上面所述的該基材處理設備的一者或多者,其中該晶圓固持站未被該多於一個的特徵構造所遮 擋。
依據上面所述的該基材處理設備的一者或多者,其中該多於一個的特徵構造未被該晶圓固持站所固持的晶圓所遮擋。
依據上面所述的該基材處理設備的一者或多者,其中該多於一個的特徵構造被設置在該基材運送設備上與該晶圓固持站分離且區隔開。
應被瞭解的是,前面的描述只是被揭露的實施例的態樣的市範性例子。熟習此技藝者可在被偏離被揭露的實施例的態樣下推導出各式替代例及修改。因此,該等被揭露的實施例的態樣是要涵蓋落入到隨附的申請專利範圍的範圍內的所有這些替代例、修改及變化。此外,不同的特徵被記載在彼此不同的附屬請求項或獨立請求項中的事實並不表示這些特徵的組合不能被有利地使用,此一組合仍是在本發明的態樣的範圍之內。
199A‧‧‧感測器
199B‧‧‧感測器
325‧‧‧處理模組
395‧‧‧末端作用器
395C‧‧‧末端作用器中心(參考點)
395S‧‧‧晶圓(基材)固持站
401‧‧‧中心決定(基準)特徵構造
402‧‧‧中心決定(基準)特徵構造
421-424‧‧‧過渡點
425‧‧‧過渡點
426‧‧‧過渡點
427‧‧‧過渡點
428‧‧‧過渡點
499‧‧‧運動
CL‧‧‧末端作用器中心線
R△T、RCT‧‧‧徑向位置
S‧‧‧基材
SC‧‧‧站中心
WC‧‧‧基材中心
VRW1‧‧‧第一圓圈
VRW2‧‧‧第二圓圈
Xc,Yc‧‧‧位置
XC△T,YC△T‧‧‧位置
Xw,Yw‧‧‧位置
Z‧‧‧軸

Claims (30)

  1. 一種基材處理設備,包含:一基材運送設備,其具有一自我定心的末端作用器,其具有一有預定的中心的晶圓固持站,該末端作用器被建構來將一晶圓固持在該晶圓固持站並將該晶圓運送於該基材處理設備內;至少一手臂姿勢決定特徵構造,其為該基材運送設備整體的一部分且被設置成使得該基材處理設備的一固定不動的偵測感測器在該基材運送設備徑向運動時同步地偵測該至少一手臂姿勢決定特徵構造的至少一邊緣;一控制器,其被可溝通地耦接至該基材運送設備,該控制器被建構成使得該至少一邊緣的該偵測實施一比例因子(proportion factor)的決定,該比例因子在該基材運送設備徑向運動時同步地辨認出該基材運送設備的一手臂的變化量(variance);其中該控制器包括一運動效果解析器(kinematic effects resolver),其被建構來從該至少一邊緣的該偵測決定一比例因子變化量和該基材運送設備的該固定不動的偵測感測器在該基材運送設備徑向運動時所同步偵測到的該至少一邊緣的該偵測之間的關係,並進一步解析該被決定的比例因子變化量對於決定該手臂的該變化量的該比例因子的效果。
  2. 一種同步自動晶圓定心方法,包含:提供一基材運送設備,其具有: 一自我定心的末端作用器,其具有一有預定的中心的晶圓固持站,其中該末端作用器將一晶圓固持在該晶圓固持站並將該晶圓運送於一基材處理設備內,及至少一手臂姿勢決定特徵構造,其為該基材運送設備整體的一部分;用該基材處理設備的一固定不動的偵測感測器在該基材運送設備運動時,同步地偵測該至少一手臂姿勢決定特徵構造的至少一邊緣,該至少一邊緣的該偵測係實施一比例因子的決定,該比例因子在該基材運送設備運動時同步地辨認出該基材運送設備的一手臂的變化量(variance);用一運動效果解析器(kinematic effects resolver)來從該至少一邊緣的該偵測決定一比例因子變化量和該固定不動的偵測感測器所實施的該至少一邊緣的該偵測之間的關係並進一步解析該被決定的比例因子變化量對決定該手臂的該變化量的該比例因子的效果。
  3. 一種基材處理設備,包含:一基材運送設備,其具有一具有一有預定的中心的晶圓固持站的末端作用器,該末端作用器被建構來將一晶圓固持在該晶圓固持站並將該晶圓運送於該基材處理設備內;多於一個的特徵構造,其被設置在該基材運送設備上,使得該基材運送設備的一固定不動的偵測感測器在該基材運送設備的徑向運動時同步地偵測該多於一個的特徵構造的每一者個別的至少一邊緣;及 一控制器,其被可溝通地耦接至該基材運送設備,該控制器被建構成使得該至少一邊緣的每一者的該偵測分別實施不同的比例因子(proportion factor)的決定,該等比例因子只在該基材運送設備的同一徑向運動時同步地辨認出該基材運送設備的同一手臂的不同的變化量(variance);其中該控制器包括一運動效果解析器(kinematic effects resolver),其被建構來只在該基材運送設備的一次運動中同步地從該等不同的被決定的比例因子同步地解析該同一手臂上的不同的對應連桿的各自的變化量並決定一用於晶圓位置之該同一手臂的有效變化量。
  4. 如申請專利範圍第3項之基材處理設備,其中該控制器被建構來進一步解析該等不同的比例因子的變化量對於該手臂的該有效變化量的效果。
  5. 如申請專利範圍第3項之基材處理設備,其中實施該末端作用器上的該晶圓固持站的該預定的中心的決定的該至少一邊緣的該偵測係藉由將該多於一個的特徵構造只通過該固定不動的偵測感測器一次來使其發生。
  6. 如申請專利範圍第3項之基材處理設備,其中該晶圓固持站未被該多於一個的特徵構造遮擋。
  7. 如申請專利範圍第3項之基材處理設備,其中該多於一個的特徵構造未被該晶圓固持站所固持的晶圓遮擋。
  8. 如申請專利範圍第7項之基材處理設備,其中該多於一個的特徵構造被設置在該基材運送設備上與該晶圓 固持站分離且區隔開。
  9. 如申請專利範圍第1項之基材處理設備,其中該運動效果解析器被建構來實施在具有被決定的比例因子的該被決定的關係中關於該手臂的每一不同的連桿的不同的分離的變化量之間的區別。
  10. 如申請專利範圍第9項之基材處理設備,其中該運動效果解析器被建構來根據該至少一邊緣的偵測來實施每一不同的分離的變化量。
  11. 如申請專利範圍第9項之基材處理設備,其中該運動效果解析器被建構來從該固定不動的偵測感測器在該手臂的徑向運動的同時所測得的該至少一邊緣的偵測來決定關於該手臂的每一不同的連桿的不同的分離的變化量的非線性運動效果的貢獻,其決定該手臂在該手臂的徑向運動的同時的變化量。
  12. 如申請專利範圍第11項之基材處理設備,其中該運動效果解析器被建構來實施在決定該手臂的變化量的非線性運動效果的貢獻中關於該手臂的每一不同的連桿或不同的滑輪的不同貢獻的非線性運動效果之間的區別。
  13. 如申請專利範圍第11項之基材處理設備,其中該運動效果解析器被建構來實施在決定該手臂的變化量的非線性運動效果的貢獻中該手臂的至少一不同的連桿或不同的滑輪的不同貢獻的非線性運動效果之間的區別。
  14. 如申請專利範圍第1項之基材處理設備,其中該運動效果解析器被建構來根據該至少一邊緣的偵測實施不 同貢獻的非線性運動效果之間的區別。
  15. 如申請專利範圍第9項之基材處理設備,其中該至少一手臂姿勢決定特徵構造具有一決定特徵構造,用以實施在具有該被定的比例因子的該被決定的關係中關於每一不同的連桿的每一不同的分離的變化量之間的區別。
  16. 如申請專利範圍第9項之基材處理設備,其中該至少一手臂姿勢決定特徵構造被建構來藉由將與手臂徑向運動同步的該至少一邊緣只通過該固定不動的偵測感測器一次來實施關於該手臂的每一不同的連桿的每一不同的分離的變化量之間的區別。
  17. 如申請專利範圍第9項之基材處理設備,其中該手臂是3連桿SCARA手臂且該至少一手臂姿勢決定特徵構造被建構來實施關於該3連桿SCARA手臂的每一不同的分離的變化量之間的區別。
  18. 如申請專利範圍第1項之基材處理設備,其中該至少一手臂姿勢決定特徵構造包含至少一中心決定特徵構造,其藉由將該至少一中心決定特徵構造只通過該固定不動的偵測感測器一次來實施該晶圓固持站的該預定的中心的決定。
  19. 如申請專利範圍第18項之基材處理設備,其中該至少一中心決定特徵構造未被該末端作用器固持的晶圓遮擋且被設置成使得該固定不動的偵測感測器與在運動中的該基材處理設備同步地偵測該至少一中心決定特徵構造,該至少一中心決定特徵構造的該偵測係藉由將該至少 一中心決定特徵構造只通過該固定不動的偵測感測器一次來實施該末端作用器上的該晶圓固持站的該預定的中心的決定。
  20. 如申請專利範圍第1項之基材處理設備,其中該控制器被建構來根據該至少一邊緣的偵測來與該手臂的變化量的決定實質同時地實施該晶圓固持站的該預定的中心的決定,該手臂的的變化量的決定係與該運送手臂的該徑向運動同步。
  21. 如申請專利範圍第1項之基材處理設備,其中該手臂包括一上臂連桿、一前臂連桿和多於一個之共同地附屬於該上臂連桿和該前臂連桿的末端作用器,使得該上臂連桿和該前臂連桿是該多於一個的末端作用器的每一者所共用。
  22. 如申請專利範圍第21項之基材處理設備,其中該共同地附屬的多於一個的末端作用器的至少一者具有一獨立的自由度,使得該共同地附屬的多於一個的末端作用器的該至少一者可相對於該上臂連桿和該前臂連桿獨立地活動且具有一相對應的手臂姿勢決定特徵構造,其不同於和該共同附屬的多於一個的末端作用器的另一者相對應的另一手臂姿勢決定特徵構造,使得該手臂相關於該至少一可獨立地活動的末端作用器的變化量係根據該固定不動的偵測感測器對該至少一可獨立地活動的末端作用器的該相對應的手臂姿勢決定特徵構造的該至少一邊緣的感測而與該手臂相關於該共同附屬的多於一個的末端作用器的另一 者的變化量被分開地決定。
  23. 如申請專利範圍第1項之基材處理設備,其中該手臂是SCARA手臂。
  24. 如申請專利範圍第1項之基材處理設備,其中該控制器被建構來放置該手臂及該末端作用器的一參考特徵構造以補償該手臂的該被決定的變化量。
  25. 如申請專利範圍第2項之同步自動晶圓定心方法,其進一步包含用該運動效果解析器來實施在具有被決定的比例因子的該被決定的關係中關於該手臂的每一不同的連桿的不同的分離的變化量之間的區別。
  26. 如申請專利範圍第2項之同步自動晶圓定心方法,其進一步包含用該運動效果解析器來根據該至少一邊緣的該偵測實施每一不同的分離的變化量之間的區別。
  27. 如申請專利範圍第2項之同步自動晶圓定心方法,其進一步包含用運動效果解析器來從該固定不動的偵測感測器與該手臂的該徑向運動同步地對該至少一邊緣的偵測決定關於該手臂的每一不同的連桿的該不同的分離的變化量的非線性運動效果的貢獻,其決定該手臂與該手臂的該徑向運動同步的變化量。
  28. 如申請專利範圍第2項之同步自動晶圓定心方法,其中該手臂是SCARA手臂。
  29. 如申請專利範圍第2項之同步自動晶圓定心方法,其進一步包含用該控制器來補償該手臂的該被決定的變化量,用以放置該手臂和該末端作用器的一參考特徵構 造。
  30. 如申請專利範圍第29項之同步自動晶圓定心方法,其進一步包含用該控制器來補償與該末端作用器共用該至少一或多個手臂連桿的另一獨立的末端作用器的該被定的變化量,該另一獨立的末端作用器具有至少一相對於該末端作用器的獨立的自由度。
TW105122083A 2015-07-13 2016-07-13 同步自動晶圓定心方法及設備 TWI752910B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562191863P 2015-07-13 2015-07-13
US62/191,863 2015-07-13
US201662320142P 2016-04-08 2016-04-08
US62/320,142 2016-04-08

Publications (2)

Publication Number Publication Date
TW201707900A TW201707900A (zh) 2017-03-01
TWI752910B true TWI752910B (zh) 2022-01-21

Family

ID=58774474

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105122083A TWI752910B (zh) 2015-07-13 2016-07-13 同步自動晶圓定心方法及設備

Country Status (3)

Country Link
EP (1) EP3341831A1 (zh)
CN (2) CN108027718B (zh)
TW (1) TWI752910B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10903107B2 (en) 2017-07-11 2021-01-26 Brooks Automation, Inc. Semiconductor process transport apparatus comprising an adapter pendant
TWI677774B (zh) * 2018-12-03 2019-11-21 鴻勁精密股份有限公司 電子元件移料機構及其應用之作業設備
JP2021019145A (ja) * 2019-07-23 2021-02-15 川崎重工業株式会社 ブレード間隔調整装置
CN115295464A (zh) * 2022-08-08 2022-11-04 魅杰光电科技(上海)有限公司 一种晶圆传片系统
CN116313873B (zh) * 2023-05-11 2023-07-25 深圳市森美协尔科技有限公司 一种全自动晶圆测试设备及方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004134747A (ja) * 2002-07-22 2004-04-30 Applied Materials Inc 高温基板移送用ロボット
US7925378B2 (en) * 2005-07-11 2011-04-12 Brooks Automation, Inc. Process apparatus with on-the-fly workpiece centering
US8055376B2 (en) * 2006-10-27 2011-11-08 Tokyo Electron Limited Substrate processing system, substrate placing position adjusting method and storage medium
US20140301818A1 (en) * 2011-12-16 2014-10-09 Brooks Automation, Inc, Transport apparatus

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2071662A1 (en) * 1991-06-26 1992-12-27 Jon J. Gulick Integrated socket-type package for flip-chip semiconductor devices and circuits
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7479236B2 (en) * 2006-09-29 2009-01-20 Lam Research Corporation Offset correction techniques for positioning substrates
JP4989398B2 (ja) * 2007-09-27 2012-08-01 大日本スクリーン製造株式会社 基板処理装置
US20090110532A1 (en) * 2007-10-29 2009-04-30 Sokudo Co., Ltd. Method and apparatus for providing wafer centering on a track lithography tool
CN101640181A (zh) * 2008-07-31 2010-02-03 佳能安内华股份有限公司 基底对准设备和基底处理设备
KR102308221B1 (ko) * 2013-06-05 2021-10-01 퍼시몬 테크놀로지스 코포레이션 로봇 및 적응형 배치 시스템 및 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004134747A (ja) * 2002-07-22 2004-04-30 Applied Materials Inc 高温基板移送用ロボット
US7925378B2 (en) * 2005-07-11 2011-04-12 Brooks Automation, Inc. Process apparatus with on-the-fly workpiece centering
US8055376B2 (en) * 2006-10-27 2011-11-08 Tokyo Electron Limited Substrate processing system, substrate placing position adjusting method and storage medium
US20140301818A1 (en) * 2011-12-16 2014-10-09 Brooks Automation, Inc, Transport apparatus

Also Published As

Publication number Publication date
EP3341831A1 (en) 2018-07-04
CN108027718B (zh) 2022-04-08
CN114758975A (zh) 2022-07-15
CN108027718A (zh) 2018-05-11
TW202224078A (zh) 2022-06-16
TW201707900A (zh) 2017-03-01

Similar Documents

Publication Publication Date Title
US11776834B2 (en) On the fly automatic wafer centering method and apparatus
US11908721B2 (en) Tool auto-teach method and apparatus
TWI752910B (zh) 同步自動晶圓定心方法及設備
US11810801B2 (en) Robot embedded vision apparatus
TWI832130B (zh) 同步自動晶圓定心方法及設備
TW202110602A (zh) 機器人內嵌之視覺設備