JP4931211B2 - ハイブリッド結晶配向基板上の高性能cmossoiデバイス - Google Patents

ハイブリッド結晶配向基板上の高性能cmossoiデバイス Download PDF

Info

Publication number
JP4931211B2
JP4931211B2 JP2006516124A JP2006516124A JP4931211B2 JP 4931211 B2 JP4931211 B2 JP 4931211B2 JP 2006516124 A JP2006516124 A JP 2006516124A JP 2006516124 A JP2006516124 A JP 2006516124A JP 4931211 B2 JP4931211 B2 JP 4931211B2
Authority
JP
Japan
Prior art keywords
semiconductor
semiconductor layer
layer
forming
crystal orientation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006516124A
Other languages
English (en)
Other versions
JP2006527915A (ja
Inventor
ドリス、ブルース
グアリニ、キャスリン
レオン、メイケイ
ナラシマー、シュリーシュ
リム、カーン
スレイト、ジェフリー、ダブリュー
ヤン、ミン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2006527915A publication Critical patent/JP2006527915A/ja
Application granted granted Critical
Publication of JP4931211B2 publication Critical patent/JP4931211B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76275Vertical isolation by bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body

Description

本発明は、半導体デバイスに関し、より詳細には、ハイブリッド結晶配向基板上に形成された、シリコン・オン・インシュレータ(SOI)/相補型金属酸化膜半導体(CMOS)デバイスといった一体型半導体デバイスに関する。特に、本発明は、異なる結晶配向(crystallographic orientation)を有する結合された基板上にNFET及びPFETのような少なくとも2つのタイプの半導体デバイスを一体化する手段を提供するものである。結合された基板上の各デバイスの位置は、特定の結晶配向を有するそのデバイスの性能に依存する。例えば、本発明は、(100)面上にNFETを形成する一方で、(110)面上にPFETを形成する。(100)結晶面が高性能のNFETを与える一方で、(110)結晶面が高性能のPFETを与える。
現在の半導体技術においては、典型的には、NFET又はPFETといったCMOSデバイスは、単一の結晶配向をもつSiといった半導体ウェハ上に製造される。特に、今日の半導体デバイスのほとんどは、(100)結晶配向をもつSi上に設けられる。
(100)Si表面配向においては電子が高い移動度をもつことが知られているが、(110)表面配向においては正孔が高い移動度をもつことが知られている。すなわち、(100)Si上での正孔移動度の値は、この結晶配向のときの対応する正孔移動度よりおよそ2倍ないし4倍低い。この差異を埋め合わせるために、NFETが電流を引き下げるのに対抗して電流を釣り合うように引き上げて、一様な電流切り替えを達成するべく、PFETは典型的にはより大きい幅をもつように設計される。より大きい幅をもつNFETは、多大なチップ面積をとるので望ましくない。
他方では、(110)Si上での正孔移動度は(100)Si上より2倍高く、したがって、(110)面上に形成されたPFETは(100)面上に形成されたPFETより著しく高い駆動電流を呈することになる。残念なことに、(110)Si面上での電子移動度は、(100)Si面に比べて著しく低い。(110)Si面上の電子移動度の低下は、例えば図1に示されている。図1においては、実線は電子移動度を表し、破線は正孔移動度を表す。
上記の説明と図1から推測されるように、(110)Si面は、優れた正孔移動度のためにPFETデバイスに最適であり、そしてまた、こうした結晶配向はNFETデバイスには全く適さない。代わりに、(100)Si面は、その結晶配向が電子移動度に有利に働くことからNFETデバイスに最適である。
上記のことを考えると、特定のデバイスのための最適な性能を与える異なる結晶配向をもつ基板上に形成された一体型半導体デバイスを提供する必要がある。すなわち、例えばPFETといった1つのタイプのデバイスが例えば(110)面といった特定の結晶表面(crystallographic surface)上に形成される一方で、例えばNFETといった別のタイプのデバイスが例えば(100)面といった別の結晶表面上に形成されることを可能にする基板を作成することへの大きな必要性がある。
本発明の1つの目的は、異なるタイプのデバイスが該デバイスの性能を強化する結合された基板の特定の結晶配向の上に形成されるように半導体デバイスを一体化する方法を提供することである。
本発明の別の目的は、PFETが結合された基板の(110)結晶平面(crystallographic plane)上に配置され、一方NFETが(100)結晶平面上に配置されるように半導体デバイスを一体化する方法を提供することである。
本発明のさらに別の目的は、単純かつ簡単な処理ステップを用いて、シリコン・オン・インシュレータ(SOI)技術を相補型金属酸化膜半導体(CMOS)技術と統合する方法を提供することである。
本発明のさらに別の目的は、一方のCMOSデバイスをSOI型デバイスとし、他方のCMOSデバイスをバルク型デバイスとする方法を提供することである。
本発明のさらに別の目的は、一体化される両方のCMOSデバイスをSOI型デバイスとする方法を提供することである。
本発明のさらに別の目的は、(100)結晶表面平面上のひずみシリコンNFETを(110)結晶表面平面上のシリコン又はひずみシリコンPFETと一体化する方法を提供することである。
本発明のさらに別の目的は、異なる結晶表面平面をもつ結合された基板上に異なるCMOSデバイスを一体化する方法であって、異なるタイプのCMOSデバイス間に分離領域を形成する方法を提供することである。
これらの並びに他の目的及び利点は、ウェハの結合ステップ、マスキング・ステップ、エッチング・ステップ、及び半導体層の再成長ステップを含む方法を用いることによって達成される。
特に、本発明の方法は、
絶縁層によって分離された第1の結晶配向の少なくとも第1の半導体層と第2の結晶配向の第2の半導体層とを含み、第1の結晶配向が第2の結晶配向とは異なり、第1の半導体層が第2の半導体層上におかれている、結合された基板を用意するステップと、
結合された基板の一部が保護されて第1の領域が定められ、結合された基板の別の部分が保護されないまま残され、結合された基板の該保護されない部分が第2の領域を定めるようにするステップと、
結合された基板の保護されない部分をエッチングして、第2の半導体層の表面を露出するステップと、
第2の半導体層の露出された表面上に、第2の結晶配向と同じ結晶配向を有する半導体材料を再成長させるステップと、
半導体材料を含む結合された基板を平坦化して、第1の半導体層の上面が半導体材料の上面と実質的に平坦になるように、異なる結晶配向の少なくとも2つの平坦な領域を有するハイブリッド基板を与えるステップと、
第1の領域に少なくとも1つの第1半導体デバイスを形成し、第2の領域の半導体材料上に少なくとも1つの第2半導体デバイスを形成するステップと、
を含む。
本発明によれば、第1半導体デバイスはPFETとすることができ、第1の結晶配向は(110)か又は(111)とすることができ、一方、第2半導体デバイスはNFETとすることができ、第2の結晶配向は(100)か又は(111)とすることができる。本発明においては、第1半導体デバイスをNFETとし、第2半導体デバイスをPFETとすることもできる。本発明の一実施形態においては、この方法はさらに、第1デバイス領域と第2デバイス領域との間に分離領域を形成することを含む。本発明のさらに別の実施形態においては、半導体材料は、歪みSiの上層と緩和SiGe合金又はSiC合金の下層とを含む。
本発明の別の態様は、少なくとも2つのタイプのデバイスを含む一体型半導体構造であって、少なくとも1つのデバイスが該デバイスに最適な第1の結晶表面(crystallographic surface)上に形成され、一方、他のデバイスが該他のデバイスに最適な、第1の結晶表面とは異なる第2の結晶表面上に形成される一体型半導体構造に関する。特に、本発明の構造体は、
第1の結晶配向を有する第1デバイス領域と第1の結晶配向とは異なる第2の結晶配向を有する第2デバイス領域とを含む結合された基板と、
第2デバイス領域から第1デバイス領域を分離する分離領域と、
第1デバイス領域に配置された少なくとも1つの第1半導体デバイス及び第2デバイス領域に配置された少なくとも1つの第2半導体デバイスと、
を含む。
本発明によれば、第1半導体デバイスはPFETとすることができ、第1の結晶配向は(110)か又は(111)とすることができ、一方、第2半導体デバイスはNFETとすることができ、第2の結晶配向は(100)か又は(111)とすることができる。本発明においては、第1半導体デバイスをNFETとし、第2半導体デバイスをPFETとすることもできる。半導体デバイスの構成は、結合された基板の結晶配向に依存することになる。
本発明は、異なる結晶表面をもつ結合された基板上にNFET及びPFETのような異なる半導体デバイスを形成する方法を提供するものであり、本発明に伴う図面と共に以下の記述を参照することによってかなり詳しく説明される。付属の図面においては、同じ及び対応する要素は同じ参照番号で示される。
図2は、本発明において用いることができる結合された基板10、すなわちハイブリッド基板を示す。図示のように、結合された基板10は、表面誘電体層18と、第1半導体層16と、絶縁層14と、第2半導体層12とを含む。結合された基板10はさらに、第2半導体層12の下に配置される随意的な第3半導体層(図示せず)を含むことができる。随意的な結合された基板においては、別の絶縁層が、随意的な第3半導体層から第2半導体層12を分離する。
結合された基板10の表面誘電体層18は、酸化物、窒化物、酸窒化物、或いは、結合前の最初のウェハの1つに存在するか、もしくは熱処理(すなわち、酸化物形成、窒化物形成又は酸窒化物形成)又は堆積のいずれかによってウェハが結合された後に第1半導体層16の上に形成される他の絶縁層である。表面誘電体層18の起源に関係なしに、表面誘電体層18は、約3ないし約500nmの厚さを有し、約5ないし約20nmの厚さがより一層好ましい。
第1半導体層16は、例えば、Si、SiC、SiGe、SiGeC、Ge合金、GaAs、InAs、InP、並びに他のIII/V又はII/VI化合物半導体を含む半導体材料のいずれかからなる。第1半導体層16はまた、予め形成されたSOI基板のSOI層か、又は例えばSi/SiGeといった層状半導体を含むことができる。第1半導体層16はまた、(110)であることが好ましい第1の結晶配向をもつものとして特徴付けられる。(110)結晶配向が好ましいが、第1半導体層16は、(111)又は(100)結晶配向をもつこともできる。
第1半導体層16の厚さは、結合された基板10を形成するのに用いられる最初の開始ウェハに応じて変化しうる。しかしながら、典型的には、第1半導体層16は、約5ないし約500nmの厚さを有し、約5ないし約100nmの厚さがより一層好ましい。
第1半導体層16と第2半導体層12との間に配置された絶縁層14は、結合された基板10を形成するのに用いられる最初のウェハに応じて種々の厚さを有する。しかしながら、典型的には、絶縁層14は、約1ないし約500nmの厚さを有し、約5ないし約100nmの厚さがより一層好ましい。絶縁層14は、結合前にウェハの一方又は両方に形成された酸化物又は他の同様の絶縁体材料である。
第2半導体層12は、第1半導体層16と同じ又は異なる半導体材料のいずれかからなる。したがって、第2半導体層12は、例えば、Si、SiC、SiGe、SiGeC、Ge合金、GaAs、InAs、InP、並びに他のIII/V又はII/VI化合物半導体を含むことができる。第2半導体層12はまた、予め形成されたSOI基板のSOI層か、又は例えばSi/SiGeといった層状半導体を含むことができる。第2半導体層12はまた、第1の結晶配向とは異なる第2の結晶配向をもつものとして特徴付けられる。第1半導体層16は(110)面であることが好ましいので、第2半導体層12の結晶配向は(100)であることが好ましい。(100)結晶配向が好ましいが、第2半導体層12は、(111)又は(110)結晶構造を有してもよい。
第2半導体層12の厚さは、結合された基板10を形成するのに用いられる最初の開始ウェハに応じて変化しうる。しかしながら、典型的には、第2半導体層12は、約5nmないし約200nmの厚さを有し、約5ないし約100nmの厚さがより一層好ましい。
随意的な第3半導体層が存在するときには、随意的な第3半導体層は、第2半導体層12と同じ又は異なる半導体材料を含むことができる。随意的な第3半導体層の結晶配向は、典型的には、常にではないが、第2半導体層と同じである。随意的な第3半導体層は、通常は、第2半導体層12より厚い。随意的な第3の層が存在するときには、絶縁層が、随意的な第3半導体層を第2半導体層から分離する。
図2に示された結合された基板10は、互いに結合された2つの半導体ウェハからなる。結合された基板10を製造するのに用いられる2つのウェハは、1と示された一方のウェハが第1半導体層16を含み、2と示された他方のウェハが第2半導体層12を含む2つのSOIウェハ(図8参照)、SOIウェハ(2と示される)とバルク半導体ウェハ(1と示される、図9参照)、両方とも上に絶縁層14を含む2つのバルク半導体ウェハ(それぞれ1及び2と示される、図10参照)、又はSOIウェハ(2と示される)と、結合中にウェハの少なくとも1つの一部を分割するのに用いることができるH打ち込み領域といったイオン打ち込み領域11を含むバルクウェハ(1と示される)(図11参照)を含むことができる。
結合は、最初に2つのウェハを互いに緊密に接触させ、接触させられたウェハに随意的に外力をかけ、次いで2つのウェハを互いに結合することができる条件の下で2つの接触させられたウェハを加熱することによって達成される。加熱ステップは、外力の存在のもとで、又は存在なしに行うことができる。加熱ステップは、典型的には、不活性雰囲気において約200度から約1050度の温度で約2から約20時間にわたって行われる。より好ましくは、結合は、約200度から約400度の温度で約2から約20時間にわたって行われる。「不活性雰囲気」という用語は、本発明においては、He、Ar、N、Xe、Kr又はその混合物といった不活性ガスが用いられる雰囲気を示すのに用いられる。結合プロセスの間に用いられる好ましい雰囲気はNである。
2つのSOIウェハが用いられる実施形態においては、少なくとも1つのSOIウェハの幾つかの材料層は、化学的機械的研磨(CMP)又は研削及びエッチングといった平坦化プロセスを用いて結合した後に除去することができる。平坦化プロセスは、表面誘電体層18に到達したときに止まる。
ウェハの1つがイオン打ち込み領域を含む実施形態においては、イオン打ち込み領域は結合の間に多孔性領域を形成し、それにより、例えばイオン打ち込み領域の上のウェハの一部が取り除かれて、図2に示されるような結合されたウェハが残る。打ち込み領域は、典型的には、当業者には周知のイオン打ち込み条件を用いてウェハの表面に打ち込まれたHイオンからなる。
結合されるウェハが誘電体層を含まない実施形態においては、表面誘電体層18は、酸化物形成といった熱処理によって、又は化学気相成長(CVD)、プラズマ強化CVD、原子層蒸着、化学溶液堆積、並びに他の同様の堆積プロセスといった従来の堆積プロセスによって、結合されたウェハの上に形成することができる。
次いで、マスク20が、結合された基板10の一部を保護し、結合された基板10の別の部分は保護されないまま残るように、図2の結合された基板10の所定部分上に形成される。結合された基板10の保護された部分が構造体の第1デバイス領域22を定め、一方、結合された基板10の保護されない部分が第2デバイス領域24を定める。一実施形態においては、マスク20は、結合された基板10の全表面にフォトレジストマスクを適用することによって表面誘電体層18の所定部分上に形成される。フォトレジストマスクを適用した後に、マスクは、フォトレジストを放射線パターンに露出するステップと、レジスト現像剤を用いてパターンを現像するステップとを含むリソグラフィによってパターン形成される。結合された基板10の所定部分上に形成されたマスク20を含む結果として得られる構造体は、例えば、図3に示されている。
別の実施形態においては、マスク20は、リソグラフィ及びエッチングを用いて形成されパターン形成された窒化物又は酸窒化物層である。窒化物又は酸窒化物マスク20は、第2半導体デバイスの領域を定めた後に除去することができる。
結合された基板10にマスク20を設けた後に、その構造体に1つ又はそれ以上のエッチング・ステップを行って、第2半導体層12の表面が露出されるようにする。特に、本発明のこの時点で用いられる1つ又はそれ以上のエッチング・ステップは、表面誘電体層18の保護されない部分と共に、その下にある第1半導体層16の部分と、第2半導体層12から第1半導体層16を分離する絶縁層14の一部を除去する。エッチングは、単一のエッチング・プロセスを用いて行うことができ、又は複数のエッチング・ステップを用いてもよい。本発明のこの時点で用いられるエッチングは、反応性イオンエッチング、イオンビームエッチング、プラズマエッチング又はレーザエッチングといった乾式エッチング・プロセス、化学エッチャントが用いられる湿式エッチング・プロセス、またはこのいずれかの組み合わせを含むことができる。本発明の好ましい実施形態においては、第2半導体デバイス領域24における表面誘電体層18の保護されない部分、第1半導体層16及び絶縁層14を選択的に除去する際に反応性イオンエッチング(RIE)が用いられる。エッチング・プロセスが行われた後に得られる構造体は、例えば図4に示される。保護された第1デバイス領域22の側壁、すなわち表面誘電体層18、第1半導体層16、絶縁層14及び第2半導体層12は、このエッチング・ステップの後に露出されることに留意されたい。図示のように、層18、16及び14の露出された側壁は、マスク20の最外縁と位置合わせされる。
次いで、マスク20が、従来のレジスト剥離プロセスを用いて図4に示された構造体から除去され、露出された側壁上にライナ又はスペーサ25が形成される。ライナ又はスペーサ25は、堆積及びエッチングによって形成される。ライナ又はスペーサ25は、例えば酸化物といった絶縁材料からなる。
ライナ又はスペーサ25を形成した後に、露出された第2半導体層12上に半導体材料26が形成される。本発明によれば、半導体材料26は、第2半導体層12の結晶配向と同じ結晶配向を有する。結果として得られる構造体は、例えば図5に示される。
半導体材料26は、選択的エピタキシャル成長法を用いて形成することができるSi、歪みSi、SiGe、SiC、SiGeC又はこの組み合わせといったSi含有半導体のいずれかを含むことができる。幾つかの好ましい実施形態においては、半導体材料26はSiからなる。他の好ましい実施形態においては、半導体材料は、緩和SiGe合金層の上に配置された歪みSi層である。本発明においては、半導体材料26は、再成長半導体材料と呼ぶことができる。
次に、図5に示された構造体に、化学的機械的研磨(CMP)又は研削といった平坦化処理が行われて、半導体材料26の上面が第1半導体層16の上面と実質的に平坦となるようにされる。表面誘電体層18の既に保護された部分は、この平坦化処理の間に除去されることに留意されたい。
実質的に平坦な表面を与えた後に、典型的には、第2半導体デバイス領域24から第1半導体デバイス領域22が分離されるように、浅いトレンチ分離領域といった分離領域27が形成される。分離領域27は、例えば、トレンチ画定及びエッチング、随意的にはトレンチを拡散障壁でライニングすること、及びトレンチを酸化物といったトレンチ誘電体で埋めることを含む、当業者には周知の処理ステップを用いて形成される。トレンチが埋められた後に、構造体は平坦化されることができ、随意的な焼締め処理ステップを行ってトレンチ誘電体を焼き締めることができる。
結果として得られる、分離領域27を含む実質的に平坦な構造体が、例えば図6に示される。図示のように、図6の構造体は、第1結晶配向の露出された第1半導体層16と、第2半導体層12と同じ結晶配向をもつ露出されない再成長半導体材料26とを含む。図7は、第1半導体デバイス30が第1半導体層16の一部の上に形成され、第2半導体デバイス32が再成長半導体材料26の上に形成された後に形成された一体型構造体を示す。各デバイス領域には1つのみの半導体デバイスの存在が示されているが、本発明は、特定のデバイス領域に複数の各種のデバイスを形成することを考慮に入れている。本発明によれば、第1半導体デバイスは第2半導体デバイスとは異なり、特定のデバイスは高性能デバイスを与える結晶配向上に製造されるという条件で、第1半導体デバイスはPFET又はNFETとすることができ、一方、第2半導体デバイスはNFET又はPFETとすることができる。PFET及びNFETは、当業者には周知の標準CMOS処理ステップを用いて形成される。各FETは、ゲート誘電体、ゲート導体、ゲート導体の上に配置された随意的なハードマスク、少なくともゲート導体の側壁上に配置されたスペーサ、及びソース/ドレイン拡散領域を含む。拡散領域は、図7においては34と付される。PFETは、(110)又は(111)配向を有する半導体材料上に形成され、一方、NFETは、(100)又は(111)配向を有する半導体表面上に形成される。
上記の説明及び図2−図7は、2つの異なる結晶配向を有する結合された基板を与えること、マスキングすること、エッチングすること、再成長すること、平坦化すること、及びデバイス形成することを含む本発明の基本概念を示す。図12−図21に関する以下の説明は、(100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む高性能半導体デバイスを形成するのに用いられる処理ステップを説明するものである。
図12は、本発明のこの実施形態に用いることができる結合された基板10を示す。結合された基板10は、表面誘電体層18、第1半導体層16、絶縁層14、及び第2半導体層12を含む。第3の随意的な半導体層は、第2半導体層12の下に配置することができる。こうした実施形態においては、絶縁層は、第2半導体層を随意的な第3の半導体層から分離する。
図13は、表面誘電体層18上に窒化物マスク20が形成された後の構造体を示す。窒化物マスク20は、CVDといった従来の堆積プロセスを用いて形成される。
窒化物マスク20が形成された後で、マスクは、パターン形成されたフォトレジストマスクを用いてパターン形成され、エッチングされ、次いでパターンは、別のエッチング・プロセスを通じて窒化物マスク20から第2半導体層12の上面層の上で止まる構造体に転写される。第2エッチングに用いられるエッチングは、表面誘電体層18、第1半導体層16及び絶縁層14の一部を除去する。パターンを結合された基板10に転写するために単一の又は複数のエッチング・プロセスが行われる。図14は、パターン転写後の結果として得られる構造体を示す。
次に、及び図15に示されるように、露出された側壁上にスペーサ25が形成される。スペーサ25は、例えば酸化物を含む絶縁材料からなる。保護された第1デバイス領域の側壁上に配置されたスペーサ25は、堆積及びエッチングにより形成される。
スペーサ25の形成後に、第2半導体層12の露出された表面上に半導体材料26が形成され、例えば図16に示された構造体を与える。図16に示された構造体が平坦化されて、図17に示された実質的に平坦な構造体が与えられる。平坦化ステップは、まだエッチングされていない窒化物マスク20及び表面誘電体層18を除去して、第1半導体層16が露出され且つ再成長半導体材料26が露出される構造体が与えられるようにするものであることに留意されたい。露出された第1半導体層16は、NFETのような第1半導体デバイスが形成されることになる領域であり、一方、半導体材料26の露出された表面は、PFETのような第2半導体デバイスが形成されることになる領域である。
次に、図18に示されるように、パッド酸化物51とパッド窒化物52を含む材料スタック50が、図17に示された実質的に平坦な構造体の上に形成される。材料スタック50のパッド酸化物51は、熱酸化物形成プロセスか又は堆積によって形成され、一方、パッド窒化物52は、熱窒化物形成プロセスか又は堆積によって形成される。パッド窒化物52は、典型的には、下にあるパッド酸化物51より厚い。
材料スタック50は、分離領域27のためのトレンチ開口部を画定するのに用いられる。図19は、トレンチ開口部29が図18に示された構造体に形成された後に形成された構造体を示す。トレンチ開口部29は、リソグラフィ及びエッチングによって形成される。
トレンチ開口部29を定めた後に、トレンチ開口部29は、酸化物といったトレンチ誘電体で埋められ、第1半導体層16及び再成長半導体材料26と平坦化される。図20は、トレンチを埋め、平坦化した後に形成された構造体を示す。図20に示された構造体は、3つのデバイス領域を含み、そのうち2つは、第1半導体デバイス30が形成されることになる第1デバイス領域22と呼ばれ、3つめは、第2半導体デバイス32が形成されることになる第2デバイス領域24と呼ばれる。
図21は、第1半導体デバイス30が第1半導体層16の一部の上に形成され、第2半導体デバイス32が再成長半導体材料26の上に形成された後に形成された一体型構造体を示す。各デバイス領域には1つのみの半導体デバイスの存在が示されているが、本発明は、特定のデバイス領域に複数の各種のデバイスを形成することを考慮に入れている。本発明によれば、第1半導体デバイスはPFET(又はNFET)とすることができ、一方、第2半導体デバイスはNFET(又はPFET)とすることができる。PFET及びNFETは、当業者には周知の標準CMOS処理ステップを用いて形成される。各FETは、ゲート誘電体、ゲート導体、ゲート導体の上に配置された随意的なハードマスク、少なくともゲート導体の側壁上に配置されたスペーサ、及びソース/ドレイン拡散領域を含む。PFETは、(110)又は(111)配向を有する表面上に形成され、一方、NFETは、(100)又は(111)配向を有する表面上に形成されることに留意されたい。図21に示された構造体においては、NFETはSOI型のデバイスであり、一方、PFETはバルク型の半導体デバイスである。第3の半導体層が第2の半導体層12の下に存在する場合には、3つの全てのデバイスはSOI型のデバイスとなる。
図22−図28は、(100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む高性能半導体デバイスを形成するのに用いられる別の処理ステップを説明するものである。別の方法は、図22に示された結合された基板を形成することで始まる。結合された基板10は、少なくとも表面誘電体層18と、第1半導体層16と、絶縁層14と、第2半導体層12とを含む。第3の随意的な半導体層は、第2半導体層の下に配置することができる。
次に、窒化物マスク20が、結合された基板10の上に形成されて、図23に示された構造体を与える。窒化物マスク20を結合された基板10の上に形成した後に、組み合わされたエッチングマスクとして窒化物マスク20と表面誘電体18を用いて分離領域27が形成される。分離領域27は、窒化物マスク20の表面にフォトレジストを適用し、フォトレジストをパターン形成し、パターンをフォトレジストから窒化物マスク20に、次いで表面誘電体層18に転写して、第1半導体層16を露出することによって形成される。次いで、露出された第1半導体層16をエッチングして、絶縁層14の上面で止める。エッチング・ステップによって形成されたトレンチは、トレンチ誘電体によって埋められ、窒化物マスク20の上面と平坦化される。図24は、トレンチを埋め、平坦化した後の構造体を示す。特に、分離領域27は図24に示されている。
分離領域間の材料が除去されて、図25に示された構造体が与えられる。特に、分離領域間の材料は、第1半導体デバイスが形成されることになる構造体の部分を保護するためにブロックマスクを形成し、窒化物マスク20の保護されない部分、表面誘電体層18、及び第1半導体層16をエッチングして絶縁層14で止めることによって除去される。
絶縁層14の露出された部分は、酸化物といった絶縁体材料を選択的に除去するエッチング・プロセスを用いて除去されて、例えば図26に示された構造体を与える。このエッチング・ステップはまた、分離領域27の高さを減少させることに留意されたい。このエッチング・ステップは、第2半導体層12の上面の上で止まる。次いで、残りの窒化物マスク20が構造体から剥離され、半導体材料26が第2半導体材料12の露出された表面上で再成長させられて、例えば図27に示された構造体を与える。この特定の実施形態においては、再成長半導体材料26は、歪みSi31の上層を含む。
酸化物は、図27に示された構造体から剥離され、歪みSi31が第1半導体層16の露出部分上に形成される。歪みSi層を形成した後に、CMOSデバイス30及び32は、高性能デバイスを与えるそれぞれの結晶配向の上に形成される。歪みSi層の上に形成されたNFET及びPFETを含む結果として得られる構造体は、例えば図28に示されている。
本発明は、特に本発明の好ましい実施形態を参照して示され、説明されたが、形態及び細部における上記の及び他の変化は、本発明の精神及び範囲から逸脱することなしになされることを理解されたい。したがって、本発明は、説明され図示された正確な形態及び細部に限定されないが、添付の請求項の範囲内に包含されることを意図されている。
Si基板についてのVgs=1Vにおけるμeff対結晶配向のプロットである。 結合された基板の異なる結晶配向の平面上に一体型CMOSデバイスを形成する際に本発明において用いられる基本加工ステップを示す図(断面図)である。 結合された基板の異なる結晶配向の平面上に一体型CMOSデバイスを形成する際に本発明において用いられる基本加工ステップを示す図(断面図)である。 結合された基板の異なる結晶配向の平面上に一体型CMOSデバイスを形成する際に本発明において用いられる基本加工ステップを示す図(断面図)である。 結合された基板の異なる結晶配向の平面上に一体型CMOSデバイスを形成する際に本発明において用いられる基本加工ステップを示す図(断面図)である。 結合された基板の異なる結晶配向の平面上に一体型CMOSデバイスを形成する際に本発明において用いられる基本加工ステップを示す図(断面図)である。 結合された基板の異なる結晶配向の平面上に一体型CMOSデバイスを形成する際に本発明において用いられる基本加工ステップを示す図(断面図)である。 互いに結合することができ、図2−図6で説明された方法において用いられる種々のウェハの図である。 互いに結合することができ、図2−図6で説明された方法において用いられる種々のウェハの図である。 互いに結合することができ、図2−図6で説明された方法において用いられる種々のウェハの図である。 互いに結合することができ、図2−図6で説明された方法において用いられる種々のウェハの図である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる別の基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる別の基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる別の基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる別の基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる別の基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる別の基本加工ステップを示す絵画図(断面図)である。 (100)結晶表面上に形成された2つのNFETと、(110)結晶表面上に形成され、NFET間に配置されたPFETとを含む、高性能半導体デバイスを形成する際に用いられる別の基本加工ステップを示す絵画図(断面図)である。

Claims (16)

  1. ハイブリッド結晶配向基板上にCMOSデバイスを形成する方法であって、
    第1の結晶配向第1の半導体層と、絶縁層によって分離された第2の結晶配向の第2の半導体層とを少なくともむ結合された基板を用意するステップであって、前記第1の結晶配向が前記第2の結晶配向とは異なり、前記第1の半導体層が前記第2の半導体層上におかれている、前記用意するステップと、
    前記結合された基板の一部が保護されて、前記結合された基板の前記保護された部分が第1の領域を定めるように、前記結合された基板の別の部分が保護されないまま残され、前記結合された基板の前記保護されない部分が第2の領域を定めるようにするステップと、
    前記結合された基板の前記保護されない部分をエッチングして、前記第2の半導体層の表面を露出するステップと、
    前記エッチングによって露出された側壁上にスペーサを形成するステップと、
    前記第2の半導体層の前記露出された表面上に、前記第2の結晶配向と同じ結晶配向を有する半導体材料を再成長させるステップと、
    前記半導体材料を含む前記結合された基板を平坦化して、前記第1の半導体層の上面が前記半導体材料の上面と平坦になるようにするステップと、
    平坦化された前記結合された基板にわたって材料スタックを形成し、そして前記第1の半導体層内の前記材料スタック中にトレンチ開口部を形成するステップであって、前記トレンチ開口部の形成は、前記スペーサの上部を除去し、且つ前記トレンチ開口部を前記絶縁層の頂部表面に伸張させる、前記形成するステップと、
    前記トレンチ開口部を誘電材料で充填することによって少なくとも1つのトレンチ誘電部分を形成するステップであって、前記少なくとも1つのトレンチ誘電部分は、前記スペーサの残りの下部の頂部表面に垂直に接触し、それによって、少なくとも1つのトレンチ誘電部分が前記第1の領域を前記第2の領域から水平方向に分離する、前記形成するステップと、
    前記第1の領域に少なくとも1つの第1半導体デバイスを形成し、前記第2の領域の前記半導体材料上に少なくとも1つの第2半導体デバイスを形成するステップと
    を含む、前記方法。
  2. 前記結合された基板がさらに、前記第1の半導体の材料上に配置された表面誘電体層を含む、請求項1に記載の方法。
  3. 前記結合された基板が、2つのシリコン・オン・インシュレータ(SOI)ウェハ、SOIウェハとバルク半導体ウェハ、2つのバルク半導体ウェハ、又はSOIウェハと加熱後に間隙を形成するイオン打ち込み領域を含むバルク半導体ウェハとから形成される、請求項1に記載の方法。
  4. 前記結合された基板が、2つのウェハを互いに緊密に接触させ、接触させられたウェハを不活性雰囲気中で加熱することによって形成される、請求項1に記載の方法。
  5. 前記加熱は、200℃〜1050℃の温度で2〜20時間にわたって行われる、請求項4に記載の方法。
  6. 前記保護は、パターン形成されたマスクの使用を含む、請求項1に記載の方法。
  7. 前記パターン形成されたマスクは、パターン形成されたフォトレジスト、パターン形成された窒化物又はパターン形成された酸窒化物である、請求項6に記載の方法。
  8. 前記半導体材料は、選択的エピタキシャル成長法を用いて形成される、請求項1に記載の方法。
  9. 前記半導体材料は、Si、歪みSi、SiGe、SiC、SiGeC、及びこれらの組み合わせから選択されたSi含有半導体である、請求項1に記載の方法。
  10. 前記平坦化は、化学的機械的研磨又は研削である、請求項1に記載の方法。
  11. エッチングの後で、しかし少なくとも1つの半導体デバイスを形成する前に、分離領域を形成するステップをさらに含む、請求項1に記載の方法。
  12. 前記半導体デバイスがNFET又はPFETである、請求項1に記載の方法。
  13. 前記第1の半導体層が(110)結晶配向を有し、前記第2の半導体層及び前記半導体材料の両方が(100)結晶配向を有する、請求項1に記載の方法。
  14. 前記第1半導体デバイスがPFETであり、前記第2半導体デバイスがNFETである、請求項13に記載の方法。
  15. 前記半導体デバイスが、歪みSi層上に形成される、請求項1に記載の方法。
  16. 前記半導体材料が、緩和SiGe合金層の上に配置された歪みSi層を含む、請求項1に記載の方法。
JP2006516124A 2003-06-17 2004-05-27 ハイブリッド結晶配向基板上の高性能cmossoiデバイス Expired - Fee Related JP4931211B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/250,241 US7329923B2 (en) 2003-06-17 2003-06-17 High-performance CMOS devices on hybrid crystal oriented substrates
US10/250,241 2003-06-17
PCT/EP2004/050946 WO2004114400A1 (en) 2003-06-17 2004-05-27 High-performance cmos soi device on hybrid crystal-oriented substrates

Publications (2)

Publication Number Publication Date
JP2006527915A JP2006527915A (ja) 2006-12-07
JP4931211B2 true JP4931211B2 (ja) 2012-05-16

Family

ID=33516805

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006516124A Expired - Fee Related JP4931211B2 (ja) 2003-06-17 2004-05-27 ハイブリッド結晶配向基板上の高性能cmossoiデバイス

Country Status (8)

Country Link
US (2) US7329923B2 (ja)
EP (1) EP1639637A1 (ja)
JP (1) JP4931211B2 (ja)
KR (1) KR100843489B1 (ja)
CN (1) CN100407408C (ja)
IL (1) IL172517A0 (ja)
TW (1) TWI318785B (ja)
WO (1) WO2004114400A1 (ja)

Families Citing this family (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7023055B2 (en) * 2003-10-29 2006-04-04 International Business Machines Corporation CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US20050116290A1 (en) * 2003-12-02 2005-06-02 De Souza Joel P. Planar substrate with selected semiconductor crystal orientations formed by localized amorphization and recrystallization of stacked template layers
US7087965B2 (en) * 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
US7208815B2 (en) * 2004-05-28 2007-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS logic gate fabricated on hybrid crystal orientations and method of forming thereof
US7125785B2 (en) 2004-06-14 2006-10-24 International Business Machines Corporation Mixed orientation and mixed material semiconductor-on-insulator wafer
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
DE102004031708B4 (de) * 2004-06-30 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen eines Substrats mit kristallinen Halbleitergebieten unterschiedlicher Eigenschaften
US7172930B2 (en) * 2004-07-02 2007-02-06 International Business Machines Corporation Strained silicon-on-insulator by anodization of a buried p+ silicon germanium layer
US7002214B1 (en) * 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
US7274073B2 (en) * 2004-10-08 2007-09-25 International Business Machines Corporation Integrated circuit with bulk and SOI devices connected with an epitaxial region
US7141457B2 (en) 2004-11-18 2006-11-28 International Business Machines Corporation Method to form Si-containing SOI and underlying substrate with different orientations
DE102004057764B4 (de) 2004-11-30 2013-05-16 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Substrats mit kristallinen Halbleitergebieten mit unterschiedlichen Eigenschaften, die über einem kristallinen Vollsubstrat angeordnet sind und damit hergestelltes Halbleiterbauelement
US7405436B2 (en) * 2005-01-05 2008-07-29 International Business Machines Corporation Stressed field effect transistors on hybrid orientation substrate
US8138061B2 (en) * 2005-01-07 2012-03-20 International Business Machines Corporation Quasi-hydrophobic Si-Si wafer bonding using hydrophilic Si surfaces and dissolution of interfacial bonding oxide
US7285473B2 (en) * 2005-01-07 2007-10-23 International Business Machines Corporation Method for fabricating low-defect-density changed orientation Si
US7271043B2 (en) * 2005-01-18 2007-09-18 International Business Machines Corporation Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US7220626B2 (en) * 2005-01-28 2007-05-22 International Business Machines Corporation Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US7298009B2 (en) * 2005-02-01 2007-11-20 Infineon Technologies Ag Semiconductor method and device with mixed orientation substrate
US20060175659A1 (en) * 2005-02-07 2006-08-10 International Business Machines Corporation A cmos structure for body ties in ultra-thin soi (utsoi) substrates
JP2006237448A (ja) * 2005-02-28 2006-09-07 Nec Electronics Corp 相補型電界効果型トランジスタの製造方法
US6972478B1 (en) * 2005-03-07 2005-12-06 Advanced Micro Devices, Inc. Integrated circuit and method for its manufacture
US7388278B2 (en) * 2005-03-24 2008-06-17 International Business Machines Corporation High performance field effect transistors on SOI substrate with stress-inducing material as buried insulator and methods
JP2008535232A (ja) * 2005-03-29 2008-08-28 エス. オー. アイ. テック シリコン オン インシュレーター テクノロジーズ 完全ハイブリッドsoi型多層構造
US7274072B2 (en) * 2005-04-15 2007-09-25 International Business Machines Corporation Hybrid bulk-SOI 6T-SRAM cell for improved cell stability and performance
US7605429B2 (en) * 2005-04-15 2009-10-20 International Business Machines Corporation Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
US7465992B2 (en) * 2005-04-27 2008-12-16 International Business Machines Corporation Field effect transistor with mixed-crystal-orientation channel and source/drain regions
CN100345248C (zh) * 2005-05-11 2007-10-24 华东师范大学 异质键合晶片的制备方法和应用
US7291539B2 (en) * 2005-06-01 2007-11-06 International Business Machines Corporation Amorphization/templated recrystallization method for hybrid orientation substrates
US20060272574A1 (en) * 2005-06-07 2006-12-07 Advanced Micro Devices, Inc. Methods for manufacturing integrated circuits
US7439108B2 (en) * 2005-06-16 2008-10-21 International Business Machines Corporation Coplanar silicon-on-insulator (SOI) regions of different crystal orientations and methods of making the same
US7473985B2 (en) * 2005-06-16 2009-01-06 International Business Machines Corporation Hybrid oriented substrates and crystal imprinting methods for forming such hybrid oriented substrates
US7358164B2 (en) * 2005-06-16 2008-04-15 International Business Machines Corporation Crystal imprinting methods for fabricating substrates with thin active silicon layers
US7432149B2 (en) * 2005-06-23 2008-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS on SOI substrates with hybrid crystal orientations
US7611937B2 (en) * 2005-06-24 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistors with hybrid crystal orientations
US7217629B2 (en) * 2005-07-15 2007-05-15 International Business Machines Corporation Epitaxial imprinting
US7382029B2 (en) * 2005-07-29 2008-06-03 International Business Machines Corporation Method and apparatus for improving integrated circuit device performance using hybrid crystal orientations
KR100655437B1 (ko) * 2005-08-09 2006-12-08 삼성전자주식회사 반도체 웨이퍼 및 그 제조방법
US20070040235A1 (en) * 2005-08-19 2007-02-22 International Business Machines Corporation Dual trench isolation for CMOS with hybrid orientations
US20070048980A1 (en) * 2005-08-24 2007-03-01 International Business Machines Corporation Method for post-rie passivation of semiconductor surfaces for epitaxial growth
US7737532B2 (en) * 2005-09-06 2010-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid Schottky source-drain CMOS for high mobility and low barrier
DE602005015853D1 (de) * 2005-09-14 2009-09-17 St Microelectronics Srl Verfahren zur Herstellung einer Phasenwechselspeicher-Anordnung mit einheitlicher Heizelementhöhe
US7605447B2 (en) * 2005-09-22 2009-10-20 International Business Machines Corporation Highly manufacturable SRAM cells in substrates with hybrid crystal orientation
US7986029B2 (en) * 2005-11-08 2011-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dual SOI structure
US7420202B2 (en) 2005-11-08 2008-09-02 Freescale Semiconductor, Inc. Electronic device including a transistor structure having an active region adjacent to a stressor layer and a process for forming the electronic device
US7348633B2 (en) * 2005-11-18 2008-03-25 International Business Machines Corporation Hybrid crystallographic surface orientation substrate having one or more SOI regions and/or bulk semiconductor regions
US7288458B2 (en) * 2005-12-14 2007-10-30 Freescale Semiconductor, Inc. SOI active layer with different surface orientation
US7569466B2 (en) * 2005-12-16 2009-08-04 International Business Machines Corporation Dual metal gate self-aligned integration
US7436034B2 (en) * 2005-12-19 2008-10-14 International Business Machines Corporation Metal oxynitride as a pFET material
US8319285B2 (en) * 2005-12-22 2012-11-27 Infineon Technologies Ag Silicon-on-insulator chip having multiple crystal orientations
US8530355B2 (en) * 2005-12-23 2013-09-10 Infineon Technologies Ag Mixed orientation semiconductor device and method
US7432567B2 (en) * 2005-12-28 2008-10-07 International Business Machines Corporation Metal gate CMOS with at least a single gate metal and dual gate dielectrics
US7833849B2 (en) 2005-12-30 2010-11-16 International Business Machines Corporation Method of fabricating a semiconductor structure including one device region having a metal gate electrode located atop a thinned polygate electrode
US20070152276A1 (en) * 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US7425497B2 (en) 2006-01-20 2008-09-16 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US8441000B2 (en) 2006-02-01 2013-05-14 International Business Machines Corporation Heterojunction tunneling field effect transistors, and methods for fabricating the same
US7678630B2 (en) * 2006-02-15 2010-03-16 Infineon Technologies Ag Strained semiconductor device and method of making same
US7531392B2 (en) * 2006-02-27 2009-05-12 International Business Machines Corporation Multi-orientation semiconductor-on-insulator (SOI) substrate, and method of fabricating same
US7402477B2 (en) 2006-03-30 2008-07-22 Freescale Semiconductor, Inc. Method of making a multiple crystal orientation semiconductor device
US7396407B2 (en) * 2006-04-18 2008-07-08 International Business Machines Corporation Trench-edge-defect-free recrystallization by edge-angle-optimized solid phase epitaxy: method and applications to hybrid orientation substrates
US7385257B2 (en) * 2006-04-26 2008-06-10 International Business Machines Corporation Hybrid orientation SOI substrates, and method for forming the same
US7436006B2 (en) * 2006-05-19 2008-10-14 International Business Machines Corporation Hybrid strained orientated substrates and devices
US7439110B2 (en) * 2006-05-19 2008-10-21 International Business Machines Corporation Strained HOT (hybrid orientation technology) MOSFETs
US7435639B2 (en) * 2006-05-31 2008-10-14 Freescale Semiconductor, Inc. Dual surface SOI by lateral epitaxial overgrowth
US7670928B2 (en) * 2006-06-14 2010-03-02 Intel Corporation Ultra-thin oxide bonding for S1 to S1 dual orientation bonding
US7803690B2 (en) 2006-06-23 2010-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy silicon on insulator (ESOI)
KR100741468B1 (ko) * 2006-07-10 2007-07-20 삼성전자주식회사 반도체 장치 및 그 형성 방법
US7893493B2 (en) * 2006-07-10 2011-02-22 International Business Machines Corproation Stacking fault reduction in epitaxially grown silicon
US7803670B2 (en) * 2006-07-20 2010-09-28 Freescale Semiconductor, Inc. Twisted dual-substrate orientation (DSO) substrates
US7595232B2 (en) * 2006-09-07 2009-09-29 International Business Machines Corporation CMOS devices incorporating hybrid orientation technology (HOT) with embedded connectors
US7494918B2 (en) * 2006-10-05 2009-02-24 International Business Machines Corporation Semiconductor structures including multiple crystallographic orientations and methods for fabrication thereof
US7820501B2 (en) * 2006-10-11 2010-10-26 International Business Machines Corporation Decoder for a stationary switch machine
US7482209B2 (en) * 2006-11-13 2009-01-27 International Business Machines Corporation Hybrid orientation substrate and method for fabrication of thereof
US8569858B2 (en) 2006-12-20 2013-10-29 Freescale Semiconductor, Inc. Semiconductor device including an active region and two layers having different stress characteristics
JP5009124B2 (ja) * 2007-01-04 2012-08-22 コバレントマテリアル株式会社 半導体基板の製造方法
US8741743B2 (en) * 2007-01-05 2014-06-03 Freescale Semiconductor, Inc. Integrated assist features for epitaxial growth
US7781278B2 (en) 2007-01-18 2010-08-24 International Business Machines Corporation CMOS devices having channel regions with a V-shaped trench and hybrid channel orientations, and method for forming the same
US7843011B2 (en) 2007-01-31 2010-11-30 Freescale Semiconductor, Inc. Electronic device including insulating layers having different strains
US7611979B2 (en) * 2007-02-12 2009-11-03 International Business Machines Corporation Metal gates with low charge trapping and enhanced dielectric reliability characteristics for high-k gate dielectric stacks
FR2913527B1 (fr) * 2007-03-05 2009-05-22 Commissariat Energie Atomique Procede de fabrication d'un substrat mixte et utilisation du substrat pour la realisation de circuits cmos
US20080248626A1 (en) * 2007-04-05 2008-10-09 International Business Machines Corporation Shallow trench isolation self-aligned to templated recrystallization boundary
US7750406B2 (en) * 2007-04-20 2010-07-06 International Business Machines Corporation Design structure incorporating a hybrid substrate
US7651902B2 (en) * 2007-04-20 2010-01-26 International Business Machines Corporation Hybrid substrates and methods for forming such hybrid substrates
US7547641B2 (en) * 2007-06-05 2009-06-16 International Business Machines Corporation Super hybrid SOI CMOS devices
FR2913815A1 (fr) * 2007-06-06 2008-09-19 Soitec Silicon On Insulator PROCEDE DE CO-INTEGRATION DE SEMI-CONDUCTEURS, EN PARTICULIER SOI ET GeOI OU GaAsOI
US20090008725A1 (en) * 2007-07-03 2009-01-08 International Business Machines Corporation Method for deposition of an ultra-thin electropositive metal-containing cap layer
US20090072312A1 (en) * 2007-09-14 2009-03-19 Leland Chang Metal High-K (MHK) Dual Gate Stress Engineering Using Hybrid Orientation (HOT) CMOS
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8105960B2 (en) * 2007-10-09 2012-01-31 International Business Machines Corporation Self-assembled sidewall spacer
US7808020B2 (en) * 2007-10-09 2010-10-05 International Business Machines Corporation Self-assembled sidewall spacer
US7863712B2 (en) 2007-10-30 2011-01-04 International Business Machines Corporation Hybrid orientation semiconductor structure with reduced boundary defects and method of forming same
US7718496B2 (en) * 2007-10-30 2010-05-18 International Business Machines Corporation Techniques for enabling multiple Vt devices using high-K metal gate stacks
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US8039401B2 (en) * 2007-12-14 2011-10-18 Fairchild Semiconductor Corporation Structure and method for forming hybrid substrate
US8211786B2 (en) 2008-02-28 2012-07-03 International Business Machines Corporation CMOS structure including non-planar hybrid orientation substrate with planar gate electrodes and method for fabrication
US8274115B2 (en) * 2008-03-19 2012-09-25 Globalfoundries Singapore Pte. Ltd. Hybrid orientation substrate with stress layer
US8159040B2 (en) * 2008-05-13 2012-04-17 International Business Machines Corporation Metal gate integration structure and method including metal fuse, anti-fuse and/or resistor
FR2933233B1 (fr) * 2008-06-30 2010-11-26 Soitec Silicon On Insulator Substrat de haute resistivite bon marche et procede de fabrication associe
FR2933234B1 (fr) * 2008-06-30 2016-09-23 S O I Tec Silicon On Insulator Tech Substrat bon marche a structure double et procede de fabrication associe
FR2933235B1 (fr) * 2008-06-30 2010-11-26 Soitec Silicon On Insulator Substrat bon marche et procede de fabrication associe
FR2933236B1 (fr) * 2008-06-30 2010-11-26 Soitec Silicon On Insulator Substrat comprenant differents types de surface, et procede de fabrication associe
JP2011524649A (ja) * 2008-09-03 2011-09-01 エス.オー.アイ.テック シリコン オン インシュレータ テクノロジーズ 異なる種類の表面を備えた基板及びそのような基板を得るための方法
JP2010072209A (ja) * 2008-09-17 2010-04-02 Fuji Xerox Co Ltd 静電荷像現像用トナー、静電荷像現像用トナーの製造方法、静電荷像現像用現像剤および画像形成装置
JP2010141263A (ja) * 2008-12-15 2010-06-24 Toshiba Corp 半導体装置
US8053304B2 (en) * 2009-02-24 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming high-mobility devices including epitaxially growing a semiconductor layer on a dislocation-blocking layer in a recess formed in a semiconductor substrate
US8196475B2 (en) * 2009-03-16 2012-06-12 Kavlico Corporation Cointegrated MEMS sensor and method
JP5562696B2 (ja) * 2009-03-27 2014-07-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7855105B1 (en) 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
US8227307B2 (en) * 2009-06-24 2012-07-24 International Business Machines Corporation Method for removing threshold voltage adjusting layer with external acid diffusion process
US8105892B2 (en) * 2009-08-18 2012-01-31 International Business Machines Corporation Thermal dual gate oxide device integration
US8022488B2 (en) 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
US7943458B2 (en) * 2009-10-06 2011-05-17 International Business Machines Corporation Methods for obtaining gate stacks with tunable threshold voltage and scaling
US8395216B2 (en) * 2009-10-16 2013-03-12 Texas Instruments Incorporated Method for using hybrid orientation technology (HOT) in conjunction with selective epitaxy to form semiconductor devices with regions of different electron and hole mobilities and related apparatus
US8288222B2 (en) * 2009-10-20 2012-10-16 International Business Machines Corporation Application of cluster beam implantation for fabricating threshold voltage adjusted FETs
US8035141B2 (en) 2009-10-28 2011-10-11 International Business Machines Corporation Bi-layer nFET embedded stressor element and integration to enhance drive current
CN102055053B (zh) * 2009-11-04 2013-09-04 中国科学院半导体研究所 一种基于键合技术制作微波传输线的方法
WO2011084269A2 (en) * 2009-12-16 2011-07-14 National Semiconductor Corporation Stress compensation for large area gallium nitride or other nitride-based structures on semiconductor substrates
FR2954584B1 (fr) * 2009-12-22 2013-07-19 Commissariat Energie Atomique Substrat hybride a isolation amelioree et procede de realisation simplifie d'un substrat hybride
US8445974B2 (en) * 2010-01-07 2013-05-21 International Business Machines Corporation Asymmetric FET including sloped threshold voltage adjusting material layer and method of fabricating same
CN102130057B (zh) * 2010-01-14 2013-05-01 中芯国际集成电路制造(上海)有限公司 制作互补金属氧化物半导体器件的方法和结构
US8299530B2 (en) 2010-03-04 2012-10-30 International Business Machines Corporation Structure and method to fabricate pFETS with superior GIDL by localizing workfunction
US8450807B2 (en) 2010-03-09 2013-05-28 International Business Machines Corporation MOSFETs with reduced contact resistance
US8236660B2 (en) 2010-04-21 2012-08-07 International Business Machines Corporation Monolayer dopant embedded stressor for advanced CMOS
US8299535B2 (en) 2010-06-25 2012-10-30 International Business Machines Corporation Delta monolayer dopants epitaxy for embedded source/drain silicide
US8361889B2 (en) 2010-07-06 2013-01-29 International Business Machines Corporation Strained semiconductor-on-insulator by addition and removal of atoms in a semiconductor-on-insulator
CN102098028A (zh) * 2010-10-14 2011-06-15 中国科学院上海微系统与信息技术研究所 基于混合晶向soi工艺的cmos环形振荡器及制备方法
US8659054B2 (en) 2010-10-15 2014-02-25 International Business Machines Corporation Method and structure for pFET junction profile with SiGe channel
US8962417B2 (en) 2010-10-15 2015-02-24 International Business Machines Corporation Method and structure for pFET junction profile with SiGe channel
US8466473B2 (en) 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
CN102569395B (zh) * 2010-12-31 2014-08-20 中国科学院微电子研究所 半导体器件及其形成方法
US8536656B2 (en) 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
US8643115B2 (en) 2011-01-14 2014-02-04 International Business Machines Corporation Structure and method of Tinv scaling for high κ metal gate technology
US8912055B2 (en) 2011-05-03 2014-12-16 Imec Method for manufacturing a hybrid MOSFET device and hybrid MOSFET obtainable thereby
CN102790004B (zh) * 2011-05-16 2014-06-11 中国科学院上海微系统与信息技术研究所 一种全隔离混合晶向soi的制备方法
CN102226989A (zh) * 2011-06-16 2011-10-26 中国电子科技集团公司第二十四研究所 混合晶向硅衬底的制造方法
US8432002B2 (en) * 2011-06-28 2013-04-30 International Business Machines Corporation Method and structure for low resistive source and drain regions in a replacement metal gate process flow
US8476706B1 (en) * 2012-01-04 2013-07-02 International Business Machines Corporation CMOS having a SiC/SiGe alloy stack
US8552380B1 (en) * 2012-05-08 2013-10-08 Cambridge Cmos Sensors Limited IR detector
KR102083495B1 (ko) * 2013-01-07 2020-03-02 삼성전자 주식회사 Cmos 소자와 이를 포함하는 광학장치와 그 제조방법
US9059095B2 (en) 2013-04-22 2015-06-16 International Business Machines Corporation Self-aligned borderless contacts using a photo-patternable dielectric material as a replacement contact
US8999791B2 (en) 2013-05-03 2015-04-07 International Business Machines Corporation Formation of semiconductor structures with variable gate lengths
US9214567B2 (en) 2013-09-06 2015-12-15 Globalfoundries Inc. Nanowire compatible E-fuse
EP2849219A1 (en) * 2013-09-11 2015-03-18 IMEC vzw Method for manufacturing transistors and associated substrate
US8951868B1 (en) 2013-11-05 2015-02-10 International Business Machines Corporation Formation of functional gate structures with different critical dimensions using a replacement gate process
KR102203033B1 (ko) * 2013-12-18 2021-01-14 인텔 코포레이션 평면형 이종 디바이스
US9595525B2 (en) 2014-02-10 2017-03-14 International Business Machines Corporation Semiconductor device including nanowire transistors with hybrid channels
US9093425B1 (en) 2014-02-11 2015-07-28 International Business Machines Corporation Self-aligned liner formed on metal semiconductor alloy contacts
US20150263040A1 (en) * 2014-03-17 2015-09-17 Silicon Storage Technology, Inc. Embedded Memory Device With Silicon-On-Insulator Substrate, And Method Of Making Same
US9184290B2 (en) 2014-04-02 2015-11-10 International Business Machines Corporation Method of forming well-controlled extension profile in MOSFET by silicon germanium based sacrificial layer
US9293375B2 (en) 2014-04-24 2016-03-22 International Business Machines Corporation Selectively grown self-aligned fins for deep isolation integration
US9331076B2 (en) 2014-05-02 2016-05-03 International Business Machines Corporation Group III nitride integration with CMOS technology
US10056293B2 (en) * 2014-07-18 2018-08-21 International Business Machines Corporation Techniques for creating a local interconnect using a SOI wafer
US9412840B1 (en) 2015-05-06 2016-08-09 International Business Machines Corporation Sacrificial layer for replacement metal semiconductor alloy contact formation
US10593600B2 (en) 2016-02-24 2020-03-17 International Business Machines Corporation Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US10062693B2 (en) * 2016-02-24 2018-08-28 International Business Machines Corporation Patterned gate dielectrics for III-V-based CMOS circuits
FR3051596B1 (fr) * 2016-05-17 2022-11-18 Soitec Silicon On Insulator Procede de fabrication d'un substrat de type semi-conducteur contraint sur isolant
CN107507806B (zh) * 2016-06-14 2020-06-05 西安电子科技大学 基于沟道晶向选择的压应变Si CMOS器件及其制备方法
JP6763703B2 (ja) * 2016-06-17 2020-09-30 ラピスセミコンダクタ株式会社 半導体装置および半導体装置の製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04372166A (ja) * 1991-06-21 1992-12-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH0594928A (ja) * 1991-10-01 1993-04-16 Toshiba Corp 半導体基体およびその製造方法とその半導体基体を用いた半導体装置
JPH0817694A (ja) * 1994-06-27 1996-01-19 Motorola Inc 集積回路に適用するための薄膜およびバルク混合半導体基板ならびにその形成方法
JP2003100900A (ja) * 2001-09-27 2003-04-04 Toshiba Corp 半導体装置およびその製造方法

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
JPS5662356A (en) * 1979-10-26 1981-05-28 Seiko Instr & Electronics Ltd Logic integrated circuit device and its manufacturing method
US4507158A (en) * 1983-08-12 1985-03-26 Hewlett-Packard Co. Trench isolated transistors in semiconductor films
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
ATE59917T1 (de) * 1985-09-13 1991-01-15 Siemens Ag Integrierte bipolar- und komplementaere mostransistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
JPS6292361A (ja) 1985-10-17 1987-04-27 Toshiba Corp 相補型半導体装置
DE3851486T2 (de) 1987-07-14 1995-02-23 Agfa Gevaert Nv Verfahren zum Herstellen von gekräuseltem photographischem Film.
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
JPH01162362A (ja) 1987-12-18 1989-06-26 Fujitsu Ltd 半導体装置の製造方法
JP2685819B2 (ja) * 1988-03-31 1997-12-03 株式会社東芝 誘電体分離半導体基板とその製造方法
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5173446A (en) * 1988-06-28 1992-12-22 Ricoh Company, Ltd. Semiconductor substrate manufacturing by recrystallization using a cooling medium
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
WO1994027317A1 (de) 1993-05-06 1994-11-24 Siemens Aktiengesellschaft Herstellungsverfahren für bauelemente auf soi-substrat
JPH07183488A (ja) 1993-12-24 1995-07-21 Nissan Motor Co Ltd Mos制御形サイリスタおよびその製造方法
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5471918A (en) * 1995-01-30 1995-12-05 Hsieh; Li-Tsu No-load-run strap release control mechanism for a strapping machine
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
JP3372158B2 (ja) * 1996-02-09 2003-01-27 株式会社東芝 半導体装置及びその製造方法
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5610083A (en) * 1996-05-20 1997-03-11 Chartered Semiconductor Manufacturing Pte Ltd Method of making back gate contact for silicon on insulator technology
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
JP3139426B2 (ja) * 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6214694B1 (en) * 1998-11-17 2001-04-10 International Business Machines Corporation Process of making densely patterned silicon-on-insulator (SOI) region on a wafer
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
JP4521542B2 (ja) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6245615B1 (en) * 1999-08-31 2001-06-12 Micron Technology, Inc. Method and apparatus on (110) surfaces of silicon structures with conduction in the <110> direction
JP4397491B2 (ja) * 1999-11-30 2010-01-13 財団法人国際科学振興財団 111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6555891B1 (en) * 2000-10-17 2003-04-29 International Business Machines Corporation SOI hybrid structure with selective epitaxial growth of silicon
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
EP1364411A1 (en) * 2001-03-02 2003-11-26 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
JP2002359293A (ja) * 2001-05-31 2002-12-13 Toshiba Corp 半導体装置
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
JP2004014856A (ja) * 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置の製造方法
JP4294935B2 (ja) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US6902962B2 (en) * 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
US7023055B2 (en) * 2003-10-29 2006-04-04 International Business Machines Corporation CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US7087965B2 (en) * 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
US7291886B2 (en) * 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US7253034B2 (en) * 2004-07-29 2007-08-07 International Business Machines Corporation Dual SIMOX hybrid orientation technology (HOT) substrates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04372166A (ja) * 1991-06-21 1992-12-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH0594928A (ja) * 1991-10-01 1993-04-16 Toshiba Corp 半導体基体およびその製造方法とその半導体基体を用いた半導体装置
JPH0817694A (ja) * 1994-06-27 1996-01-19 Motorola Inc 集積回路に適用するための薄膜およびバルク混合半導体基板ならびにその形成方法
JP2003100900A (ja) * 2001-09-27 2003-04-04 Toshiba Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
TW200503176A (en) 2005-01-16
US20040256700A1 (en) 2004-12-23
EP1639637A1 (en) 2006-03-29
CN1836323A (zh) 2006-09-20
KR100843489B1 (ko) 2008-07-04
TWI318785B (en) 2009-12-21
US20080096330A1 (en) 2008-04-24
IL172517A0 (en) 2006-04-10
JP2006527915A (ja) 2006-12-07
CN100407408C (zh) 2008-07-30
US7329923B2 (en) 2008-02-12
US7713807B2 (en) 2010-05-11
WO2004114400A1 (en) 2004-12-29
KR20060021314A (ko) 2006-03-07

Similar Documents

Publication Publication Date Title
JP4931211B2 (ja) ハイブリッド結晶配向基板上の高性能cmossoiデバイス
JP4243671B2 (ja) 集積回路構造及び形成方法
KR100613188B1 (ko) 웨이퍼 본딩 공정과 simox 공정을 이용하여 다른결정 방향을 갖는 자기 정렬된 soi
US6995456B2 (en) High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US8097516B2 (en) Dual trench isolation for CMOS with hybrid orientations
JP4006419B2 (ja) ハイブリッド・プレーナおよびFinFETCMOSデバイス
JP3737721B2 (ja) 歪みSiCMOS構造の製造方法
US7439542B2 (en) Hybrid orientation CMOS with partial insulation process
US7691482B2 (en) Structure for planar SOI substrate with multiple orientations
JP2008536335A (ja) 適応ウェル・バイアシング、並びにパワー及び性能強化のためのハイブリッド結晶配向cmos構造体
US7393738B1 (en) Subground rule STI fill for hot structure
JP2005136410A (ja) シリコン・シリコン直接ウェハ・ボンディングを用いた、相異なる結晶方位の混成基板(hybridsubstrate)上のCMOS

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070523

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110419

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110609

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110609

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20110609

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110610

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110909

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110927

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120120

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20120120

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120213

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150224

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees