JP4006419B2 - ハイブリッド・プレーナおよびFinFETCMOSデバイス - Google Patents

ハイブリッド・プレーナおよびFinFETCMOSデバイス Download PDF

Info

Publication number
JP4006419B2
JP4006419B2 JP2004183756A JP2004183756A JP4006419B2 JP 4006419 B2 JP4006419 B2 JP 4006419B2 JP 2004183756 A JP2004183756 A JP 2004183756A JP 2004183756 A JP2004183756 A JP 2004183756A JP 4006419 B2 JP4006419 B2 JP 4006419B2
Authority
JP
Japan
Prior art keywords
device region
active device
fet
finfet
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004183756A
Other languages
English (en)
Other versions
JP2005019996A (ja
Inventor
ブルース・ビー・ドリス
ダイアン・シー・ボイド
メイケイ・イオン
トーマス・エス・カナルスキー
ヤクブ・ティー・ケジールスキ
ミン・ヤン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005019996A publication Critical patent/JP2005019996A/ja
Application granted granted Critical
Publication of JP4006419B2 publication Critical patent/JP4006419B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Description

本発明は、集積半導体デバイスに関し、特にプレーナ単一ゲート相補型金属酸化膜半導体(CMOS)デバイスおよび二重ゲート・デバイス、すなわち、同じ半導体基板上に作られるFinFETを含む集積半導体回路に関する。ある場合には、プレーナ単一ゲートCMOSデバイスは、薄いシリコン・オン・インシュレータ(SOI)層上に形成されたnFETであり、FinFETは、(110)の方向を向いている表面オリエンテーションを有する垂直チャネルを有するpFinFET構造である。別の場合には、プレーナ単一ゲートCMOSデバイスは、(110)の表面オリエンテーションを有する薄いSOI層上に形成されたpFETであり、FinFETは、(100)の表面オリエンテーションを有する垂直チャネルを有するnFinFET構造である。本発明は、また、本発明の集積半導体回路を製造する方法も提供する。
現在の半導体技術においては、nFETおよびpFETのような相補型金属酸化膜半導体(CMOS)デバイスは、通常、半導体ウェハ上に1つの表面オリエンテーションの方向に形成される。より詳細に説明すると、大部分の半導体デバイスは、(100)の表面オリエンテーションを有するようにシリコン基板上に形成される。
電子が(100)のシリコン表面オリエンテーションに対して高い移動度を有することは周知であるが、正孔が(110)の表面オリエンテーションに対して高い移動度を有することも周知である。すなわち、(100)のシリコン上の正孔移動度の値は、この結晶学的オリエンテーションに対する対応する電子正孔移動度よりも約x2〜x4だけ低い。この違いを補正するために、pFETは、通常、nFETのプルダウン電流に対してプルアップ電流のバランスをとり、均一の回路切替えを行うためにより広い幅を有するように設計される。より広い幅を有するnFETは望ましいものでない。何故なら、このような幅がチップ・エリアの極めて大きな部分を占めるからである。
一方、(110)のシリコン上の正孔移動度は、(100)のシリコン上の正孔移動度よりもx2だけ高い。それ故、(110)の表面上に形成されたpFETは、(100)の表面上に形成されたpFETよりも極めて高い駆動電流を示す。都合の悪いことに、(110)のシリコン表面上の電子の移動度は、(100)のシリコン表面と比較すると極めて低くなる。
従来のpFETおよびnFETは、二重ゲート・デバイスと比較すると、非常に短いチャネルの長さに対して、通常、低い副しきい値電圧特性と小さい駆動電流とを有するプレーナ単一ゲート・デバイスである。従来のプレーナ・デバイスと比較した場合、二重ゲート構造はその副しきい値特性および駆動電流が改善されている。特に重要な二重ゲート・デバイスの1つのタイプは、FinFETである。FinFETは、高いが薄い垂直チャネル領域を含む二重ゲート・デバイスである。
垂直チャネル構造により、(100)の表面オリエンテーションを有するウェハは、(110)のチャネル表面オリエンテーションを有するFinFETを形成するために使用される。この場合、pFinFETは、(100)の表面オリエンテーションを有するウェハ上に形成された従来のCMOSデバイスと比較すると高い移動度を有する。しかし、(100)の表面オリエンテーション上に形成されたnFinFETは、(100)の表面チャネル・オリエンテーション上の従来のnFETと比較すると移動度は低くなる。
半導体ウェハ上に異なるタイプのプレーナ単一ゲート・デバイスまたは異なるタイプの二重ゲート・デバイスを形成することは周知であるが、デバイスが各デバイスの性能を強化する表面オリエンテーションを有するように形成されるように、同じウェハ上にプレーナ・デバイスおよびFinFETデバイスの両方を集積する技術の開発が待望されている。より詳細に説明すると、プレーナCMOSデバイスとして少なくとも1つのnFETを、また他のデバイスとして少なくとも1つのpFinFETを含む集積半導体回路を提供する技術の開発が待望されている。pFinFETは、構造が(110)の方向を向いている表面チャネルを有するように形成しなければならない。これとは別に、nFinFETが(100)の表面オリエンテーションを有し、プレーナpFETデバイスが(110)の表面オリエンテーションを有するように、(110)の表面オリエンテーションを有するウェハ上に形成されたnFinFETからなる回路を提供する技術の開発が待望されている。
本発明の1つの目的は、同じ半導体基板上に少なくとも1つのFinFETデバイスおよび少なくとも1つのプレーナ単一ゲートFETデバイスを含む集積半導体回路を提供することである。
本発明のもう1つの目的は、各デバイスがデバイスの性能を強化する方向を向くように、同じ半導体基板上に形成される少なくとも1つのFinFETデバイスおよび少なくとも1つのプレーナ単一ゲートFETデバイスを含む集積半導体回路を提供することである。
本発明のさらにもう1つの目的は、nFETおよびpFinFETを備える集積半導体回路を提供することである。この場合、pFinFET構造は、(110)の表面オリエンテーションを有し、nFETは(100)の表面オリエンテーションを有する。
本発明の他の目的は、pFETおよびnFinFETを備える集積半導体回路を提供することである。この場合、pFET構造は(110)の表面オリエンテーションを有する。
本発明のもう1つの目的は、ハイブリッド・プレーナおよびFinFET CMOSデバイスを提供するための簡単で容易な方法を提供することである。
上記および他の目的および利点は、FinFET能動デバイス領域の幅をトリミングする際にレジスト画像形成およびパターン化されたハードマスクを使用し、その後で、FETのデバイス・エリアの厚さを薄くする際にレジスト画像形成およびエッチングを使用する方法により本発明により達成される。トリミングされた能動FinFETデバイス領域は、薄くなったFETデバイス領域に垂直になるように形成される。さらに、FinFETデバイス領域は、(110)の方向を向くように形成され、一方、薄くなったFETデバイス領域は、(100)の方向を向いている。別の方法としては、基板として(110)の表面オリエンテーションのウェハを使用し、FinFETが(100)の表面オリエンテーションを有し、一方、プレーナ単一ゲート・デバイスが(110)の表面オリエンテーションを有するようにすることもできる。
広義には、本発明の方法は、下記のステップ、すなわち、
埋込み絶縁層上に位置する少なくとも1つの頂部半導体層を備えるシリコン・オン・インシュレータ構造を提供するステップであって、前記頂部半導体層が、前記構造のFinFET領域内に位置する少なくとも1つのパターン化されたハードマスクと前記構造のFET領域内に位置する少なくとも1つのパターン化されたハードマスクを有している、ステップと、
FET領域を保護し、前記FinFET領域内の少なくとも1つのパターン化されたハードマスクをトリミングするステップと、
前記埋込み絶縁体層上の前記ハードマスク・ストッピングで保護されていない頂部半導体の露出している部分をエッチングするステップであって、前記エッチングがFinFET能動デバイス領域およびFET能動デバイス領域を形成し、前記FinFET能動デバイス領域がFET能動デバイス領域に垂直となっている、ステップと、
FinFET能動デバイス領域を保護し、及びFET能動デバイス領域を薄くすることで、FETデバイス領域がFinFET能動デバイス領域の高さより低くなるようにするステップと、
FinFET能動デバイス領域の各露出垂直面上にゲート誘電体を形成し、一方、FETデバイス領域の露出水平面上にゲート誘電体を形成するステップと、
ゲート誘電体の各露出面上にパターン化されたゲート電極を形成するステップと、
を含む。
本発明は、また、シリコン・オン・インシュレータ基板の埋込み絶縁層の上に位置する少なくとも1つのpFinFETと少なくとも1つのnFETを備える集積半導体回路に関する。前記少なくとも1つのnFETは、シリコン・オン・インシュレータ基板の頂部半導体層の表面上に位置していて、前記少なくとも1つのpFinFETは、少なくとも1つのnFETに垂直な垂直チャネルを有する。別の場合には、集積半導体回路は、シリコン・オン・インシュレータ基板の埋込み絶縁層の上に位置する少なくとも1つのnFinFET、および少なくとも1つのpFETを有する。前記少なくとも1つのpFETは、シリコン・オン・インシュレータ基板の頂部半導体層の表面上に位置していて、前記少なくとも1つのnFinFETは、少なくとも1つのpFETに垂直な垂直チャネルを有する。
広義には、本発明の集積半導体回路は、シリコン・オン・インシュレータ基板の埋込み絶縁層の上に位置する少なくとも1つのFinFETおよび少なくとも1つのプレーナ単一ゲートFETを備える。前記少なくとも1つのプレーナ単一ゲートFETは、シリコン・オン・インシュレータ基板のパターン化された頂部半導体層を含む能動デバイス領域を備えていて、前記少なくとも1つのFinFETは、少なくとも1つのプレーナ単一ゲートFETに垂直な垂直チャネルを有する。
本願に添付した図面を参照しながら、ハイブリッド・プレーナおよびFinFET CMOSデバイスおよびこれらデバイスを形成するための方法を提供する本発明について詳細に説明する。添付の図面においては、類似および対応する素子には類似の参照符号がつけてある。下記の説明および図面においては、1つのnFETおよび1つのpFinFETを示してあることに留意されたい。図面には1つのnFETおよび1つのpFinFETしか示していないが、本発明は、SOI基板上に複数の各タイプのデバイスを形成するためにも同様に適用できる。さらに、本発明の構造は、垂直デバイスがnFinFETとなり、プレーナ・デバイスがpFETとなるように形成することもできる。
図1は、本発明で使用することができる処理前のSOI基板10である。SOI基板10は、底部半導体層12と頂部半導体層16との間に位置する埋込み絶縁領域14を含む。ある場合には、業界では、頂部半導体層16は、SOI基板のSOI層と呼ばれる。このSOI層とは、通常、能動デバイスが形成されるSOI基板の層である。
本明細書においては、底部半導体層12および頂部半導体層16を説明するために使用する「半導体」という用語は、例えば、Si、Ge、SiGe、SiC、SiGeC、InAs、GaAs、InPまたは他の類似のIII/V化合物半導体を含む任意の半導体材料を指す。これらの半導体の多重層もこれらの中に含まれる。好ましい実施形態の場合には、両方の半導体層、すなわち、SOI基板10の底部半導体層12および頂部半導体層16は両方ともシリコンからなる。
埋込み絶縁層14としては、単結晶または非結晶酸化物または窒化物を使用することができる。本発明の好ましい実施形態の場合には、埋込み絶縁層14は酸化物である。埋込み絶縁層14は図に示すように連続していてもよいし、または連続していなくてもよい。連続していない埋込み絶縁領域が存在する場合には、当該絶縁領域は半導体材料で囲まれる孤立したアイランド(島)として存在する。
SOI基板10は、標準(100)のオリエンテーションのウェハのものであってもよいし、(110)のオリエンテーションのウェハであってもよいし、または任意の他の表面オリエンテーションのものであってもよい。SOI基板の好適なオリエンテーションとしては、(100)の表面オリエンテーションのものがある。
SOI基板10は、例えば、SIMOX(酸素のイオン注入による分離)またはボンディングを含む標準プロセスにより形成することができる。ボンディングを使用する場合には、ボンディング・プロセスの後で、オプションとしての厚さを薄くするステップを実行することができる。オプションとしての厚さを薄くするステップにより、頂部半導体層の厚さが薄くなり、より望ましい厚さを有する層になる。
SOI基板10の頂部半導体層16の厚さは約100〜約1000Åであるが、約500〜約700Åであることがより一層好ましい。SOI基板10の埋込み絶縁層14の厚さは約10〜約2000Åであり、約1000〜約1500Åであることがより一層好ましい。本発明の場合、底部半導体層12の厚さは全く重要ではない。
次に、酸化膜層18が、例えば、図2に示す構造を供給する頂部半導体層16の上の露出表面上に形成される。より詳細には、酸化膜層18は、SOI基板10の頂部半導体層16を酸化することにより形成される。酸化プロセスは、湿式または乾式熱酸化プロセスにより実行することができる。本発明のこの時点で使用する酸化プロセスは、通常、約1000℃またはそれ以上の温度で行われる。別の方法としては、酸化膜層18を、例えば、化学蒸着(CVD)、プラズマ援用CVD、または化学溶液堆積を含む堆積プロセスにより形成することもできる。例えば、図2は、酸化膜層18を含む結果として得られる構造を示す。
本発明のこの時点で形成された酸化膜層18の厚さは、この層を形成するために使用する条件により異なる。しかし、通常、酸化膜層18の厚さは約200〜約800Åであり、約400〜約600Åであることがより一層好ましい。本発明の場合には、酸化膜層18は、能動領域を形成するため、ならびに、ゲート・スタック・エッチング中およびスペーサ・エッチング中にもFinFETを保護するための保護層を形成するために、後のシリコン・エッチング中にハードマスクとして使用される。
SOI基板10上に酸化膜層18を形成した後で、シリコンを含むキャップ層20が酸化膜層18の上部の露出表面上に堆積される。例えば、図3は、キャップ層20を堆積した後に形成された結果として得られる構造を示す。スパッタ・エッチング・プロセスのような堆積プロセスにより形成されるキャップ層20は、FinFETトリミング・プロセス中に酸化膜層18を保護するために使用される。キャップ層20は、通常、酸化膜層18よりも薄い。より詳細に説明すると、キャップ層20の厚さは約10〜約500Åであり、約50〜約100Åであることがより一層好ましい。
本発明のこの時点で、pFinFET能動デバイス領域およびnFET能動デバイス領域のためのレジスト画像を、別の方法としては、nFinFET能動デバイス領域およびpFET能動デバイス領域のためのレジスト画像を、パターン化するためにリソグラフィが使用される。より詳細に説明すると、パターン化されたレジスト画像22(FinFET能動デバイス領域を形成する)、およびパターン化されたレジスト画像24(FET能動デバイス領域を形成する)が、キャップ層20の予め定めた部分上に形成される。パターン化されたレジスト画像22および24は、最初に、キャップ層20の全表面上にフォトレジストを塗布し、次に、フォトレジストを所望する照射パターンに露出し、その後で従来のレジスト現像装置により露出フォトレジストにパターンを現像することにより形成される。例えば、図4は、パターン化されたフォトレジスト画像22および24を含む構造を示す。
次に、キャップ層20とレジスト画像22および24により保護されていない酸化膜層18とが、それぞれ、FinFET能動領域およびFET能動領域用のハードマスク・パターンを形成するために、レジスト画像に選択的にエッチングされる。本発明のこのステップで使用するエッチングは、指向性反応イオンエッチング・プロセス、または、頂部半導体層16の上面上のキャップ層20と酸化膜層18ストッピングとを除去することができる類似の乾式エッチング・プロセスである。例えば、図5は、本発明のこのステップが実行された後で形成される結果として得られる構造を示す。
エッチング・ステップの後で、ハードマスク・パターン26およびハードマスク・パターン28を露出するために、湿式溶媒ストリッピング・プロセスまたは当業者であれば周知の標準アッシング・プロセスにより、レジスト画像22および24が除去される。本発明の場合には、ハードマスク・パターン26は、FinFET用の能動領域を形成するために使用され、一方、ハードマスク・パターン28は、プレーナ単一ゲートFET用の能動領域を形成する際に使用される。次に、図6の構造を提供するためにプレーナ単一ゲートFETが形成されることになる領域内に、レジスト・マスク30が形成される。
図6に示すように、レジスト・マスク30は、ハードマスク・パターン28およびハードマスク・パターン28に端接している頂部半導体層16の一部を覆う。レジスト・マスク30は、図5の構造にフォトレジストを塗布し、その後でリソグラフィにより塗布したフォトレジストをパターン化することにより形成される。
次に、ハードマスク・パターン26、より詳細に説明すると、FinFET(pまたはn)用のハードマスク・パターンの酸化膜層18が、化学的酸化物除去プロセスにより選択的にトリミングされる。化学的酸化物除去(COR)処理ステップは、約30ミリ・トルまたはそれ以下、好適には、約1ミリ・トル〜約10ミリ・トルの圧力で、また約25℃または室温より若干高い温度で、HFとアンモニアのガス状混合物に構造を露出するステップを含む。HFガスとアンモニア・ガスの比率は約1:10から約10:1であるが、約2:1であることがより一層好ましい。
別の方法の場合には、FinFET用のハードマスク・パターン26が、酸化物を選択的に除去するフッ化水素酸のような化学エッチング剤を使用する湿式エッチング・プロセスによりトリミングされる。
例えば、図7は、トリミング・ステップを実行した後に形成された結果として得られる構造を示す。この図に示すように、ハードマスク・パターン26の酸化膜層18の厚さは、上に位置するパターン化されたキャップ層20およびハードマスク・パターン28の酸化膜層より薄い。トリミング・ステップの後で、FETデバイス領域をブロックしているレジスト・マスク30が、従来のストリッピング・プロセスにより除去される。
本発明のこの時点で、反応性イオン・エッチング、プラズマ・エッチング、イオン・ビーム・エッチング、またはレーザ研磨、といった乾式エッチング・プロセスが、FinFETおよびFET用の能動領域をエッチングするために使用される。より詳細に説明すると、キャップ層20とトリミングしたハードマスク・パターン26またはハードマスク・パターン28により保護されていない頂部半導体層16とを除去するために乾式エッチング・プロセスが使用される。それ故、本発明のこの時点で使用されるエッチング・ステップは、埋込み絶縁層14上の構造ストッピングからすべての保護されていないシリコン(または半導体材料)を除去する。図8は結果として得られる構造を示す。
図8の場合には、参照符号32は、FinFET用の能動デバイス領域を示し、一方、参照符号34は、プレーナ単一ゲートFET(pまたはn)用の能動デバイス領域を示す。FinFET能動デバイス領域の一部は、FinFET(pまたはn)のチャネル領域としての働きをする。ある実施形態の場合には、pFinFETのチャネル領域は、(110)の表面オリエンテーションを有する。別の方法の場合で、処理前のウェハが(110)の表面オリエンテーションを有している場合には、FinFETは、(100)の表面オリエンテーションを有するnFinFETであり、一方、プレーナ単一ゲート・デバイスは、(110)の表面オリエンテーションを有するpFETである。
もう1つのレジスト・マスク36が、標準リソグラフィ・プロセスによりFinFET領域上にパターン化される。次に、FET能動デバイス領域34上のパターン化されたハードマスク28の酸化膜層18を選択的に除去するために、エッチング・プロセスが使用される。FET能動デバイス領域34から、パターン化されたハードマスク28の酸化膜層18を除去するために使用されるエッチング・プロセスには、湿式化学エッチング・プロセスまたは乾式エッチング・プロセスを含めることができる。例えば、レジスト・マスク36形成後の、また、FETデバイス領域34からパターン化された酸化膜層18のエッチング後の、結果として得られる構造が図9に示される。
レジスト・マスク36が除去されると、FETデバイス領域34を、SiOに対して非常に選択的なエッチング・プロセスにより薄くすることができる。別の方法としては、厚さを薄くするプロセス中、レジスト・マスクを正しい位置に置いたままにしておくことができる。図10は、薄くなったFET能動デバイス領域34を示す。FETデバイス領域34を薄くした後で、レジスト・マスク36が、従来のレジスト・ストリッピング・プロセスにより構造から除去される。本発明のこの時点で、FinFET能動デバイス領域32の高さhが、FET能動デバイス領域34の高さhより高いものであることに留意されたい。本発明のこの時点での構造のもう1つの特徴は、FinFET能動デバイス領域が、FET能動デバイス領域に垂直であることである。能動デバイス領域の構成により、処理前のウェハが(100)の表面オリエンテーションを有している場合には、FinFETは(110)の表面オリエンテーションを有する。処理前のウェハが(110)の表面オリエンテーションを有している場合には、FinFETは、(100)の表面オリエンテーションを有する。
FinFETおよびFETの能動領域からすべての損傷した半導体層を除去する目的で、犠牲酸化膜層(図示せず)を形成するために酸化プロセスが実行される。本発明のこの時点で形成された犠牲酸化膜層の厚さは、酸化プロセス自身の条件により変化する。しかし、通常、犠牲酸化膜層の厚さは約30〜約100Åである。望む場合には、本発明のこの段階で、ブロック・マスク(図示せず)は、FET領域上でパターン化することができ、イオン注入プロセスは、デバイスのしきい値電圧を設定する目的でFinFETチャネル領域を注入するために実行することができる。FETデバイスのしきい値電圧を設定するために、類似の手順を実行することができる。次に、通常、従来のアニール(焼きなまし)プロセスが、ドーピング剤を作動させるために実行される。
次に、犠牲酸化膜層が、湿式または乾式エッチング・プロセスにより当該構造から除去される。次に、ゲート誘電体40が、FinFET能動デバイス領域32の露出垂直面上、およびFET能動デバイス領域34の露出水平面上に形成される。ゲート誘電体40は、酸化物、窒化物、オキシニトライド、またはこれらの任意の組合わせを含むことができる。ゲート誘電体40は、SiO、Al、酸化ペロブスカイト、または他の類似の酸化物、といった酸化物であることが好ましい。ゲート誘電体40は、熱酸化、窒化またはオキシ窒化プロセスにより形成される。ゲート誘電体40の厚さは約0.5〜約10nmであるが、約0.8〜約1.0nmであることがより一層好ましい。
図11は、ゲート誘電体40を含む構造を示す。FinFET能動デバイス領域32は、露出垂直面上に形成された2つのゲート誘電体を有し、一方、FET能動デバイス34は、その露出水平面上に形成された1つのゲート誘電体を有することに留意されたい。
次に、ゲート導体材料42が、図11の全構造上に形成され、例えば、図12に示す構造ができる。ゲート導体材料42は、例えば、化学蒸着(CVD)、プラズマ援用CVD、蒸着、スパッタリング、化学溶液堆積、または原子層堆積、といった従来の堆積プロセスにより形成される。ゲート導体材料42は、ポリシリコンか、タングステンのような元素金属か、1つまたはそれ以上の元素金属を含む合金か、ケイ素化合物か、または、例えば、ポリシリコン/タングステンもしくはケイ素化合物といったこれらのスタック組合わせ、を含むことができる。
次に、ゲート導体材料の上にレジスト画像をパターン化するためにリソグラフィ・プロセスが使用される。次に、ゲート導体材料をFinFETおよびFET用のゲート電極にパターン化するために、エッチング・プロセスが使用される。ゲートに垂直な断面図である図13の場合には、参照符号44はFinFET用のパターン化されたゲート電極を示し、一方、参照符号46はFET用のパターン化されたゲート電極を示す。
ある実施形態の場合には、ゲート・スタック・エッチングを行う前に、ゲート電極領域上でハードマスクをパターン化すると有利な場合がある。FinFETゲート用のハードマスクは、リソグラフィ・マスクによりブロックすることができ、FETゲート・スタックをリソグラフィ・マスクに対して選択的にエッチングすることができる。このプロセスは、FinFET用のゲート・スタックをエッチングするために反復して実行することができる。
本発明のこの時点で、図示していないレジスト・マスクがFET領域上にパターン化され、望む場合には、ハロ・インプラントならびにFinFET領域用の拡張インプラントを注入するために、低エネルギー・イオン注入プロセス(20KeV程度またはそれ以下)が使用される。オプションとしてのFinFETハロ・インプラント用の典型的なインプラント種としては、ヒ素を使用することができる。FinFETがpタイプのデバイスである場合には、FinFET拡張用の典型的なインプラントはホウ素またはBFである。レジスト・マスクは溶媒ストリッピング・プロセスまたは酸素アッシュ・プロセスにより除去される。望む場合には、もう1つのレジスト・マスク(図示せず)が、次にFinFET領域およびFETハロ上でパターン化され、オプションとしてのハロ・インプラント用にFET拡張がホウ素またはインジウムを用いて注入され、プレーナ単一ゲートFETがnFETである場合には、FET拡張用にヒ素が注入される。レジスト・マスクは、従来のレジスト除去プロセスにより除去される。
酸化物、窒化物、オキシニトライド、またはこれらの任意の組合わせ、といった誘電体が堆積され、また、ソース/ドレーン・スペーサを形成するために指向性エッチング・プロセスが使用される。ある実施形態の場合には、最初に約35〜約100Åの厚さの薄い酸化物ライナーを堆積し、その後で、約100〜約700Åの厚さのSiN層を堆積すると有利な場合がある。独立スペーサ・エッチング・プロセスは、FETを覆うためにレジスト・マスクを用いることにより、実行することができる。一方、FinFETスペーサ48が形成され、FETスペーサ50が形成される一方で、FinFETをもう1つのレジスト・マスクにより保護することができる。例えば、図14は、ソース/ドレーン・スペーサ48および50を含む結果として得られる構造を示す。
次に、ソース/ドレーン・インプラント(図示せず)が、従来のブロック・マスクおよびイオン注入によりFinFETおよびFET用に形成される。寄生抵抗を低減するために、選択的エピタキシャルSiの層を、注入の前にソース/ドレーン領域上に成長させることができる。次に、従来の急速熱アニール・プロセスを、接合部を作動させるために使用することができる。ソース/ドレーン・ジャンクションの作動の後で、低抵抗のソース/ドレーン接触領域を生成するためにシリサイド・プロセスを使用することができる。ラインの後部過程を通してプロセスを続けるために、従来の相互接続プロセスを使用することができる。
好ましい実施形態を参照しながら本発明を詳細に図示し、説明してきたが、当業者であれば本発明の趣旨および範囲から逸脱することなしに、形状および詳細を上記のようにまたは他の方法で変更することができることを理解することができるだろう。それ故、本発明は、説明し図示してある正確な形および詳細によって限定されるのではなく、特許請求の範囲内に含まれるものである。
本発明で使用する処理前のSOI基板を示す図面(断面図)である。 図1のSOI基板の上面上に酸化膜層を含む構造を示す図面(断面図)である。 図2の構造の上に位置するキャップ層を含む構造を示す図面(断面図)である。 能動デバイス領域を形成するためのレジスト画像を形成するために、リソグラフィを使用した後の構造を示す図面(断面図)である。 キャップ層および酸化膜層をレジスト画像に対して選択的にエッチングした後の構造を示す図面(断面図)である。 レジスト画像を除去し、FETデバイス領域をブロックするレジスト画像を形成した後の構造を示す図面(断面図)である。 FinFETハードマスクをトリミングした後の構造を示す図面(断面図)である。 ブロッキング・マスクを除去し、FinFETおよびFET能動デバイス領域を形成しているSOI基板の頂部半導体層の露出表面をエッチングした後の構造を示す図面(断面図)である。 FinFET能動デバイス領域をブロックし、FETデバイス領域からハードマスクを除去した後の構造を示す図面(断面図)である。 FET能動デバイス領域を薄くして、FinFET能動デバイス領域の高さよりも低い高さにした後の構造を示す図面(断面図)である。 FinFET能動デバイス領域の垂直な表面上とFET能動デバイス領域の水平面上にゲート誘電体を形成した後の構造を示す図面(断面図)である。 堆積したゲート導体材料を含む構造を示す図面(断面図)である。 パターン化されたゲート電極を含む構造を示す図面(ゲートに垂直な断面図)である。 本発明の最終的な構造を示す図面(ゲートに垂直な断面図)である。
符号の説明
10 SOI基板
12 底部半導体層
14 埋込み絶縁領域
16 頂部半導体層
18 酸化膜層
20 キャップ層
22,24 パターン化されたフォトレジスト画像
26,28 ハードマスク・パターン
30,36 レジスト・マスク
32 FinFET能動デバイス領域
34 FET能動デバイス領域
40 ゲート誘電体
42 ゲート導体材料
44,46 ゲート電極
48 FinFETスペーサ
50 FETスペーサ

Claims (14)

  1. 集積半導体回路を形成するための方法であって、
    埋込み絶縁層上に位置する少なくとも1つの頂部半導体層を含むシリコン・オン・インシュレータ構造を提供するステップであって、前記頂部半導体層の上に、前記構造のFinFET領域内に位置する少なくとも1つのパターン化されたハードマスクと前記構造のFET領域内に位置する少なくとも1つのパターン化されたハードマスクとが構成されるようにする、ステップと、
    前記提供するステップの後、前記FET領域を保護し、前記FinFET領域内の前記少なくとも1つのパターン化されたハードマスクをトリミングするステップと、
    前記トリミングするステップの後、前記埋込み絶縁体層上の前記FinFET領域内の前記少なくとも1つのパターン化されたハードマスクおよび前記FET領域内の前記少なくとも1つのパターン化されたハードマスクのいずれにも保護されていない前記頂部半導体の露出部分をエッチングするステップであって、前記エッチングが、FinFET能動デバイス領域およびFET能動デバイス領域を形成し、前記FinFET能動デバイス領域が前記FET能動デバイス領域が拡がる前記埋込み絶縁層の端面に対して垂直方向に沿って形成されるようにする、ステップと、
    前記エッチングするステップの後、前記FinFET能動デバイス領域を保護し、保護した状態で、前記FET能動デバイス領域内のパターン化されたハードマスクを除去した後、前記FinFET能動デバイス領域に対する前記保護を除去し、その後、前記FinFET能動デバイス領域の前記パターン化されたハードマスクをマスクとして前記FET能動デバイス領域を薄くするステップであって、その結果前記FETデバイス領域が、前記FinFET能動デバイス領域の高さより低くなるようにするステップと、
    前記薄くするステップの後、前記FinFET能動デバイス領域の各露出垂直面上にゲート誘電体を形成し、一方で、前記FETデバイス領域の露出水平面上にゲート誘電体を形成するステップと、
    前記ゲート誘電体を形成するステップの後、前記ゲート誘電体の各露出面上に、パターン化されたゲート電極を形成するステップと、
    を有する方法。
  2. 前記パターン化されたゲート電極と端接するスペーサを形成するステップをさらに有する、請求項1に記載の方法。
  3. 前記パターン化されたハードマスクが、
    前記頂部半導体層の表面上に酸化膜層を形成するステップと、
    前記酸化膜層上にキャップ層を形成するステップと、
    前記キャップ層の露出表面にフォトレジストを塗布するステップと、
    前記フォトレジストを照射パターンに露出するステップと、
    前記パターンをフォトレジストに現像するステップと、
    前記パターンを前記フォトレジストから前記キャップ層および前記酸化膜層に移送するステップと、
    により形成される、請求項1に記載の方法。
  4. 前記FET領域を保護するステップが、前記FET領域にレジスト・マスクを適用するステップを含む、請求項1に記載の方法。
  5. 前記トリミング・ステップが、化学的酸化物除去プロセスまたは湿式エッチング・プロセスを含む、請求項1に記載の方法。
  6. 前記FinFET能動デバイス領域が、(110)の表面オリエンテーションを有し、前記FET能動デバイス領域が(100)の表面オリエンテーションを有する、請求項1に記載の方法。
  7. 前記FinFET能動デバイス領域を保護する前記ステップが、前記FinFET能動デバイス領域にレジスト・マスクを適用するステップを含む、請求項1に記載の方法。
  8. 前記FinFET能動デバイス領域が(100)の表面オリエンテーションを有し、前記FETデバイス領域が(110)の表面オリエンテーションを有する、請求項1に記載の方法。
  9. 前記酸化膜層はSiO2による層を含み、 前記厚さを薄くするステップが、SiO2に対して高度に選択的なエッチング・プロセスを含む、請求項に記載の方法。
  10. 前記ゲート誘電体が、熱酸化プロセスにより形成された酸化物である、請求項1に記載の方法。
  11. 前記パターン化されたゲート電極が、
    ゲート導体材料を堆積するステップと、
    前記ゲート導体材料の頂部上にパターン化されたレジストを形成するするステップと、
    前記パターン化されたレジストにより保護されていない前記ゲート導体の露出部分をエッチングするステップと、
    により形成される、請求項1に記載の方法。
  12. 前記厚さを薄くするステップの代わりに、前記FinFET能動デバイス領域を保護し、保護した状態で、前記FET能動デバイス領域内のパターン化されたハードマスクを除去した後、前記FinFET能動デバイス領域に対する前記保護を除去せずにこれをマスクとして前記FET能動デバイス領域を薄くし、その後で前記保護を除去するステップを有する、請求項1に記載の方法。
  13. 前記頂部半導体層がSiからなる、請求項1に記載の方法。
  14. 前記埋込み絶縁層が酸化物からなる、請求項1に記載の方法。


JP2004183756A 2003-06-26 2004-06-22 ハイブリッド・プレーナおよびFinFETCMOSデバイス Expired - Fee Related JP4006419B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/604,097 US6911383B2 (en) 2003-06-26 2003-06-26 Hybrid planar and finFET CMOS devices

Publications (2)

Publication Number Publication Date
JP2005019996A JP2005019996A (ja) 2005-01-20
JP4006419B2 true JP4006419B2 (ja) 2007-11-14

Family

ID=33539887

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004183756A Expired - Fee Related JP4006419B2 (ja) 2003-06-26 2004-06-22 ハイブリッド・プレーナおよびFinFETCMOSデバイス

Country Status (4)

Country Link
US (2) US6911383B2 (ja)
JP (1) JP4006419B2 (ja)
CN (1) CN1292473C (ja)
TW (1) TWI283018B (ja)

Families Citing this family (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10220923B4 (de) * 2002-05-10 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung eines nicht-flüchtigen Flash-Halbleiterspeichers
US7449719B2 (en) * 2003-06-04 2008-11-11 Tadahiro Ohmi Semiconductor device and method of manufacturing the same
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US7029958B2 (en) * 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US7091566B2 (en) * 2003-11-20 2006-08-15 International Business Machines Corp. Dual gate FinFet
US7049662B2 (en) * 2003-11-26 2006-05-23 International Business Machines Corporation Structure and method to fabricate FinFET devices
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7180134B2 (en) * 2004-01-30 2007-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structures for planar and multiple-gate transistors formed on SOI
JP4852694B2 (ja) * 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 半導体集積回路およびその製造方法
US7087471B2 (en) * 2004-03-15 2006-08-08 International Business Machines Corporation Locally thinned fins
JP4565097B2 (ja) * 2004-04-08 2010-10-20 独立行政法人産業技術総合研究所 二重ゲートmosトランジスタおよび二重ゲートcmosトランジスタ、その製造方法
DE102005022306B4 (de) * 2004-05-17 2009-12-31 Samsung Electronics Co., Ltd., Suwon Verfahren zum Herstellen einer Halbleitervorrichtung mit einem Fin-Feldeffekttransistor (FinFET)
KR100618827B1 (ko) * 2004-05-17 2006-09-08 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
US7291886B2 (en) * 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US6960509B1 (en) * 2004-06-30 2005-11-01 Freescale Semiconductor, Inc. Method of fabricating three dimensional gate structure using oxygen diffusion
KR100612419B1 (ko) * 2004-10-19 2006-08-16 삼성전자주식회사 핀 트랜지스터 및 평판 트랜지스터를 갖는 반도체 소자 및그 형성 방법
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20060177977A1 (en) * 2005-02-08 2006-08-10 The Hong Kong University Of Science And Technology Method for patterning fins and gates in a FinFET device using trimmed hard-mask capped with imaging layer
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
KR100610421B1 (ko) * 2005-03-25 2006-08-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7521993B1 (en) * 2005-05-13 2009-04-21 Sun Microsystems, Inc. Substrate stress signal amplifier
JP4648096B2 (ja) * 2005-06-03 2011-03-09 株式会社東芝 半導体装置の製造方法
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
JP5128064B2 (ja) * 2005-06-17 2013-01-23 国立大学法人東北大学 半導体装置
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
KR100725951B1 (ko) 2005-08-23 2007-06-11 경북대학교 산학협력단 웰 구조를 갖는 cm os소자
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7737532B2 (en) * 2005-09-06 2010-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid Schottky source-drain CMOS for high mobility and low barrier
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7615806B2 (en) * 2005-10-31 2009-11-10 Freescale Semiconductor, Inc. Method for forming a semiconductor structure and structure thereof
US7575975B2 (en) * 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
US7547947B2 (en) * 2005-11-15 2009-06-16 International Business Machines Corporation SRAM cell
US7348633B2 (en) * 2005-11-18 2008-03-25 International Business Machines Corporation Hybrid crystallographic surface orientation substrate having one or more SOI regions and/or bulk semiconductor regions
KR100675290B1 (ko) * 2005-11-24 2007-01-29 삼성전자주식회사 다중채널 전계효과트랜지스터 및 핀 전계효과트랜지스터를갖는 반도체소자의 제조방법 및 관련된 소자
WO2007063963A1 (ja) * 2005-12-02 2007-06-07 Tohoku University 半導体装置
US7512017B2 (en) * 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
JP4490927B2 (ja) * 2006-01-24 2010-06-30 株式会社東芝 半導体装置
JP4635897B2 (ja) * 2006-02-15 2011-02-23 株式会社東芝 半導体装置及びその製造方法
US7473946B2 (en) * 2006-02-22 2009-01-06 International Business Machines Corporation CMOS structure and method including multiple crystallographic planes
US7456055B2 (en) 2006-03-15 2008-11-25 Freescale Semiconductor, Inc. Process for forming an electronic device including semiconductor fins
US7419866B2 (en) * 2006-03-15 2008-09-02 Freescale Semiconductor, Inc. Process of forming an electronic device including a semiconductor island over an insulating layer
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US7288483B1 (en) * 2006-03-28 2007-10-30 Tokyo Electron Limited Method and system for patterning a dielectric film
KR100744137B1 (ko) 2006-04-06 2007-08-01 삼성전자주식회사 반도체 소자 및 그 제조 방법
EP2062297A1 (en) * 2006-04-07 2009-05-27 Koninklijke Philips Electronics N.V. Co-integration of multi-gate fet with other fet devices in cmos technology
JP2007287728A (ja) * 2006-04-12 2007-11-01 Elpida Memory Inc 半導体装置
US7407890B2 (en) * 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
JP5003013B2 (ja) * 2006-04-25 2012-08-15 株式会社日立製作所 シリコン発光ダイオード、シリコン光トランジスタ、シリコンレーザー及びそれらの製造方法。
US7436006B2 (en) * 2006-05-19 2008-10-14 International Business Machines Corporation Hybrid strained orientated substrates and devices
US8362567B2 (en) * 2006-07-13 2013-01-29 National University Corporation Tohoku University Semiconductor device
EP2043159A4 (en) * 2006-07-13 2011-05-18 Univ Tohoku Nat Univ Corp TRANSISTOR AND SEMICONDUCTOR DEVICE
KR100801315B1 (ko) 2006-09-29 2008-02-05 주식회사 하이닉스반도체 돌기형트랜지스터가 구비된 반도체소자의 제조 방법
US7592675B2 (en) * 2006-10-02 2009-09-22 Taiwan Semiconductor Manufacutring Company, Ltd. Partial FinFET memory cell
JP5137378B2 (ja) * 2006-10-20 2013-02-06 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP2008124423A (ja) * 2006-10-20 2008-05-29 Oki Electric Ind Co Ltd 半導体装置の製造方法及び半導体装置
US7649243B2 (en) * 2006-11-06 2010-01-19 International Business Machines Corporation Semiconductor structures incorporating multiple crystallographic planes and methods for fabrication thereof
US7544994B2 (en) * 2006-11-06 2009-06-09 International Business Machines Corporation Semiconductor structure with multiple fins having different channel region heights and method of forming the semiconductor structure
US20080128797A1 (en) * 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US7772656B2 (en) * 2006-12-14 2010-08-10 International Business Machines Corporation Combination planar FET and FinFET device
US20080157162A1 (en) * 2006-12-27 2008-07-03 Doyle Brian S Method of combining floating body cell and logic transistors
US8017463B2 (en) * 2006-12-29 2011-09-13 Intel Corporation Expitaxial fabrication of fins for FinFET devices
KR100875655B1 (ko) * 2007-01-04 2008-12-26 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7781278B2 (en) * 2007-01-18 2010-08-24 International Business Machines Corporation CMOS devices having channel regions with a V-shaped trench and hybrid channel orientations, and method for forming the same
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
JP4459257B2 (ja) * 2007-06-27 2010-04-28 株式会社東芝 半導体装置
US20090057846A1 (en) * 2007-08-30 2009-03-05 Doyle Brian S Method to fabricate adjacent silicon fins of differing heights
US7732874B2 (en) * 2007-08-30 2010-06-08 International Business Machines Corporation FinFET structure using differing gate dielectric materials and gate electrode materials
KR20090035775A (ko) * 2007-10-08 2009-04-13 삼성전자주식회사 반도체 소자 및 이를 형성하는 방법
US7838913B2 (en) * 2008-05-28 2010-11-23 International Business Machines Corporation Hybrid FET incorporating a finFET and a planar FET
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8241970B2 (en) 2008-08-25 2012-08-14 International Business Machines Corporation CMOS with channel P-FinFET and channel N-FinFET having different crystalline orientations and parallel fins
JP5003699B2 (ja) * 2009-03-10 2012-08-15 株式会社日立製作所 シリコン発光ダイオード、シリコン光トランジスタ、シリコンレーザー及びそれらの製造方法。
JP2010258124A (ja) 2009-04-23 2010-11-11 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
US9337100B2 (en) * 2009-06-03 2016-05-10 Qualcomm Incorporated Apparatus and method to fabricate an electronic device
US9029132B2 (en) 2009-08-06 2015-05-12 International Business Machines Corporation Sensor for biomolecules
JP5356970B2 (ja) * 2009-10-01 2013-12-04 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
US8125007B2 (en) * 2009-11-20 2012-02-28 International Business Machines Corporation Integrated circuit including FinFET RF switch angled relative to planar MOSFET and related design structure
US8455334B2 (en) * 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US9068935B2 (en) 2010-04-08 2015-06-30 International Business Machines Corporation Dual FET sensor for sensing biomolecules and charged ions in an electrolyte
CN102129982A (zh) * 2010-12-29 2011-07-20 北京大学深圳研究生院 半导体精细图形及鳍形场效应管的fin体的制作方法
US8236634B1 (en) * 2011-03-17 2012-08-07 International Business Machines Corporation Integration of fin-based devices and ETSOI devices
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
US8569158B2 (en) 2011-03-31 2013-10-29 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
US8710596B2 (en) 2011-05-13 2014-04-29 United Microelectronics Corp. Semiconductor device
US8772860B2 (en) 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US8778744B2 (en) * 2011-06-24 2014-07-15 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor field effect transistor
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8759904B2 (en) * 2011-08-24 2014-06-24 GlobalFoundries, Inc. Electronic device having plural FIN-FETs with different FIN heights and planar FETs on the same substrate
US8691651B2 (en) 2011-08-25 2014-04-08 United Microelectronics Corp. Method of forming non-planar FET
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
CN102969232B (zh) * 2011-09-01 2015-01-14 中国科学院微电子研究所 后栅工艺中假栅的制造方法
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
CN102983073B (zh) * 2011-09-05 2015-12-09 中国科学院微电子研究所 小尺寸鳍形结构的制造方法
US8507350B2 (en) 2011-09-21 2013-08-13 United Microelectronics Corporation Fabricating method of semiconductor elements
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8575708B2 (en) 2011-10-26 2013-11-05 United Microelectronics Corp. Structure of field effect transistor with fin structure
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8278184B1 (en) 2011-11-02 2012-10-02 United Microelectronics Corp. Fabrication method of a non-planar transistor
US9006092B2 (en) 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
US8975672B2 (en) 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof
US8426283B1 (en) 2011-11-10 2013-04-23 United Microelectronics Corp. Method of fabricating a double-gate transistor and a tri-gate transistor on a common substrate
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US9406518B2 (en) * 2011-11-18 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. (110) surface orientation for reducing fermi-level-pinning between high-K dielectric and group III-V compound semiconductor substrate
US8604548B2 (en) 2011-11-23 2013-12-10 United Microelectronics Corp. Semiconductor device having ESD device
US8921206B2 (en) 2011-11-30 2014-12-30 United Microelectronics Corp. Semiconductor process
US8803247B2 (en) 2011-12-15 2014-08-12 United Microelectronics Corporation Fin-type field effect transistor
US8722472B2 (en) 2011-12-16 2014-05-13 International Business Machines Corporation Hybrid CMOS nanowire mesh device and FINFET device
US8709888B2 (en) * 2011-12-16 2014-04-29 International Business Machines Corporation Hybrid CMOS nanowire mesh device and PDSOI device
US8563376B2 (en) * 2011-12-16 2013-10-22 International Business Machines Corporation Hybrid CMOS nanowire mesh device and bulk CMOS device
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8946031B2 (en) 2012-01-18 2015-02-03 United Microelectronics Corp. Method for fabricating MOS device
US8587068B2 (en) * 2012-01-26 2013-11-19 International Business Machines Corporation SRAM with hybrid FinFET and planar transistors
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US8536072B2 (en) 2012-02-07 2013-09-17 United Microelectronics Corp. Semiconductor process
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US8822284B2 (en) 2012-02-09 2014-09-02 United Microelectronics Corp. Method for fabricating FinFETs and semiconductor structure fabricated using the method
US9159809B2 (en) 2012-02-29 2015-10-13 United Microelectronics Corp. Multi-gate transistor device
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9159626B2 (en) 2012-03-13 2015-10-13 United Microelectronics Corp. FinFET and fabricating method thereof
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US8692291B2 (en) 2012-03-27 2014-04-08 International Business Machines Corporation Passive devices for FinFET integrated circuit technologies
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8766319B2 (en) 2012-04-26 2014-07-01 United Microelectronics Corp. Semiconductor device with ultra thin silicide layer
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US8691652B2 (en) 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
US8877623B2 (en) 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US8470714B1 (en) 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
KR20130133559A (ko) * 2012-05-29 2013-12-09 에스케이하이닉스 주식회사 반도체 소자의 형성방법
US8723268B2 (en) * 2012-06-13 2014-05-13 Synopsys, Inc. N-channel and P-channel end-to-end finFET cell architecture with relaxed gate pitch
US9012975B2 (en) 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US8872280B2 (en) 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US9318567B2 (en) 2012-09-05 2016-04-19 United Microelectronics Corp. Fabrication method for semiconductor devices
US8815656B2 (en) 2012-09-19 2014-08-26 International Business Machines Corporation Semiconductor device and method with greater epitaxial growth on 110 crystal plane
US9064931B2 (en) 2012-10-11 2015-06-23 United Microelectronics Corp. Semiconductor structure having contact plug and metal gate transistor and method of making the same
US9159831B2 (en) 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US8927388B2 (en) 2012-11-15 2015-01-06 United Microelectronics Corp. Method of fabricating dielectric layer and shallow trench isolation
US8946063B2 (en) * 2012-11-30 2015-02-03 International Business Machines Corporation Semiconductor device having SSOI substrate with relaxed tensile stress
US8883621B2 (en) 2012-12-27 2014-11-11 United Microelectronics Corp. Semiconductor structure and method of fabricating MOS device
US9536792B2 (en) 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US9196352B2 (en) 2013-02-25 2015-11-24 United Microelectronics Corp. Static random access memory unit cell structure and static random access memory unit cell layout structure
TWI570812B (zh) * 2013-03-06 2017-02-11 聯華電子股份有限公司 形成鰭狀結構的方法
US8841197B1 (en) 2013-03-06 2014-09-23 United Microelectronics Corp. Method for forming fin-shaped structures
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9214395B2 (en) 2013-03-13 2015-12-15 United Microelectronics Corp. Method of manufacturing semiconductor devices
US9093285B2 (en) 2013-03-22 2015-07-28 United Microelectronics Corp. Semiconductor structure and process thereof
US9196500B2 (en) 2013-04-09 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor structures
US9711368B2 (en) 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US8853015B1 (en) 2013-04-16 2014-10-07 United Microelectronics Corp. Method of forming a FinFET structure
US8709901B1 (en) 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
CN104143514B (zh) * 2013-05-09 2017-09-26 中芯国际集成电路制造(上海)有限公司 多栅极场效应晶体管的形成方法
US9000483B2 (en) 2013-05-16 2015-04-07 United Microelectronics Corp. Semiconductor device with fin structure and fabrication method thereof
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US9349812B2 (en) 2013-05-27 2016-05-24 United Microelectronics Corp. Semiconductor device with self-aligned contact and method of manufacturing the same
US8993433B2 (en) 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US9263287B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US8802521B1 (en) 2013-06-04 2014-08-12 United Microelectronics Corp. Semiconductor fin-shaped structure and manufacturing process thereof
US9006804B2 (en) 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US10309924B2 (en) 2013-06-07 2019-06-04 Cornell University Floating gate based sensor apparatus and related floating gate based sensor applications
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9401429B2 (en) 2013-06-13 2016-07-26 United Microelectronics Corp. Semiconductor structure and process thereof
TWI566273B (zh) * 2013-06-13 2017-01-11 聯華電子股份有限公司 半導體圖案之製造方法
US9263282B2 (en) 2013-06-13 2016-02-16 United Microelectronics Corporation Method of fabricating semiconductor patterns
US9048246B2 (en) 2013-06-18 2015-06-02 United Microelectronics Corp. Die seal ring and method of forming the same
US9123810B2 (en) 2013-06-18 2015-09-01 United Microelectronics Corp. Semiconductor integrated device including FinFET device and protecting structure
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
US9190291B2 (en) 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9105685B2 (en) 2013-07-12 2015-08-11 United Microelectronics Corp. Method of forming shallow trench isolation structure
US9093565B2 (en) 2013-07-15 2015-07-28 United Microelectronics Corp. Fin diode structure
US9019672B2 (en) 2013-07-17 2015-04-28 United Microelectronics Corporation Chip with electrostatic discharge protection function
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9006805B2 (en) 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
KR102069609B1 (ko) 2013-08-12 2020-01-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9385048B2 (en) 2013-09-05 2016-07-05 United Microelectronics Corp. Method of forming Fin-FET
US9373719B2 (en) 2013-09-16 2016-06-21 United Microelectronics Corp. Semiconductor device
US9018066B2 (en) 2013-09-30 2015-04-28 United Microelectronics Corp. Method of fabricating semiconductor device structure
US9166024B2 (en) 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
US9306032B2 (en) 2013-10-25 2016-04-05 United Microelectronics Corp. Method of forming self-aligned metal gate structure in a replacement gate process using tapered interlayer dielectric
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9299843B2 (en) 2013-11-13 2016-03-29 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
US9059020B1 (en) 2013-12-02 2015-06-16 International Business Machins Corporation Implementing buried FET below and beside FinFET on bulk substrate
CN103943484B (zh) * 2014-04-28 2016-08-17 上海华力微电子有限公司 自对准的栅极分离方法
US9281303B2 (en) 2014-05-28 2016-03-08 International Business Machines Corporation Electrostatic discharge devices and methods of manufacture
KR102347185B1 (ko) 2015-02-03 2022-01-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9899224B2 (en) 2015-03-03 2018-02-20 Tokyo Electron Limited Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
US9419015B1 (en) * 2015-03-13 2016-08-16 Globalfoundries Inc. Method for integrating thin-film transistors on an isolation region in an integrated circuit and resulting device
US20160268256A1 (en) * 2015-03-13 2016-09-15 Qualcomm Incorporated Complementary metal-oxide semiconductor (cmos) transistor and tunnel field-effect transistor (tfet) on a single substrate
CN104966672B (zh) * 2015-06-30 2019-01-25 上海华力微电子有限公司 鳍式场效应管基体制备方法
US9666581B2 (en) * 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US9978748B2 (en) * 2015-12-09 2018-05-22 International Business Machines Corporation Method of cutting fins to create diffusion breaks for finFETs
US10026653B2 (en) * 2015-12-16 2018-07-17 International Business Machines Corporation Variable gate lengths for vertical transistors
US11018254B2 (en) 2016-03-31 2021-05-25 International Business Machines Corporation Fabrication of vertical fin transistor with multiple threshold voltages
CN105655410B (zh) * 2016-04-13 2019-07-30 京东方科技集团股份有限公司 非遮光型薄膜晶体管、阵列基板及显示装置
US9793271B1 (en) * 2016-04-29 2017-10-17 International Business Machines Corporation Semiconductor device with different fin pitches
US9916978B2 (en) 2016-06-02 2018-03-13 United Microelectronics Corporation Method for fabricating a Fin field effect transistor (FinFET)
US10049944B2 (en) 2016-10-05 2018-08-14 Globalfoundries Inc. Method of manufacturing selective nanostructures into finFET process flow
US10517179B2 (en) * 2016-12-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US9870952B1 (en) 2017-02-07 2018-01-16 International Business Machines Corporation Formation of VFET and finFET
US10090410B1 (en) 2017-03-17 2018-10-02 International Business Machines Corporation Forming a combination of long channel devices and vertical transport fin field effect transistors on the same substrate
US10679992B1 (en) 2018-11-16 2020-06-09 International Business Machines Corporation Integrated device with vertical field-effect transistors and hybrid channels
DE102021121138B3 (de) 2021-08-13 2023-02-02 Infineon Technologies Ag Halbleitervorrichtungen und verfahren zum herstellen einer halbleitervorrichtung
US11908743B2 (en) * 2021-09-27 2024-02-20 International Business Machines Corporation Planar devices with consistent base dielectric

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6292361A (ja) 1985-10-17 1987-04-27 Toshiba Corp 相補型半導体装置
EP0299560B1 (en) 1987-07-14 1994-09-14 Agfa-Gevaert N.V. Process for making a curled photographic film
JPH07183488A (ja) 1993-12-24 1995-07-21 Nissan Motor Co Ltd Mos制御形サイリスタおよびその製造方法
JP2924763B2 (ja) * 1996-02-28 1999-07-26 日本電気株式会社 半導体装置の製造方法
US6245615B1 (en) * 1999-08-31 2001-06-12 Micron Technology, Inc. Method and apparatus on (110) surfaces of silicon structures with conduction in the <110> direction
JP4397491B2 (ja) * 1999-11-30 2010-01-13 財団法人国際科学振興財団 111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6300182B1 (en) * 2000-12-11 2001-10-09 Advanced Micro Devices, Inc. Field effect transistor having dual gates with asymmetrical doping for reduced threshold voltage
JP2002359293A (ja) * 2001-05-31 2002-12-13 Toshiba Corp 半導体装置
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) * 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication

Also Published As

Publication number Publication date
US6911383B2 (en) 2005-06-28
US20040266076A1 (en) 2004-12-30
TWI283018B (en) 2007-06-21
CN1591838A (zh) 2005-03-09
JP2005019996A (ja) 2005-01-20
US7250658B2 (en) 2007-07-31
CN1292473C (zh) 2006-12-27
US20050263831A1 (en) 2005-12-01
TW200507079A (en) 2005-02-16

Similar Documents

Publication Publication Date Title
JP4006419B2 (ja) ハイブリッド・プレーナおよびFinFETCMOSデバイス
TWI339406B (en) Locally thinned fins
JP4931211B2 (ja) ハイブリッド結晶配向基板上の高性能cmossoiデバイス
US7732839B2 (en) Semiconductor device and method for fabricating the same
JP5367264B2 (ja) 高移動度プレーナおよび複数ゲートのmosfetのためのハイブリッド基板、基板構造およびその基板を形成する方法
JP4425130B2 (ja) フィン型電界効果トランジスタの製造方法
US7087499B2 (en) Integrated antifuse structure for FINFET and CMOS devices
US7687365B2 (en) CMOS structure for body ties in ultra-thin SOI (UTSOI) substrates
US6933183B2 (en) Selfaligned source/drain FinFET process flow
EP1581968B1 (en) Integrated antifuse structure for finfet and cmos devices
US20090212366A1 (en) CONTACT SCHEME FOR FINFET STRUCTURES WITH MULTIPLE FINs
US8227316B2 (en) Method for manufacturing double gate finFET with asymmetric halo
US20070272925A1 (en) Semiconductor Device Having Multi-Gate Structure and Method of Manufacturing the Same
JP2008536335A (ja) 適応ウェル・バイアシング、並びにパワー及び性能強化のためのハイブリッド結晶配向cmos構造体
US6346446B1 (en) Methods of forming features of integrated circuits using modified buried layers
US6469350B1 (en) Active well schemes for SOI technology
US5445107A (en) Semiconductor device and method of formation
JP2002368225A (ja) 半導体装置及びその製造方法
JP4110089B2 (ja) 二重ゲート型電界効果トランジスタの製造方法
JP2008072142A (ja) 半導体装置の製造方法
US7105391B2 (en) Planar pedestal multi gate device
JP2004079790A (ja) 完全空乏型soi−mosトランジスタおよびその製造方法
JP2000332255A (ja) 薄膜トランジスタ及びその製造方法
JP4284344B2 (ja) 完全空乏型soi−mosトランジスタの製造方法
KR20020049934A (ko) 반도체 소자의 트랜지스터 제조 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060207

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060502

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060731

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070821

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070827

R150 Certificate of patent or registration of utility model

Ref document number: 4006419

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100831

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100831

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110831

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120831

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130831

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees