JP5367264B2 - 高移動度プレーナおよび複数ゲートのmosfetのためのハイブリッド基板、基板構造およびその基板を形成する方法 - Google Patents

高移動度プレーナおよび複数ゲートのmosfetのためのハイブリッド基板、基板構造およびその基板を形成する方法 Download PDF

Info

Publication number
JP5367264B2
JP5367264B2 JP2007518159A JP2007518159A JP5367264B2 JP 5367264 B2 JP5367264 B2 JP 5367264B2 JP 2007518159 A JP2007518159 A JP 2007518159A JP 2007518159 A JP2007518159 A JP 2007518159A JP 5367264 B2 JP5367264 B2 JP 5367264B2
Authority
JP
Japan
Prior art keywords
semiconductor layer
hybrid substrate
semiconductor
crystallographic direction
crystallographic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007518159A
Other languages
English (en)
Other versions
JP2008513973A (ja
JP2008513973A5 (ja
Inventor
ドリス、ブルース、ビー
ユン、メイケイ
ノーヴァク、エドワード、ジェイ
ヤン、ミン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Microsoft Corp
Original Assignee
Microsoft Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Microsoft Corp filed Critical Microsoft Corp
Publication of JP2008513973A publication Critical patent/JP2008513973A/ja
Publication of JP2008513973A5 publication Critical patent/JP2008513973A5/ja
Application granted granted Critical
Publication of JP5367264B2 publication Critical patent/JP5367264B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Description

本発明は、相補型金属酸化膜半導体(CMOS)デバイス技術に関し、とりわけ、高性能CMOSアプリケーションで使用するためのCMOS構造およびプロセスに関する。具体的に言えば、本発明は、プレーナ(planar)および複数ゲートの金属酸化膜半導体電界効果トランジスタ(MOSFET)で使用するための高移動度(high-mobility)面を有するハイブリッド基板を提供する。
CMOSデバイス性能は、ゲート長を減少させること、あるいはキャリア(carrier)移動度を上げること、またはその両方によって向上させることができる。ゲート長を減少させるためには、デバイス構造が良好な静電整合性を有していなければならない。単一ゲートの極薄ボディMOSFET、ならびにFinFETおよびトライゲート(tri-gate)構造などの複数ゲートMOSFETは、従来のバルクCMOSデバイスに比べて、より良好な静電特性を有することが知られている。
米国特許出願公開(Publication)第2004 0266076A1号は、プレーナ極薄ボディSOI MOSFETとFinFETデバイスとの両方を同じウェハ上に集積させるためのプロセスを開示している。この開示によれば、この構造は、埋没(buried)絶縁層上に位置する少なくとも最上位の半導体層を備えるSOI構造を提供するステップであって、この最上位半導体層が、構造のFinFET領域内に位置する少なくとも1つのパターン化ハード・マスクと、構造のFET領域内に位置する少なくとも1つのパターン化ハード・マスクとを有する、提供するステップと、FET領域を保護し、FinFET領域内の少なくとも1つのパターン化ハード・マスクをトリミングするステップと、埋没絶縁層上に留まるハード・マスクによって保護されない最上位半導体の露光部分をエッチングするステップであって、このエッチングはFinFETアクティブ・デバイス領域およびFETアクティブ・デバイス領域を画定し、FinFETアクティブ・デバイス領域はFETアクティブ・デバイス領域に対して垂直である、エッチングするステップと、FinFETアクティブ・デバイス領域を保護し、FETデバイス領域の高さがFinFETアクティブ・デバイス領域の高さよりも低くなるようにFETアクティブ・デバイス領域を薄くするステップと、FETデバイス領域の露光された水平面上にゲート誘電体を形成しながら、FinFETアクティブ・デバイス領域の露光された各垂直面上にゲート誘電体を形成するステップと、ゲート誘電体の露光された各表面上にパターン化ゲート電極を形成するステップと、を含む方法によって製造される。
「極薄」という用語は、本明細書全体を通じて、約30nmまたはそれ未満の薄さを表すために使用される。「トライゲート」という用語は、本明細書全体を通じて、Finの1つの最上位面および2つの垂直面という3つの導電性チャネルを備えるトライゲート・デバイスを表すために使用される。「FinFET」という用語は、本明細書全体を通じて、高さはあるがそれでもなお薄い垂直チャネル領域を備えるダブルゲート・デバイスを表すために使用される。
当技術分野では、キャリア移動度が表面の方向に依存することが知られている。たとえば、電子は(100)面の方向の場合は高移動度を有することが知られているが、ホールは(110)面の方向の場合に高移動度を有することが知られている。すなわち、(100)面上のホール移動度値は、この結晶学的(crystallographic)方向に関して対応する電子ホール移動度よりも、およそ2〜4倍低い。米国特許出願公開第2004 0256700A1号は、プレーナMOSFETが高移動度面上に構築されるように、これら2つの面を同じウェハ上に集積するための方法を開示する。すなわち、nFETが(100)面上に構築され、pFETが(110)面上に構築される。この開示によれば、異なる結晶学的方向を有する2つのウェハのウェハ接合、マスキング、その面を露光させるための1つのウェハを介した他のウェハまでのエッチング、および露光された面と同じ結晶学的方向を有する半導体材料の再成長によって、結晶の方向が異なる面を有するハイブリッド基板が提供される。
<110>方向と平行の位置合わせウェハ・フラットを備える標準(100)ウェハ上にトライゲートが製造される場合、ゲートがウェハ・フラットと平行に配向されると、チャネルに関して混合する表面方向が形成される。すなわち、たとえば図1を参照されたい。このトライゲート・デバイス構造は、n型またはp型に最適な移動度を提供することはできない。最適なn型のトライゲートFETは、<110>方向と平行の位置合わせウェハ・フラットを備えた標準(100)ウェハ上に、n型のトライゲートFETを製造することによって取得が可能であり、ゲートは位置合わせウェハ・フラットから45度に配向される。たとえば図2を参照されたい。代替の方法として、最適なn型トライゲートFETは、<100>方向と平行の位置合わせウェハ・フラットを備えた(100)ウェハ上に、n型のトライゲートFETを製造することによって取得が可能であり、ゲートはウェハ・フラットと平行に配向される。たとえば図3を参照されたい。最適なp型トライゲートFETは、<110>方向と平行の位置合わせウェハ・フラットを備えた(110)ウェハ上に、p型のトライゲートFETを製造することによって取得が可能であり、ゲートは位置合わせウェハ・フラットと平行に配向される。たとえば図4を参照されたい。
現在、高移動度nFETおよびpFETを取得するために、(100)面配向ウェハ上に45°の角度でn型FinFETおよびp型FinFETをレイアウトすることが可能であるが、現在のリソグラフィ技術を使用するこうしたレイアウトは好適でない。さらにこの手法では、高移動度プレーナ/複数ゲートのnFETおよびpFETを同時に提供することはできない。代わりに、nFETおよびpFETデバイスのゲートがどちらも同じ方向に配向されながらも、すべてのチャネルがnFETおよびpFETの両方について高移動度面上に存在する方法を提供することが望まれる。現在、この要件を達成できる従来技術は知られていない。
米国特許出願公開第2004 0266076A1号 米国特許出願公開第2004 0256700A1号
したがって、すべてのチャネルが高移動度面上でゲートと同じ方向に配向される、FinFETおよびトライゲートMOSFETなどの、プレーナあるいは複数ゲートまたはその両方のMOSFETを作成するための、基板構造およびこれを製造する方法が求められている。
本発明は、プレーナあるいは複数ゲートまたはその両方のMOSFETのすべてのチャネルおよびゲートが同じ方向に配向されるように、異なる結晶学的方向の領域を有する上面を有し、n型デバイスはそれらの型のデバイスの性能を強化する表面方向に位置し、p型デバイスはそれらの型のデバイスの性能を強化する表面方向に位置する、ハイブリッド基板を提供する。すなわち本発明は、プレーナあるいは複数ゲートまたはその両方のMOSFETが高移動度面上に位置するように集積された、異なる結晶学的方向の上面を有する、ハイブリッド基板を提供する。通常、n型デバイスは最適なデバイス性能のために(100)半導体表面に構築され、p型デバイスは最適なデバイス性能のために(110)半導体表面に構築される。
すべての様々なデバイスのチャネルおよびゲートが同じ方向に配向可能な、異なる結晶学的方向を有するハイブリッド基板は、どちらもSOI状であるか、または別の方法として表面のうちの1つがバルク状であり、他方の表面がSOI状であることが可能である。
広義には、本発明は、表面上に高移動度の結晶学的方向を有し、
第2の半導体層および再成長半導体層を備える表面であって、当該第2の半導体層は第2の結晶学的方向を有し、再成長半導体層は第2の結晶学的方向とは異なる第1の結晶学的方向を有する、表面と、
少なくとも当該第2の半導体層と当該再成長半導体層とを分離するライナまたはスペーサと、
当該第2の半導体層の下に位置する絶縁層と、
当該絶縁層および当該再成長半導体層の下に位置する第1の半導体層であって、当該第1の半導体層は再成長半導体層と接触しており、再成長半導体層と同じ結晶学的方向を有し、当該第1の半導体層および当該第2の半導体層は、それぞれ互いに位置合わせされたウェハ・フラットを含む、第1の半導体層と、
を含む、ハイブリッド基板を提供する。
前述のハイブリッド基板に加え、本発明は、
第2の半導体層および再成長半導体層を備える表面であって、当該第2の半導体層は第2の結晶学的方向を有し、再成長半導体層は第2の結晶学的方向とは異なる第1の結晶学的方向を有する、表面と、少なくとも当該第2の半導体層と当該再成長半導体層とを分離するライナまたはスペーサと、当該第2の半導体層の下に位置する絶縁層と、当該絶縁層および当該再成長半導体層の下に位置する第1の半導体層であって、当該第1の半導体層は再成長半導体層と接触しており、再成長半導体層と同じ結晶学的方向を有する、第1の半導体層と、を含むハイブリッド基板、および
当該第2の半導体層および当該再成長半導体層の両方に存在するプレーナまたは複数ゲートのMOSFETデバイスであって、当該デバイスは、同じ方向に配向され当該MOSFETデバイスに最適な表面上に存在するチャネルおよびゲートを有する、プレーナまたは複数ゲートのMOSFETデバイス、
を備える、高移動度構造にも関する。
本発明は、前述のハイブリッド基板を形成する方法、ならびにプレーナあるいは複数ゲート、またはその両方のMOSFETを、ハイブリッド基板上で集積するための方法も提供する。
ハイブリッド基板は、
絶縁層で分離された、第1のウェハ・フラットを備えた第1の結晶学的方向の第1の半導体層と、第2のウェハ・フラットを備えた第2の結晶学的方向の第2の半導体層と、を備える構造を形成するステップであって、当該第1の結晶学的方向は第2の結晶学的方向とは異なり、第2の半導体層は当該第1の半導体層の上に位置し、それぞれの半導体層上のウェハ・フラットは表面と同じ結晶学的方向である、形成するステップと、
構造の保護されていない第2の部分を残しながら、第1のデバイス領域を画定するために構造の第1の部分を保護するステップであって、当該構造の保護されていない部分が第2のデバイス領域を画定する、保護するステップと、
第1の半導体層の表面を露光させるために、当該構造の保護されていない部分をエッチングするステップと、
第1の半導体層の当該露光面上に、第1の結晶学的方向と同じ結晶学的方向を有する半導体材料を再成長させるステップと、
第2の半導体層の上面が半導体材料の上面とほぼ平面となるように、半導体材料を含む構造を平坦化するステップと、
によって提供される。
<110>方向と平行のウェハ・フラットを備える標準(100)ウェハの場合、ウェハ・フラットが<110>方向と平行のウェハ・フラットを備える(110)ウェハと45°で位置合わせされるように、ウェハは45°回転される。代替の方法として、<100>方向と平行のウェハ・フラットを備える(100)ウェハは、<110>方向と平行のウェハ・フラットを備える(110)ウェハと位置合わせされる。
本発明のいくつかの実施形態では、埋没した酸化領域は、当該平坦化ステップが実行された後に、イオン注入およびアニーリングによって形成される。
プレーナあるいは複数ゲートまたはその両方のMOSFETは、その後、第2の再成長された半導体材料の上面上に形成される。具体的に言えば、
第2の半導体層および再成長半導体層を備える表面であって、当該第2の半導体層は第2の結晶学的方向を有し、再成長半導体層は第2の結晶学的方向とは異なる第1の結晶学的方向を有する、表面と、少なくとも当該第2の半導体層と当該再成長半導体層とを分離するライナまたはスペーサと、当該第2の半導体層の下に位置する絶縁層と、当該絶縁層および当該再成長半導体層の下に位置する第1の半導体層であって、当該第1の半導体層は再成長半導体層と接触しており、再成長半導体層と同じ結晶学的方向を有する、第1の半導体層と、を含むハイブリッド基板を提供するステップ、および
当該第2の半導体層および当該再成長半導体層の両方にプレーナまたは複数ゲートのMOSFETを形成するステップであって、当該プレーナまたは複数ゲートのMOSFETは、同じ方向に配向され当該MOSFETに最適な表面上に存在するチャネルおよびゲートを有する、形成するステップ、
を有する、高移動度半導体構造を形成する方法が提供される。
次に、高移動度のプレーナあるいは複数ゲートまたはその両方のMOSFET用のハイブリッド基板と、ハイブリッド基板ならびにその上のデバイスを形成する方法とを提供する、本発明について、本明細書に添付の図面を参照することによって、より詳細に説明する。
図5は、本発明で採用される初期基板を示す。図に示されるように、本発明の初期基板10は、第1(すなわち下部)の半導体層12、絶縁層14、および第2(すなわち上部)の半導体層16を備える。初期基板は、第1(すなわち下部)の半導体層の下に位置するオプションの半導体層(図示せず)をさらに含むことができる。このオプションの初期基板では、他の絶縁層が、第1(すなわち下部)の半導体層とオプションの半導体層とを分離する。
第1の半導体層12は、たとえばSi、SiC、SiGe、SiGeC、Ge、Ge合金、GaAs、InAs、InP、ならびに他のIII/VまたはII/VI化合物半導体を含む、任意の半導体材料からなる。第1の半導体層12は、事前に形成されたSOI基板のシリコン・オン・インシュレータ(SOI)層またはたとえばSi/SiGeなどの層状半導体を備えることもできる。第1の半導体層12は、(100)または(110)とすることが可能な第1の結晶学的方向を有するものとも特徴付けられる。第1の半導体層12が(110)方向を有する場合、位置合わせウェハ・フラットは<110>方向に提供される。第1の半導体層12が(100)結晶方向を有する場合、位置合わせウェハ・フラットは<100>方向に提供される。第1の半導体層は、ひずみ(strained)層または非ひずみ(unstrained)層とするか、あるいはひずみ/非ひずみ層の組み合わせを含むことができる。好ましい実施形態では、第1の半導体層12は、<110>方向のウェハ・フラットを備えた(110)方向を有するSi含有基板である。このウェハ・フラットは、当業者に周知の標準技法を使用して半導体層内に形成される。
第1の半導体層12の厚さは、図5に示された基板を形成するために使用される初期開始ウェハに応じて変化する可能性がある。しかしながら、通常、第1の半導体層12は約5nmから約200μmの厚さを有し、約5から約100nmの厚さがより一般的である。
第1の半導体層12と第2の半導体層16との間に存在する絶縁層14は、酸化物、窒化物、酸窒化物、またはそれらの任意の組み合わせとすることができる。好ましくは、絶縁層14は酸化物である。絶縁層14の厚さも、図5に示された基板を作成する際に使用される初期ウェハに応じて変化する可能性がある。しかしながら、通常、絶縁層14は約1から約500nmの厚さを有し、約5から約100nmの厚さがより一般的である。
第2の半導体層16は、たとえばSi、SiC、SiGe、SiGeC、Ge、Ge合金、GaAs、InAs、InP、ならびに他のIII/VまたはII/VI化合物半導体を含む、任意の半導体材料からなる。第2の半導体層16は、事前に形成されたSOI基板のシリコン・オン・インシュレータ(SOI)層またはたとえばSi/SiGeなどの層状半導体を備えることもできる。第2の半導体層16は、第2の半導体層16が第1の半導体層12とは異なる第2の結晶学的方向を有するという条件で、第1の半導体層12と同じ半導体材料からなるものとすることができる。したがって第2の半導体層16は、第1の半導体層12の結晶学的方向とは異なる、(100)または(110)とすることが可能な第2の結晶学的方向を有する。
第1の半導体層12が(110)方向を有する場合、第2の半導体層16は(100)方向を有することになる。同様に、第1の半導体層12が(100)結晶方向を有する場合、第2の半導体層16は(110)結晶学的方向を有する。第2の半導体層16は、ひずみ層または非ひずみ層とするか、あるいはひずみ/非ひずみ層の組み合わせを含むことができる。好ましくは、第2の半導体層16は、<100>方向の位置合わせウェハ・フラットを備えた(100)方向を有するSi含有層である。
第2の半導体層16の厚さは、図5に示された基板を形成するために使用される初期開始ウェハに応じて変化する可能性がある。しかしながら、通常、第2の半導体層16は約5から約500nmの厚さを有し、約5から約100nmの厚さがより一般的である。
図5に示される基板10は、2つのウェハおよび熱接合が採用される層転写(layer transfer)プロセスによって取得される。具体的に言えば、層転写は、2つのウェハそれぞれを密接に接触させること、オプションでその接触したウェハに外力を加えること、および、その後この2つの接触したウェハを、2つのウェハを接合可能な条件の下で加熱することによって、達成される。
本発明によれば、ウェハのうちの1つが少なくとも第1の半導体層12を含み、他方が少なくとも第2の半導体層16を含む。また、ウェハのうちの少なくとも1つが、図5に示された絶縁層14になる絶縁層を含む。いくつかの実施形態では、両方のウェハが絶縁層を含むことができる。本発明では、層転写は、半導体/絶縁接合または絶縁/絶縁接合によって達成される。バルク半導体ウェハが使用可能であり、SOIウェハを使用するか、またはバルクおよびSOIの組み合わせを採用することができる。いくつかの実施形態では、層転写で使用されるウェハのうちの1つが、層転写プロセス中に少なくとも1つのウェハのうちの一部を分割するために使用可能な、水素注入領域などの注入領域を含む。
前述の接触ステップは、第2の半導体層16を含むウェハが通常のウェハ構成(通常の(100)ウェハ構成は<110>方向と平行のウェハ・フラットを有する)から45°回転されるという点で、層転写で使用される従来の接触ステップとは異なる。この回転により、第2の半導体層16の位置合わせウェハ・フラットが、第1の半導体層の位置合わせウェハ・フラットと適切な回転で位置合わせされることが保証される。たとえば図14を参照されたい。代替の方法として、(100)ウェハのウェハ・フラットを<100>方向と平行に配置し、<110>方向と平行のウェハ・フラットを備える(110)ウェハと位置合わせするものとする。たとえば図15を参照されたい。このステップにより、プレーナおよび複数ゲートのFETを含み、このハイブリッド基板上に製造されたMOSFETが、高移動度平面上に常に位置するチャネルを有することになることが保証できる。
層転写時に使用される加熱ステップは、外力の有無によって実行することができる。加熱ステップは、通常、約200°から約1050°Cの温度の不活性環境(inert ambient)で、約2から約20時間の期間、実行される。より好ましくは、接合は約200°から約400°Cの温度で実行される。「不活性環境」という用語は、いかなる半導体ウェハにも反応しない大気を表す。不活性環境の例には、たとえばHe、Ar、N、Xe、Krが含まれ、それらの混合物を使用することもできる。接合に使用される好ましい環境はNである。
層転写プロセスに続いて、平坦化プロセス(図示せず)を採用して、半導体ウェハのうちの1つから何らかの材料を除去することができる。この平坦化ステップは、特に、層転写プロセスで2つのSOIウェハが使用される場合に採用される。
次に、図5に示された第2の半導体層16の上に少なくとも1つの絶縁材料を含むパッド・スタック18が形成され、図6に示された構造を提供する。パッド・スタック18は、酸化物、窒化物、酸窒化物、またはそれらの任意の組み合わせからなるものとすることができる。たとえば一実施形態では、パッド・スタック18はSiO層の上に形成されるSi層とすることができる。パッド・スタック18は、堆積プロセスあるいは熱成長プロセスまたはその両方によって形成される。たとえば堆積プロセスには、化学気相堆積(CVD)、プラズマ化学気相堆積(PECVD)、原子層堆積、化学溶液堆積、および他の同様の堆積プロセスが含まれる。熱成長プロセスには、酸化、窒化、酸窒化、またはそれらの組み合わせが含まれる。前述の好ましいパッド・スタック18では、SiO層は酸化プロセスによって形成され、Siは堆積によって形成される。
パッド・スタック18は、採用される絶縁材料の種類、ならびにスタック内の絶縁層の数に応じて異なる、可変厚さを有することができる。通常、および例示的には、パッド・スタック18は約1から約200nmの厚さを有し、約5から約50nmの厚さがより一般的である。
その後、構造の保護されていない第2の部分を残しながら、構造の第1の部分を保護するように、図6に示された構造の所定の部分にマスク(図示せず)が形成される。構造の保護された部分は第1のデバイス領域22を画定し、構造の保護されていない部分は第2のデバイス領域24を画定する。たとえば図7には様々なデバイス領域が示される。
本発明の一実施形態では、マスクは、第1にフォトレジスト・マスクを構造の表面全体に塗布することによって、パッド・スタック18の所定の部分に形成される。フォトレジスト・マスクの塗布後、マスクは、放射線のパターンにフォトレジストを露光させるステップと、レジスト現像液(developer)を利用してパターンを現像するステップとを含む、リソグラフィによってパターン形成される。別の方法として、またパッド・スタック18が、その上層が窒化物または酸窒化物である複数の絶縁体を含む場合、上層は異なるデバイス領域を画定する際に使用されるマスクとして働く。この実施形態では、パッド・スタック18の上部窒化物または酸窒化物層が、リソグラフィおよびエッチングによってパターン形成される。パッド・スタック18の上部窒化物または酸窒化物層は、場合によっては第2のデバイス領域画定後に除去することができる。
図6に示された構造にマスク(図示せず)を形成した後、第1の半導体層12を基礎とする表面を露光するために、構造には1つまたは複数のエッチング・ステップが施される。1つまたは複数のエッチング・ステップが実行された後およびマスクの除去後に形成される、結果として生じる構造が、たとえば図7に示されている。具体的に言えば、本発明のこの時点で使用される1つまたは複数のエッチング・ステップは、パッド・スタック18の保護されていない部分、ならびに、第2の半導体層16の基礎となる部分、および第1の半導体層12と第2の半導体層16とを分離する絶縁層14の一部を除去する。
エッチングは、単一のエッチング・プロセスを利用して実行するか、または複数のエッチング・ステップを採用することができる。本発明のこの時点で使用されるエッチングは、反応性イオン・エッチング、イオン・ビーム・エッチング、プラズマ・エッチングまたはレーザ・エッチングなどのドライ・エッチング・プロセス、化学エッチング液が採用されるウェット・エッチング・プロセス、あるいはそれらの任意の組み合わせを含むことができる。本発明の好ましい実施形態では、第2の半導体デバイス領域24内の、パッド・スタック18の保護されていない部分、第2の半導体層16、および絶縁層14を選択的に除去する際に、反応性イオン・エッチング(RIE)が使用される。このエッチング・ステップによって、側壁21を有する開口部20が形成されることに留意されたい。1つの開口部を有する構造が示されているが、本発明では複数のこうした開口部が形成される他の構造も企図される。こうした実施形態では、複数の第2のデバイス領域および複数の第1のデバイス領域を形成することができる。
エッチングの後、従来のレジスト・ストリップ・プロセスを利用して構造からマスクが除去され、露光された側壁21上にライナまたはスペーサ26が形成される。ライナまたはスペーサ26は、たとえば酸化物、窒化物、酸窒化物、またはそれらの任意の組み合わせなどの絶縁材料からなる。開口部20の各側壁21上に形成されたライナまたはスペーサ26を含む構造が、図8に示される。
ライナまたはスペーサ26の形成後、第1の半導体層12の露光面上に半導体材料28が形成される。本発明によれば、半導体材料28は、第1の半導体層12の結晶学的向きと同じ結晶学的向きを有する。結果として生じる構造が、たとえば図9に示される。
半導体材料28は、選択的エピタキシャル成長方法を利用して形成することが可能な、Si、ひずみSi、SiGe、SiC、SiGeC、またはそれらの組み合わせなどの、任意のSi含有半導体を含むことができる。いくつかの好ましい実施形態では、半導体材料28はSiからなる。他の好ましい実施形態では、半導体材料は、緩和(relaxed)SiGe合金層の上に位置するひずみSi層である。本発明では、半導体材料28は再成長半導体材料または層と呼ぶ場合がある。
次に、図9に示される構造には、半導体材料28の上面がパッド・スタック18の上面とほぼ平面になるように、化学機械研磨(CMP)または研削などの平坦化プロセスが施される。第1の平坦化プロセスが実行された後に形成される結果として生じる構造は、たとえば図10に示される。
第1の平坦化ステップの後、図11に示されるプレーナ構造を提供するために、第2の平坦化ステップが実行される。図11に示される構造では、第2の平坦化プロセスが構造からパッド・スタック18を除去する。図11に示される例示的構造では、再成長半導体材料28が、第2の半導体層16の上面と同一平面上にある上面を有する。したがって、これらの平坦化ステップは、プレーナあるいは複数ゲートまたはその両方のMOSFETが構築可能なアクティブ・デバイス領域22、24を露光する。
図12は、酸素イオンが豊富な注入領域32を構造内に形成するように酸素イオン30が構造内に注入される、オプションであるがかなり好適な本発明のステップを示す。オプションの注入は、約1015から約5×1017原子/cmの酸素イオン線量を使用するイオン注入によって実行される。本発明で採用されるイオン線量は、後続の高温アニーリング・ステップ中に埋没酸化物領域に変換可能な、十分な濃度の酸素イオンを有する注入領域32を形成するのに十分なはずである。注入領域32は、通常、第2の半導体層16および再成長半導体層28の両方で形成される。いくつかの実施形態では、酸素イオンが第2の半導体層16または再成長半導体層28のいずれかに注入されるように、マスク・イオン注入プロセスを使用することができる。後の実施形態では、構造内に選択的な埋没酸化物領域34を形成するための手段を提供することができる。酸素イオンについて説明および図示しているが、後で埋没絶縁領域に変換するためのイオン注入リッチ領域を形成する際に使用可能な他のイオンが採用可能である。
図13は、高温アニーリング・ステップが実行された後に形成される構造を示す。図13に示される構造では、参照番号34は、形成される埋没酸化物領域を表す。埋没酸化物領域34の存在により、デバイス領域22および24の両方がSOI状であることが保証されることに留意されたい。高温アニーリング・ステップは、He、Ar、N、Xe、Kr、Ne、またはそれらの混合物などの不活性環境、あるいは、たとえばO、NO、NO、オゾン、空気、または他の酸素含有環境などの少なくとも1つの酸素含有ガスを含む酸化環境で、実施することができる。別の方法として、高温アニーリング・ステップで使用される環境は、酸素含有ガスおよび不活性ガスの混合物を含むことができる。ある環境が酸素含有ガスを含む場合、埋没酸化物領域34は熱酸化物領域、および通常は構造の露光面の上から分割される表面酸化物を含む可能性がある。
埋没酸化物領域34を形成する際に使用される高温アニーリング・ステップは、約1000°から約1400°Cの温度で実行され、さらに好ましい温度は約1200°から約1300°Cである。アニーリング・ステップは、通常は約60から約3000分の範囲の可変期間で実施することができる。アニーリング・ステップは、単一の目標温度で実行するか、または、様々なランプ(ramp)および浸漬(soak)の温度および時間を使用する様々なランプおよび浸漬サイクルを採用することができる。アニーリング・ステップは急速熱アニール(RTA)とすることが可能であり、本明細書ではレーザ・アニールまたは電子ビームなどの他のエネルギー源も企図される。別の方法として、炉アニールも使用可能である。炉アニールが採用される場合、通常、アニーリング時間はRTAよりも長い。
本発明では、図11または図13のいずれかに示されるハイブリッド基板が使用できることに留意されたい。図13に示されたハイブリッド基板は、図11と比べた場合、どちらのデバイス領域もSOI状であり、最上のデバイス領域が極薄半導体層16または28を含むため、図11に示されたハイブリッド基板よりも好適である。
図16は、本発明のハイブリッド基板上に、トライゲートMOSFETあるいはFinFETまたはその両方などの、プレーナあるいは複数ゲートまたはその両方のMOSFETを製造した後に形成される、結果として生じる構造を示す。図16では、参照番号50は各デバイスのゲートを表し、参照番号52はプレーナあるいは複数ゲートまたはその両方のデバイスを表す。本発明によれば、(100)表面方向を有する半導体表面(16、28)上にnデバイスが形成され、(110)表面方向を有する半導体表面(16、28)上にpデバイスが形成される。さらに、nFETおよびpFETの両方のゲートが同じ方向に配向される。ハイブリッド配向基板は、nデバイスのゲートは、すべてのチャネルが(100)表面上(Finの上面および両側面上)にあるように<100>方向に配向され、pデバイスのゲートは、すべてのチャネルが(110)表面上(Finの上面および両側面上)にあるように<110>方向に配向されるように作成されている。このプロセスを使用すると、すべてのデバイス・チャネルが高移動度面上にあり、ゲートが同じ方向に配向されるように、高移動度デバイスを構築することが可能である。プレーナあるいは複数ゲートまたはその両方のMOSFETは、当業者に周知の技法を使用して製造される。
たとえば、米国特許出願公開第2004 0266076A1号に開示されたプロセスを使用して、様々なデバイスを製造することができる。本明細書で使用可能な当該特許出願公開に記載されたプロセスは、ハイブリッド基板のFinFET領域内に位置する少なくとも1つのパターン化ハード・マスクと、ハイブリッド基板のトライゲート領域内に位置する少なくとも1つのパターン化ハード・マスクとを提供するステップと、トライゲート領域を保護し、当該FinFET領域内の少なくとも1つのパターン化ハード・マスクをトリミングするステップと、埋没絶縁層14または埋没酸化物領域34の表面上に留まるハード・マスクによって保護されない最上位半導体層16、28の露光部分をエッチングするステップであって、当該エッチングはFinFETアクティブ・デバイス領域およびトライゲート・アクティブ・デバイス領域を画定し、当該FinFETアクティブ・デバイス領域はトライゲート・アクティブ・デバイス領域に対して垂直である、エッチングするステップと、FinFETアクティブ・デバイス領域を保護し、トライゲート・デバイス領域の高さがFinFETアクティブ・デバイス領域の高さよりも低くなるようにトライゲート・アクティブ・デバイス領域を薄くするステップと、トライゲート・デバイス領域の露光された水平面上にゲート誘電体を形成しながら、FinFETアクティブ・デバイス領域の露光された各垂直面上にゲート誘電体を形成するステップと、ゲート誘電体の露光された各表面上にパターン化ゲート電極を形成するステップと、を含む。
FinFETおよびトライゲート・デバイス領域内に存在する様々な材料および構成要素は良く知られているため、本明細書では同様の内容に関する詳細な説明は行わない。たとえば各デバイスは、酸化物、窒化物、酸窒化物、またはそれらの任意の組み合わせを含む可能性のあるゲート誘電体を含む。好ましくは、ゲート誘電体は、SiO、Al、ペロブスカイト酸化物、または他の同様の酸化物などであるが、これらに限定されない、酸化物である。ゲート誘電体は、熱酸化、窒化、または酸窒化プロセスを利用して形成することができる。FinFETアクティブ・デバイスは半導体層、すなわち16または38のうちの1つの露光された垂直面上に形成された2つのゲート誘電体を含むことになる一方で、複数ゲート・デバイスは複数のゲート誘電体を有することができることに留意されたい。
各タイプのデバイスにはゲート導体(conductor)も存在する。ゲート導体は、たとえば化学気相堆積(CVD)、プラズマ・アシストCVD、蒸発、スパッタリング、化学溶液堆積、または原子層堆積などの、従来の堆積プロセスを利用して形成することができる。ゲート導体は、ポリSi、Wなどの元素金属、1つまたは複数の元素金属を含む合金、ケイ化物、あるいは、たとえばポリSi/Wまたはケイ化物などのそれらのスタック組み合わせを含むことができる。
以上、すべてのチャネルが高移動度面上でゲートと同じ方向に配向される、FinFETおよびトライゲートMOSFETなどの、プレーナあるいは複数ゲートまたはその両方のMOSFETを作るための、基板構造およびこれを製造する方法について説明してきた。
様々な注入は、たとえば、ウェル注入、ソース/ドレイン拡張注入、ハロー注入、ソース/ドレイン拡散注入、ゲート注入、およびその他を含む、ゲートの形成前または後のいずれかに実行することができる。さらに本発明の構造は、従来の手段によって形成される隆起/ソース・ドレイン領域も含むことができる。現時点の本発明では、たとえばBEOL(バックエンド・プロセス)処理などの他の処理も採用することができる。
本発明は、特に好ましい諸実施形態に関して図示および説明しているが、当業者であれば、本発明の趣旨および範囲から逸脱することなく、形式および細部において前述および他の変更が可能であることを理解されよう。したがって、本発明は説明および図示された正確な形式および細部に限定されるものではないが、添付の特許請求の範囲の範囲内にあることが意図される。
標準の半導体ウェハ上に製造されるトライゲート構造を示す絵画図である。 標準の半導体ウェハ上に製造されるトライゲート構造を示す絵画図である。 標準の半導体ウェハ上に製造されるトライゲート構造を示す絵画図である。 標準の半導体ウェハ上に製造されるトライゲート構造を示す絵画図である。 プレーナあるいは複数ゲートまたはその両方のMOSFETが構築される高移動度面を有するハイブリッド基板を製造するために本発明で使用される基本的な処理ステップを示す、(断面図を介した)絵画図である。 プレーナあるいは複数ゲートまたはその両方のMOSFETが構築される高移動度面を有するハイブリッド基板を製造するために本発明で使用される基本的な処理ステップを示す、(断面図を介した)絵画図である。 プレーナあるいは複数ゲートまたはその両方のMOSFETが構築される高移動度面を有するハイブリッド基板を製造するために本発明で使用される基本的な処理ステップを示す、(断面図を介した)絵画図である。 プレーナあるいは複数ゲートまたはその両方のMOSFETが構築される高移動度面を有するハイブリッド基板を製造するために本発明で使用される基本的な処理ステップを示す、(断面図を介した)絵画図である。 プレーナあるいは複数ゲートまたはその両方のMOSFETが構築される高移動度面を有するハイブリッド基板を製造するために本発明で使用される基本的な処理ステップを示す、(断面図を介した)絵画図である。 プレーナあるいは複数ゲートまたはその両方のMOSFETが構築される高移動度面を有するハイブリッド基板を製造するために本発明で使用される基本的な処理ステップを示す、(断面図を介した)絵画図である。 プレーナあるいは複数ゲートまたはその両方のMOSFETが構築される高移動度面を有するハイブリッド基板を製造するために本発明で使用される基本的な処理ステップを示す、(断面図を介した)絵画図である。 プレーナあるいは複数ゲートまたはその両方のMOSFETが構築される高移動度面を有するハイブリッド基板を製造するために本発明で使用される基本的な処理ステップを示す、(断面図を介した)絵画図である。 プレーナあるいは複数ゲートまたはその両方のMOSFETが構築される高移動度面を有するハイブリッド基板を製造するために本発明で使用される基本的な処理ステップを示す、(断面図を介した)絵画図である。 本発明で採用可能な初期基板を示す、(3D側面図を介した)絵画図である。 本発明で採用可能な初期基板を示す、(3D側面図を介した)絵画図である。 本発明のハイブリッド基板上にプレーナあるいは複数ゲートまたはその両方のMOSFETを製造した後に形成される構造を示す、(トップダウン図を介した)絵画図である。

Claims (27)

  1. 第2の半導体層および再成長半導体層を備える表面であって、前記第2の半導体層は第2の結晶学的方向を有し、前記再成長半導体層は前記第2の結晶学的方向とは異なる第1の結晶学的方向を有する、表面と、
    少なくとも前記第2の半導体層と前記再成長半導体層とを分離するライナまたはスペーサと、
    前記第2の半導体層の下に位置する絶縁層と、
    前記絶縁層および前記再成長半導体層の下に位置する第1の半導体層であって、前記第1の半導体層は前記再成長半導体層と接触しており、前記再成長半導体層と同じ結晶学的方向を有し、前記第1の半導体層および前記第2の半導体層は、それぞれ結晶学的方向を表すウェハ・フラットを含み、前記第2の半導体層が適切な回転となり、且つ、前記第2の半導体層および前記再成長半導体層の双方の上に存在する種々のデバイスの全てのチャネルおよびゲートが同じ方向に配向されるようにそれぞれ互いに位置合わせされた、第1の半導体層と、
    を備える、表面に高移動度の結晶学的方向を有するハイブリッド基板。
  2. 前記第1の半導体層および前記第2の半導体材料が、Si、SiC、SiGe、SiGeC、Ge、Ge合金、GaAs、InAs、InP、シリコン・オン・インシュレータ(SOI)層、または他のIII/VおよびII/VI化合物半導体からなる、請求項1に記載のハイブリッド基板。
  3. 前記第1の半導体層および前記第2の半導体層がSiからなる、請求項2に記載のハイブリッド基板。
  4. 前記第1の半導体層がSiからなり、前記第1の結晶学的方向が<110>方向の前記ウェハ・フラットを備えた(110)であり、前記第2の半導体層がSiを含み、前記第2の結晶学的方向が<100>方向の前記ウェハ・フラットを備えた(100)である、請求項1に記載のハイブリッド基板。
  5. 前記第1の半導体層がSiからなり、前記第1の結晶学的方向が<100>方向の前記ウェハ・フラットを備えた(100)であり、前記第2の半導体層がSiを含み、前記第2の結晶学的方向が<110>方向の前記ウェハ・フラットを備えた(110)である、請求項1に記載のハイブリッド基板。
  6. 前記再成長半導体層がSi含有半導体を備える、請求項1に記載のハイブリッド基板。
  7. 前記Si含有半導体が、Si、ひずみSi、SiC、SiGeC、またはそれらの組み合わせを含む、請求項6に記載のハイブリッド基板。
  8. 前記再成長半導体層が(100)結晶学的方向のSiを含む、請求項1に記載のハイブリッド基板。
  9. 前記再成長半導体層が、(110)結晶学的方向のSiを含む、請求項1に記載のハイブリッド基板。
  10. 前記ライナまたはスペーサが、酸化物、窒化物、酸窒化物、またはそれらの任意の組み合わせを含む、請求項1に記載のハイブリッド基板。
  11. 前記絶縁層が、酸化物、窒化物、酸窒化物、またはそれらの組み合わせを含む、請求項1に記載のハイブリッド基板。
  12. 前記第2の半導体層または前記再成長半導体層のうちの少なくとも1つ内に埋没酸化物領域をさらに含む、請求項1に記載のハイブリッド基板。
  13. 前記表面が少なくとも2つのデバイス領域を含む、請求項1に記載のハイブリッド基板。
  14. 前記表面が(100)結晶学的方向および(110)結晶学的方向を含む、請求項1に記載のハイブリッド基板。
  15. 前記(100)結晶学的方向の前記表面がn型のプレーナまたは複数ゲートMOSFETデバイスを備え、前記(110)結晶学的方向の前記表面がp型のプレーナまたは複数ゲートMOSFETデバイスを備える、請求項14に記載のハイブリッド基板。
  16. 絶縁層で分離された、第1のウェハ・フラットを備えた第1の結晶学的方向の第1の半導体層と、第2のウェハ・フラットを備えた第2の結晶学的方向の第2の半導体層と、を備える構造を形成するステップであって、前記第1の結晶学的方向は前記第2の結晶学的方向とは異なり、第2の半導体層は前記第1の半導体層の上に位置し、それぞれの半導体層上のウェハ・フラットは表面と同じ結晶学的方向であり、前記第1の半導体層および前記第2の半導体層は、前記第2の半導体層が適切な回転となるようにそれぞれ互いに位置合わせされた、ステップと、
    前記構造の保護されていない第2の部分を残しながら、第1のデバイス領域を画定するために前記構造の第1の部分を保護するステップであって、前記構造の保護されていない部分が第2のデバイス領域を画定する、ステップと、
    前記第1の半導体層の表面を露光させるために、前記構造の保護されていない部分をエッチングするステップと、
    前記第1の半導体層の前記露光面上に、前記第1の結晶学的方向と同じ結晶学的方向を有する半導体材料を再成長させるステップと、
    前記第2の半導体層の上面が前記半導体材料の上面とほぼ平面となるように、前記半導体材料を含む前記構造を平坦化するステップと、
    によって提供され、それにより、前記第2の半導体層および前記再成長半導体層の双方の上に存在する種々のデバイスの全てのチャネルおよびゲートが同じ方向に配向される、ハイブリッド基板を形成する方法。
  17. 前記構造を形成するステップが層転写プロセスを含む、請求項16に記載の方法。
  18. 前記層転写プロセスが、2つのウェハそれぞれを密接に接触させるステップと、接触したウェハを加熱するステップとを含む、請求項17に記載の方法。
  19. 前記加熱ステップが不活性ガス環境で実行される、請求項18に記載の方法。
  20. 前記加熱ステップが200°から1050°Cで、2から20時間の期間実行される、請求項18に記載の方法。
  21. 前記保護ステップに先立って、構造の上にパッド・スタックが形成される、請求項16に記載の方法。
  22. 前記保護ステップがリソグラフィおよびエッチングを含む、請求項16に記載の方法。
  23. 前記再成長ステップが選択的エピタキシャル成長方法を含む、請求項16に記載の方法。
  24. 前記構造の前記保護されていない部分の前記エッチング時に形成された開口部内の側壁上に、ライナまたはスペーサを形成するステップをさらに含む、請求項16に記載の方法。
  25. 前記平坦化ステップが化学機械研磨または研削を含む、請求項16に記載の方法。
  26. 前記第2の半導体層および前記再成長半導体材料上に少なくとも1つのプレーナまたは複数ゲートMOSFETを形成するステップをさらに含む、請求項16に記載の方法。
  27. n型MOSFETは(100)結晶方向を有する表面上に形成され、p型MOSFETは(110)結晶学的方向を有する表面上に形成される、請求項26に記載の方法。
JP2007518159A 2004-06-21 2005-06-20 高移動度プレーナおよび複数ゲートのmosfetのためのハイブリッド基板、基板構造およびその基板を形成する方法 Expired - Fee Related JP5367264B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/872,605 2004-06-21
US10/872,605 US7291886B2 (en) 2004-06-21 2004-06-21 Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
PCT/US2005/021674 WO2005124871A2 (en) 2004-06-21 2005-06-20 Hybrid substrate technology for high-mobility planar and multiple-gate mosfets

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012287956A Division JP2013084982A (ja) 2004-06-21 2012-12-28 高移動度プレーナおよび複数ゲートのmosfetのためのハイブリッド基板、基板構造およびその基板を形成する方法

Publications (3)

Publication Number Publication Date
JP2008513973A JP2008513973A (ja) 2008-05-01
JP2008513973A5 JP2008513973A5 (ja) 2008-06-19
JP5367264B2 true JP5367264B2 (ja) 2013-12-11

Family

ID=35479778

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007518159A Expired - Fee Related JP5367264B2 (ja) 2004-06-21 2005-06-20 高移動度プレーナおよび複数ゲートのmosfetのためのハイブリッド基板、基板構造およびその基板を形成する方法
JP2012287956A Pending JP2013084982A (ja) 2004-06-21 2012-12-28 高移動度プレーナおよび複数ゲートのmosfetのためのハイブリッド基板、基板構造およびその基板を形成する方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012287956A Pending JP2013084982A (ja) 2004-06-21 2012-12-28 高移動度プレーナおよび複数ゲートのmosfetのためのハイブリッド基板、基板構造およびその基板を形成する方法

Country Status (7)

Country Link
US (2) US7291886B2 (ja)
EP (1) EP1779436A4 (ja)
JP (2) JP5367264B2 (ja)
KR (1) KR100962947B1 (ja)
CN (1) CN101310386B (ja)
TW (1) TW200625630A (ja)
WO (1) WO2005124871A2 (ja)

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7329923B2 (en) * 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7049662B2 (en) * 2003-11-26 2006-05-23 International Business Machines Corporation Structure and method to fabricate FinFET devices
KR100585131B1 (ko) * 2004-02-20 2006-06-01 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
JP2008501694A (ja) * 2004-06-03 2008-01-24 アイシス ファーマシューティカルズ、インク. 遺伝子調節の使用のために個別に修飾された鎖を有する二本鎖組成物
US7291886B2 (en) * 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US7042009B2 (en) * 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7253034B2 (en) * 2004-07-29 2007-08-07 International Business Machines Corporation Dual SIMOX hybrid orientation technology (HOT) substrates
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
KR101090253B1 (ko) * 2004-10-06 2011-12-06 삼성전자주식회사 박막 트랜지스터 표시판 및 이를 포함하는 액정 표시 장치
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7235433B2 (en) 2004-11-01 2007-06-26 Advanced Micro Devices, Inc. Silicon-on-insulator semiconductor device with silicon layers having different crystal orientations and method of forming the silicon-on-insulator semiconductor device
US7141457B2 (en) * 2004-11-18 2006-11-28 International Business Machines Corporation Method to form Si-containing SOI and underlying substrate with different orientations
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7348610B2 (en) * 2005-02-24 2008-03-25 International Business Machines Corporation Multiple layer and crystal plane orientation semiconductor substrate
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US20070010070A1 (en) * 2005-07-05 2007-01-11 International Business Machines Corporation Fabrication of strained semiconductor-on-insulator (ssoi) structures by using strained insulating layers
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7524707B2 (en) * 2005-08-23 2009-04-28 Freescale Semiconductor, Inc. Modified hybrid orientation technology
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7456058B1 (en) * 2005-09-21 2008-11-25 Advanced Micro Devices, Inc. Stressed MOS device and methods for its fabrication
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7575975B2 (en) * 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
US7615806B2 (en) 2005-10-31 2009-11-10 Freescale Semiconductor, Inc. Method for forming a semiconductor structure and structure thereof
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7573104B2 (en) * 2006-03-06 2009-08-11 International Business Machines Corporation CMOS device on hybrid orientation substrate comprising equal mobility for perpendicular devices of each type
JP2007288142A (ja) * 2006-03-24 2007-11-01 Sanyo Electric Co Ltd 半導体装置
US7566949B2 (en) * 2006-04-28 2009-07-28 International Business Machines Corporation High performance 3D FET structures, and methods for forming the same using preferential crystallographic etching
JP2007329295A (ja) * 2006-06-08 2007-12-20 Hitachi Ltd 半導体及びその製造方法
US7893493B2 (en) * 2006-07-10 2011-02-22 International Business Machines Corproation Stacking fault reduction in epitaxially grown silicon
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7595232B2 (en) * 2006-09-07 2009-09-29 International Business Machines Corporation CMOS devices incorporating hybrid orientation technology (HOT) with embedded connectors
US7569857B2 (en) * 2006-09-29 2009-08-04 Intel Corporation Dual crystal orientation circuit devices on the same substrate
US7482209B2 (en) * 2006-11-13 2009-01-27 International Business Machines Corporation Hybrid orientation substrate and method for fabrication of thereof
US20080128797A1 (en) * 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US20080169535A1 (en) * 2007-01-12 2008-07-17 International Business Machines Corporation Sub-lithographic faceting for mosfet performance enhancement
US7750406B2 (en) * 2007-04-20 2010-07-06 International Business Machines Corporation Design structure incorporating a hybrid substrate
US7651902B2 (en) * 2007-04-20 2010-01-26 International Business Machines Corporation Hybrid substrates and methods for forming such hybrid substrates
US8389099B1 (en) 2007-06-01 2013-03-05 Rubicon Technology, Inc. Asymmetrical wafer configurations and method for creating the same
US7776679B2 (en) * 2007-07-20 2010-08-17 Stmicroelectronics Crolles 2 Sas Method for forming silicon wells of different crystallographic orientations
JP2009054705A (ja) 2007-08-24 2009-03-12 Toshiba Corp 半導体基板、半導体装置およびその製造方法
US20090057816A1 (en) * 2007-08-29 2009-03-05 Angelo Pinto Method to reduce residual sti corner defects generated during spe in the fabrication of nano-scale cmos transistors using dsb substrate and hot technology
EP2073267A1 (en) * 2007-12-19 2009-06-24 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) Method of fabricating multi-gate semiconductor devices and devices obtained
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8241970B2 (en) 2008-08-25 2012-08-14 International Business Machines Corporation CMOS with channel P-FinFET and channel N-FinFET having different crystalline orientations and parallel fins
FR2935539B1 (fr) * 2008-08-26 2010-12-10 Commissariat Energie Atomique Circuit cmos tridimensionnel sur deux substrats desalignes et procede de realisation
JP2010266490A (ja) * 2009-05-12 2010-11-25 Sony Corp 表示装置
US8138543B2 (en) 2009-11-18 2012-03-20 International Business Machines Corporation Hybrid FinFET/planar SOI FETs
US8125007B2 (en) * 2009-11-20 2012-02-28 International Business Machines Corporation Integrated circuit including FinFET RF switch angled relative to planar MOSFET and related design structure
US8940589B2 (en) 2010-04-05 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Well implant through dummy gate oxide in gate-last process
CN102543744B (zh) * 2010-12-29 2014-12-24 中芯国际集成电路制造(北京)有限公司 晶体管及其制作方法
US20130175618A1 (en) 2012-01-05 2013-07-11 International Business Machines Corporation Finfet device
US9190346B2 (en) 2012-08-31 2015-11-17 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9817928B2 (en) 2012-08-31 2017-11-14 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9379018B2 (en) 2012-12-17 2016-06-28 Synopsys, Inc. Increasing Ion/Ioff ratio in FinFETs and nano-wires
US8847324B2 (en) 2012-12-17 2014-09-30 Synopsys, Inc. Increasing ION /IOFF ratio in FinFETs and nano-wires
US8785284B1 (en) 2013-02-20 2014-07-22 International Business Machines Corporation FinFETs and fin isolation structures
US9525053B2 (en) 2013-11-01 2016-12-20 Samsung Electronics Co., Ltd. Integrated circuit devices including strained channel regions and methods of forming the same
US9129863B2 (en) 2014-02-11 2015-09-08 International Business Machines Corporation Method to form dual channel group III-V and Si/Ge FINFET CMOS
US9123585B1 (en) 2014-02-11 2015-09-01 International Business Machines Corporation Method to form group III-V and Si/Ge FINFET on insulator
US9263586B2 (en) 2014-06-06 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum well fin-like field effect transistor (QWFinFET) having a two-section combo QW structure
US20170323955A1 (en) * 2014-12-23 2017-11-09 Intel Corporation Apparatus and methods of forming fin structures with sidewall liner
CN107735864B (zh) 2015-06-08 2021-08-31 美商新思科技有限公司 衬底和具有3d几何图形上的2d材料沟道的晶体管
US10177046B2 (en) 2017-02-17 2019-01-08 International Business Machines Corporation Vertical FET with different channel orientations for NFET and PFET
US10269803B2 (en) 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
US10796969B2 (en) * 2018-09-07 2020-10-06 Kla-Tencor Corporation System and method for fabricating semiconductor wafer features having controlled dimensions
US10879311B2 (en) 2019-02-08 2020-12-29 International Business Machines Corporation Vertical transport Fin field effect transistors combined with resistive memory structures
KR20220058042A (ko) 2020-10-30 2022-05-09 삼성전자주식회사 반도체 웨이퍼 및 그 제조 방법

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03285351A (ja) * 1990-04-02 1991-12-16 Oki Electric Ind Co Ltd Cmis型半導体装置およびその製造方法
JPH04372166A (ja) * 1991-06-21 1992-12-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH0590117A (ja) * 1991-09-27 1993-04-09 Toshiba Corp 単結晶薄膜半導体装置
JP3017860B2 (ja) * 1991-10-01 2000-03-13 株式会社東芝 半導体基体およびその製造方法とその半導体基体を用いた半導体装置
US5399507A (en) * 1994-06-27 1995-03-21 Motorola, Inc. Fabrication of mixed thin-film and bulk semiconductor substrate for integrated circuit applications
FR2785087B1 (fr) * 1998-10-23 2003-01-03 St Microelectronics Sa Procede de formation dans une plaquette de silicium d'un caisson isole
US6180486B1 (en) * 1999-02-16 2001-01-30 International Business Machines Corporation Process of fabricating planar and densely patterned silicon-on-insulator structure
JP2002134374A (ja) * 2000-10-25 2002-05-10 Mitsubishi Electric Corp 半導体ウェハ、その製造方法およびその製造装置
US6967351B2 (en) * 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
JP4265882B2 (ja) * 2001-12-13 2009-05-20 忠弘 大見 相補型mis装置
JP4294935B2 (ja) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US7329923B2 (en) 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US6911383B2 (en) * 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
US7023055B2 (en) * 2003-10-29 2006-04-04 International Business Machines Corporation CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US20050116290A1 (en) * 2003-12-02 2005-06-02 De Souza Joel P. Planar substrate with selected semiconductor crystal orientations formed by localized amorphization and recrystallization of stacked template layers
US7087965B2 (en) * 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
US7208815B2 (en) * 2004-05-28 2007-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS logic gate fabricated on hybrid crystal orientations and method of forming thereof
US7291886B2 (en) * 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US20070040235A1 (en) * 2005-08-19 2007-02-22 International Business Machines Corporation Dual trench isolation for CMOS with hybrid orientations

Also Published As

Publication number Publication date
EP1779436A2 (en) 2007-05-02
US20080020521A1 (en) 2008-01-24
US7291886B2 (en) 2007-11-06
JP2008513973A (ja) 2008-05-01
JP2013084982A (ja) 2013-05-09
WO2005124871A3 (en) 2008-07-17
WO2005124871A2 (en) 2005-12-29
CN101310386B (zh) 2013-03-06
KR20070020288A (ko) 2007-02-20
US7485506B2 (en) 2009-02-03
TW200625630A (en) 2006-07-16
KR100962947B1 (ko) 2010-06-09
CN101310386A (zh) 2008-11-19
EP1779436A4 (en) 2009-05-13
US20050280121A1 (en) 2005-12-22

Similar Documents

Publication Publication Date Title
JP5367264B2 (ja) 高移動度プレーナおよび複数ゲートのmosfetのためのハイブリッド基板、基板構造およびその基板を形成する方法
US6998684B2 (en) High mobility plane CMOS SOI
US7498216B2 (en) Method of forming high-performance CMOS SOI devices on hybrid crystal-oriented substrates
US7268377B2 (en) Structure and method of fabricating a hybrid substrate for high-performance hybrid-orientation silicon-on-insulator CMOS devices
US6911383B2 (en) Hybrid planar and finFET CMOS devices
US7388278B2 (en) High performance field effect transistors on SOI substrate with stress-inducing material as buried insulator and methods
US7687365B2 (en) CMOS structure for body ties in ultra-thin SOI (UTSOI) substrates
US7253034B2 (en) Dual SIMOX hybrid orientation technology (HOT) substrates
US7691482B2 (en) Structure for planar SOI substrate with multiple orientations
JP2008536335A (ja) 適応ウェル・バイアシング、並びにパワー及び性能強化のためのハイブリッド結晶配向cmos構造体
KR20050015995A (ko) 웨이퍼 본딩 공정과 simox 공정을 이용하여 다른결정 방향을 갖는 자기 정렬된 soi
JP2006527915A (ja) ハイブリッド結晶配向基板上の高性能cmossoiデバイス
JP2009526390A (ja) ハイブリッド・チャネル配向を伴うcmos素子およびその製造方法
JP4632046B2 (ja) 高移動度シリコンチャネルを有する縦型misfet半導体装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080428

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080428

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111206

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20111214

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20111214

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120305

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120831

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121228

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130401

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130625

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130829

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130911

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees