JP2008536335A - 適応ウェル・バイアシング、並びにパワー及び性能強化のためのハイブリッド結晶配向cmos構造体 - Google Patents

適応ウェル・バイアシング、並びにパワー及び性能強化のためのハイブリッド結晶配向cmos構造体 Download PDF

Info

Publication number
JP2008536335A
JP2008536335A JP2008506492A JP2008506492A JP2008536335A JP 2008536335 A JP2008536335 A JP 2008536335A JP 2008506492 A JP2008506492 A JP 2008506492A JP 2008506492 A JP2008506492 A JP 2008506492A JP 2008536335 A JP2008536335 A JP 2008536335A
Authority
JP
Japan
Prior art keywords
region
bulk
soi
semiconductor
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008506492A
Other languages
English (en)
Other versions
JP2008536335A5 (ja
Inventor
バーンスタイン、ケリー
スライト、ジェフリー、ダブリュー
ヤン・ミン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008536335A publication Critical patent/JP2008536335A/ja
Publication of JP2008536335A5 publication Critical patent/JP2008536335A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Element Separation (AREA)

Abstract

【課題】 パワー及び性能が強化された構造体を提供するために、HOT基板及び適応ウェル・バイアシングの両方が実装された、集積半導体デバイスを提供すること。
【解決手段】 本発明は、同じ結晶配向又は異なる結晶配向を有するSOI領域及びバルク−Si領域を含む基板と、バルク−Si領域からSOI領域を分離する分離領域と、SOI領域に配置された少なくとも1つの第1デバイス及びバルク−Si領域内に配置された少なくとも1つの第2デバイスとを含む、半導体構造体を提供する。SOI領域は、絶縁層の上にシリコン層を有する。バルク−Si領域はさらに、第2デバイスの下にあるウェル領域と、浮遊体効果を安定化させる、ウェル領域へのコンタクトとを含む。ウェル・コンタクトはまた、バルク−Si領域内のFETの閾値電圧を制御して、SOI領域のFET及びバルク−Si領域のFETの組み合わせから構築された回路のパワー及び性能を最適化するためにも用いられる。
【選択図】 図6

Description

本発明は、半導体デバイスに関し、より特定的には、同じ結晶配向又は異なる結晶配向のいずれかをもつ薄いシリコン・オン・インシュレータ(silicon-on-insulator、SOI)及びバルク−Si部分を有する基板の上に形成された、相補型金属酸化膜半導体(complementarymetal oxide semiconductor、CMOS)デバイスのような集積半導体デバイスに関する。特に、本発明は、(100)、(110)、又は(111)結晶面の表面のいずれかを有する半導体基板のSOI領域及びバルク−Si領域上に、nFET及びpFETデバイスを形成する。基板のバルク−Si領域は、一般に、SOI基板を用いて形成されたデバイス内に存在する浮遊体効果が実質的にないデバイスを提供するように処理される。さらに、バルク領域においては、回路パワー及び性能を改善するために、ウェル・コンタクトを用いて、バルクnFET及びpFETデバイスの閾値電圧(Vt)を制御することができる。
シリコン・オン・インシュレータ(SOI)デバイスは、より従来型の半導体デバイスに優る幾つかの利点を提供する。例えば、SOIデバイスは、同様のタスクを実行する他のタイプのデバイスより低い電力消費要件を有することがある。SOIデバイスはまた、非SOIデバイスより低い寄生容量を有することもある。このことは、結果として得られる回路についてのより速いスイッチング時間につながる。さらに、回路デバイスがSOI製造プロセスを用いて製造されるときに、相補型金属酸化膜半導体(CMOS)デバイスによって示されることが多い「ラッチアップ」現象を回避することができる。SOIデバイスはまた、イオン化放射の悪影響を受けにくく、それゆえ、イオン化放射が動作エラーを引き起こし得る用途において信頼性がより高くなる傾向がある。
CMOS技術が90nmノードまで及びこれを超えて縮小されるため、チップのパワー及び性能の最適化は、ますます困難になってきている。従来のバルクCMOSにおいて採用されている1つの技術は、適応ウェル・バイアシングである。適応ウェル・バイアシング技術は、例えば、非特許文献1に開示されている。この技術は、チップごとにパワー及び性能を最大化するために、nFETウェル又はボディ(p−ウェル)ノード、pFETウェル又はボディ(n−ウェル)ノード及び電力供給(Vdd)ノードにかかる最適なバイアスを変え、選択することを含む。SOI CMOSにおいては、ウェル・ノード(ボディ)が浮遊しているため、この技術は、利用できない。主に、SOI CMOSにおいて、ボディ連結構造を用いて、浮遊体ノードへのコンタクトを付加することができる。しかしながら、ボディ連結構造を用いることにより、寄生抵抗及び寄生容量がもたらされ、それにより、適応ウェル・バイアシングの好ましい影響が打ち消される。
最近の技術革新であるハイブリッド配向CMOS技術(hybrid orientation CMOS technology、HOT)は、SOI nFET及びpFET、並びに、従来のバルクnFET及びpFETの両方を用いる。HOT技術は、例えば、非特許文献2、及び「High−Performance CMOS SOI Devices on Hybrid Crystal Oriented Substrates」という名称の、2003年6月17日に出願された特許文献1に記載されている。さらに、nFET及びpFETデバイスのために、同じ結晶配向又は異なる結晶配向を用いることができる。異なる結晶配向の使用により、nFET(シリコンにおいて、(100)配向における最も高い移動度及び性能を有する)及びpFET(シリコンにおいて、(110)配向における最も高い移動度及び性能を有する)の性能を別個に最適化することが可能になる。さらに、(110)結晶面の上に形成されたnFETデバイスは、減少したキャリア移動度及びスイッチング速度を有することが、当技術分野の中で知られている。
米国特許出願番号第10/250,241号明細書 J.Tschanz他著、J.Solid State Circuits、2002年、p.1396 M.Yang他著、IEDM2003、p.453
したがって、パワー及び性能が強化された構造体を提供するために、HOT基板及び適応ウェル・バイアシングの両方が実装された、集積半導体デバイスを提供する必要性がある。
本発明は、浮遊体効果を実質的に排除し、適応ウェル・バイアシングを用いるための手段を提供し、これによりウェル端子に適用されるバイアスを用いてバルク−Si領域のFETの閾値電圧を制御するための手段が提供される、高度にドープされたウェル・ボディ・コンタクトを有するバルク−Si領域内のFETと組み合わせて、電荷キャリアが部分的に又は完全に空乏化され得るデバイス・チャネルを有するSOI基板領域上に電界効果トランジスタ(FET)を組み込むことを含む、半導体構造体を提供するものである。
具体的には、本発明は、1つのデバイスのタイプについて、高度にドープされたウェルが生成され接触される、非特許文献2に開示されたHOT構造体の修正と組み合わされるものである。このことは、従来のバルクCMOS領域内に配置されたデバイスに対して適応ウェル・バイアシング技術を実施するためにバイアスを適用するための手段を提供する。さらに、ウェルは単極であるため、適応ウェル・バイアシングを実施するために、ウェル間の漏れ又は容量の不利益が存在せず、そのことが適応ウェル・バイアシングについての、従来のバルクCMOSスキームに優る大きな利点である。
大まかに言うと、本発明は、
同じ結晶配向又は異なる結晶配向を有するSOI領域及びバルク−Si領域を含む基板と、
バルク−Si領域からSOI領域を分離する分離領域と、
SOI領域内に配置された少なくとも1つの第1デバイス及びバルク−Si領域内に配置された少なくとも1つの第2デバイスと、
少なくとも1つの第2デバイスの下にあるウェル領域、及びウェル領域へのコンタクトであって、このコンタクトは浮遊体効果を安定化させ、バイアス電圧の印加によりバルク−Si領域内に配置された電界効果トランジスタ(FET)の閾値電圧を調整するための手段を提供する、コンタクトと
を含む半導体構造体を提供するものである。
本発明によれば、基板のSOI領域は、デバイスに順方向のバイアスが適用されたとき、電荷キャリアが完全に又は部分的に空乏化され得る厚さを有するSOI層を含む。SOI領域は、少なくとも1つのnFETデバイス、少なくとも1つのpFETデバイス、又はこれらの組み合わせを含むことができる。バルク−Si領域は、少なくとも1つのnFET、pFET、レジスタ、キャパシタ、ダイオード、又はこれらの組み合わせを含むことができる。
上記の構造体は、ウェハ接合、マスキング、エッチング、及び半導体層の再成長を含む方法を用いることによって提供することができる。具体的には、本発明の方法は、絶縁層によって分離された、同じ結晶配向又は異なる結晶配向を有する第1半導体層及び第2半導体層を含む基板を準備するステップと、基板の一部を保護してSOI領域を定め、基板の別の部分を保護されないままに残し、基板の保護されない部分がバルク−Si領域を定めるようにするステップと、基板の保護されない部分をエッチングして、第2半導体層の表面を露出させるステップと、第2半導体層の露出された表面上に、同じ結晶配向を有する半導体材料を再成長させるステップと、半導体材料を含む基板を平坦化して、第1半導体層の上面が半導体材料の上面と実質的に平坦になるようにするステップと、SOI領域内に少なくとも1つの第1デバイスを形成し、バルク−Si領域の半導体材料上に少なくとも1つの第2デバイスを形成するステップと、を含む。
本発明によれば、バルク−Si領域に第1の型のドーパントを注入してウェル領域を提供し、バルク−Si領域の表面の上に少なくとも1つのゲート領域を形成し、第2の型のドーパントを用いて少なくとも1つのゲート領域に隣接したソース及びドレイン領域を形成し、ウェル領域へのコンタクトを形成することであって、コンタクトは浮遊体効果を安定化させ、バルク−Si領域内のデバイスの閾値電圧を調整するために用い得るウェル・コンタクトを提供する、ことによって、バルク−Si領域内に第2デバイスを形成することができる。ウェル領域へのコンタクトを形成することは、バルク−Si領域の表面の一部をエッチングして、ウェル領域へのビアを提供することと、ウェル領域へのビアを導電性材料で充填することと、を含む。
ここで、適応ウェル・バイアシング及びパワー/性能強化のためのハイブリッド結晶配向CMOS構造体を提供する本発明が、以下の説明、並びに本出願に添付された図面を参照することによってより詳細に説明される。添付の図面においては、同じ及び対応する要素は、同じ参照番号で示される。本出願の図面は、説明のために与えられるものであり、縮尺通りに描かれていないことに留意されたい。
図1は、本発明において用いることができる基板10、すなわちハイブリッド基板を示す。示されるように、基板10は、表面誘電体層18と、第1半導体層16と、絶縁層14と、第2半導体層12とを含む。
基板10の表面誘電体層18は、酸化物、窒化物、酸窒化物、或いは接合前に最初のウェハの1つに存在する、或いは、熱プロセス(すなわち、酸化、窒化、又は酸窒化)又は堆積のいずれかによってウェハの接合後に第1半導体層16の上に形成される、他の絶縁層である。表面誘電体層18の起源に関係なく、表面誘電体層18は、約3nmから約500nmまでの厚さを有し、約5nmから約20nmまでの厚さがより典型的である。
第1半導体層16は、例えば、Si、SiC、SiGe、SiGeC、Ge合金、GaAs、InAs、InP、及び他のIII−V族又はII−VI族化合物半導体を含むいずれかの半導体材料からなる。第1半導体層16はまた、予め形成されたSOI基板のSOI層、又は例えばSi/SiGeのような層状半導体を含むこともできる。本発明の1つの好ましい実施形態においては、第1半導体層16は、Si含有半導体材料である。第1半導体層16は、第2半導体層12と同じ結晶配向又は異なる結晶配向を有し、好ましくは(100)結晶面にある。(100)結晶配向が好ましいが、第1の半導体層16が、(110)結晶面上にnFETデバイスを提供するように後で処理されるSi含有材料でない限り、第1半導体層16は、(111)結晶面、(110)結晶面、又は他の結晶面を有してもよい。
第1半導体層16の厚さは、基板10を形成するのに用いられる最初の開始ウェハに応じて変化し得る。しかしながら、典型的には、第1半導体層16は、約5nmから約100nmまでの初期厚さを有し、これは次に、40nm未満の厚さまで薄層化される。第1半導体層16の薄層化は、平坦化、研削、湿式エッチング、乾式エッチング、又はこれらのいずれかの組み合わせによって行われる。好ましい実施形態においては、第1半導体層16は、酸化及び湿式エッチングによって薄層化されて所望の厚さを達成し、本発明のために薄いシリコン・オン・インシュレータ基板の上部Si含有層を提供する。
第1半導体層16と第2半導体層12との間に配置された絶縁層14は、基板10を形成するのに用いられる最初のウェハに応じて可変の厚さを有する。しかしながら、典型的には、絶縁層14は、約1nmから約500nmまでの厚さを有し、約1nmから約100nmまでの厚さがより典型的である。絶縁層14は、接合する前にウェハの一方又は両方の上に形成された酸化物又は他の同様の絶縁体材料である。
第2半導体層12は、第1半導体層16のものと同じものであっても又は異なるものであってもよい、いずれかの半導体材料からなる。したがって、第2半導体層12は、例えば、Si、SiC、SiGe、SiGeC、Ge合金、GaAs、InAs、InP、及び、他のIII−V族又はII−VI族化合物半導体を含むことができる。第2半導体層12はまた、予め形成されたSOI基板のSOI層か、又は、例えばSi/SiGeのような層状半導体を含むこともできる。本発明の非常に好ましい実施形態においては、第2半導体層12は、Si含有半導体材料からなる。第2半導体層12は、第1半導体層16と同じ結晶配向又は異なる結晶配向を有し、好ましくは(100)結晶面にある。(100)結晶配向が好ましいが、第2半導体層12は、これが(110)結晶面上にnFETデバイスを提供するように後に処理されるSi含有材料でない限り、(111)結晶面、(110)結晶面、又は他の結晶面を有してもよい。
第2半導体層12の厚さは、基板10を形成するのに用いられる最初の開始ウェハに応じて変化し得る。しかしながら、典型的には、第2半導体層12は、約5nmから約200nmまでの厚さを有し、約5nmから約100nmまでの厚さがより典型的である。
図1に示される基板10は、互いに接合された2つの半導体ウェハからなる。基板10を製造するのに用いられる2つのウェハは、1と示されたウェハの一方が第1半導体層16を含み、2と示された他方のウェハが第2半導体層12を含む2つのSOIウェハ(図7を参照されたい)、SOIウェハ(2と示される)とバルク半導体ウェハ(1と示される、図8を参照されたい)、又はSOIウェハ(2と示される)と、接合中にウェハの少なくとも1つの一部を分割するのに用いることができる、H注入領域のようなイオン注入領域11を含むバルク・ウェハ(1と示される)(図9を参照されたい)を含むことができる。
接合は、最初に2つのウェハを互いに緊密に接触させ、接触させられたウェハに随意的に外力をかけ、次いで、2つのウェハを互いに接合することができる条件の下で2つの接触させられたウェハを加熱することによって達成される。加熱ステップは、外力の存在の下で、又は外力なしで行うことができる。加熱ステップは、典型的には、不活性雰囲気において約200℃から約1050℃までの温度で約2時間から約20時間にわたって行われる。より典型的には、接合は、約200℃から約400℃までの温度で約2時間から約20時間にわたって行われる。「不活性雰囲気」という用語は、本発明においては、He、Ar、N、Xe、Kr、又はこれらの混合物といった不活性ガスが用いられる雰囲気を示すのに用いられる。接合プロセスの間に用いられる好ましい雰囲気は、Nである。
2つのSOIウェハが用いられる実施形態においては、SOIウェハの少なくとも1つの幾つかの材料層は、化学機械研磨(CMP)又は研削、及びエッチングのような平坦化プロセスを用いて、接合後に除去することができる。平坦化プロセスは、表面誘電体層18に到達したときに止まる。
ウェハの1つがイオン注入領域を含む実施形態においては、イオン注入領域は、接合の間に多孔性領域を形成し、それにより、イオン注入領域の上のウェハの一部が取り除かれて、例えば、図1に示されるような接合されたウェハが残る。注入領域は、典型的には、当業者には周知のイオン注入条件を用いてウェハの表面に注入されたHイオンからなる。
接合されるウェハが内部に誘電体層を含まない実施形態においては、表面誘電体層18は、酸化のような熱プロセスによって、又は化学気相堆積(CVD)、プラズマ強化CVD、原子層堆積、化学溶液堆積及び他の同様の堆積プロセスのような従来の堆積プロセスによって、接合されたウェハの上に形成することができる。
ここで図2を参照すると、次に、マスク20が、基板10の一部を保護し、基板10の別の部分は保護されないまま残るように、図1の基板10の所定部分上に形成される。基板10の保護された部分が基板のSOI領域22を定め、一方、基板10の保護されない部分がバルク−Si領域24を定める。1つの実施形態においては、マスク20は、基板10の全表面にフォトレジスト・マスクを適用することによって、表面誘電体層18の所定部分上に形成される。フォトレジスト・マスクを適用した後に、フォトレジストを放射線パターンに露光し、レジスト現像液を用いてパターンを現像するステップを含むリソグラフィによって、マスクがパターン形成される。基板10の所定部分上に形成されたマスク20を含む結果として得られる構造体は、例えば、図2に示される。
別の実施形態においては、マスク20は、リソグラフィ及びエッチングを用いて形成され、パターン形成された窒化物又は酸窒化物層である。窒化物又は酸窒化物マスク20は、基板10のバルク−Si領域24を定めた後に除去することができる。
基板10の上にマスク20を形成した後、その構造体に1又は複数のエッチング・ステップを行って、第2半導体層12の表面が露出されるようにする。具体的には、本発明のこの時点で用いられる1又は複数のエッチング・ステップは、表面誘電体層18の保護されない部分と共に、その下にある第1半導体層16の部分と、第2半導体層12から第1半導体層16を分離する絶縁層14の一部とを除去する。エッチングは、単一のエッチング・プロセスを用いて行うことができ、又は多数のエッチング・ステップを用いることができる。本発明のこの時点で用いられるエッチングは、反応性イオン・エッチング、イオン・ビーム・エッチング、プラズマ・エッチング、又はレーザ・エッチングのような乾式エッチング・プロセス、化学エッチャントが用いられる湿式エッチング・プロセス、或いはこれらのいずれかの組み合わせを含むことができる。本発明の好ましい実施形態においては、表面誘電体層18の保護されない部分、第1半導体層16及びバルク−Si領域24内の絶縁層14を選択的に除去する際に反応性イオン・エッチング(RIE)が用いられる。エッチング・プロセスが行われた後に結果として得られる構造体は、例えば、図3に示される。保護されたSOI領域22、すなわち表面誘電体層18、第1半導体層16及び絶縁層14の側壁は、このエッチング・ステップの後に露出されることに留意されたい。示されるように、層18、16及び14の露出された側壁は、マスク20の最外縁と位置合わせされる。
次に、マスク20が、従来のレジスト剥離プロセスを用いて図3に示される構造体から除去され、次いで、必ずしもとは限らないが典型的に、露出された側壁上にライナ又はスペーサ25が形成される。堆積及びエッチングによって、随意的なライナ又はスペーサ25が形成される。ライナ又はスペーサ25は、例えば酸化物のような絶縁材料からなる。
随意的なライナ又はスペーサ25を形成した後、露出された第2半導体層12上に半導体材料26が形成される。本発明によれば、半導体材料26は、第2半導体層12の結晶配向と同じ結晶配向を有する。結果として得られる構造体は、例えば、図4に示されている。
半導体材料26は、選択的エピタキシャル成長法を用いて形成することができる、Si、歪みSi、SiGe、SiC、SiGeC、又はこれらの組み合わせのようないずれかのSi含有半導体を含むことができる。幾つかの好ましい実施形態においては、半導体材料26は、Siからなる。本発明においては、半導体材料26は、再成長半導体材料26と呼ぶことができる。
次に、図4に示される構造体に、化学機械研磨(CMP)又は研削といった平坦化プロセスを行って、半導体材料26の上面が第1半導体層16の上面と実質的に平坦となるようにする。表面誘電体層18の既に保護された部分は、この平坦化プロセスの間に除去されることに留意されたい。
実質的に平坦な表面を与えた後に、典型的には、バルク−Si領域24からSOI領域22を分離するように、浅いトレンチ分離領域のような分離領域27が形成される。分離領域27は、例えば、トレンチを定めること及びエッチングすること、随意的にトレンチを拡散障壁でライニングすること、並びにトレンチを酸化物のようなトレンチ誘電体で充填することを含む、当業者には周知の処理ステップを用いて形成される。トレンチを充填した後、構造体を平坦化することができ、随意的な緻密化処理ステップを行ってトレンチ誘電体を緻密化することができる。
結果として得られる、分離領域27を含む実質的に平坦な構造体が、例えば、図5に示される。示されるように、図5の構造体は、SOI領域22内の露出された第1半導体層16と、バルク−Si領域24内の再成長半導体材料26とを含み、第1半導体層16及び半導体材料26は、同じ結晶配向又は異なる結晶配向を有する。1つの好ましい実施形態においては、層16及び層26は同じ結晶配向を有する。その実施形態においては、層16及び26が、(100)結晶面の表面を有することが非常に好ましい。
図6を参照すると、次の処理ステップにおいて、SOI領域22は、SOI MOSFETを提供するように処理され、バルク−Si領域24は、浮遊体効果を実質的に排除し、バルク−Si領域24におけるFETの閾値電圧を調整するための手段を与える、ボディ・コンタクトを有するデバイスを提供するように処理される。
SOI領域22及びバルク−Si領域24を処理する前に、基板10内にデバイス分離領域を形成することができる。デバイス分離領域26は、従来のブロック・マスクと共に、反応性イオン・エッチング(RIE)又はプラズマ・エッチングのような従来の乾式エッチング・プロセスを用いて、基板内にトレンチを選択的にエッチングすることによって設けることができる。デバイス分離領域26は、バルク−Si領域24及びSOI領域22内に分離を与えるものであり、SOI領域22からバルク−Si領域24を分離する分離領域27と類似している。代替的に、デバイス分離領域26は、シリコン局所酸化プロセスを用いて形成された電界分離領域とすることもできる。
SOI領域22及びバルク−Si領域24は、従来のブロック・マスク技術を用いて個別に処理することができる。ブロック・マスクは、従来のソフト及び/又はハードマスク材料を含むことができ、堆積、フォトリソグラフィ及びエッチングを用いて形成することができる。好ましい実施形態においては、ブロック・マスクは、フォトレジストを含む。フォトレジスト・ブロック・マスクは、ブランケット・フォトレジスト層を基板10の表面に適用し、フォトレジスト層を放射線パターンに露光させ、次に、通常のレジスト現像剤を用いてパターンをフォトレジスト層内に現像することによって形成することができる。
代替的に、ブロック・マスクは、ハードマスク材料とすることができる。ハードマスク材料は、化学気相堆積(CVD)及び関連する方法によって堆積させ得る誘電体を含む。典型的には、ハードマスク組成物は、酸化シリコン、炭化シリコン、窒化シリコン、炭窒化シリコン及び他の同様の材料を含む。スピン・オン誘電体はまた、これらに限られるものではないが、シルセスキオキサン、シロキサン及びホウリン酸シリケート・ガラス(BPSG)を含むハードマスク材料として用いることもできる。
p型又はn型ドーパントを基板10のバルク−Si領域24に選択的に注入することによって、バルク−Si領域24内にウェル領域37、38を形成することができ、ここで、基板10のSOI領域22は、上述のようにブロック・マスクによって保護することができる。図6に示される例においては、pFETバルク−Si領域35に注入が行われて、n型ウェル37を形成し、nFETバルク−Si領域36に注入が行われて、p型ウェル38を形成する。
SOI領域22内にSOI層を選択的に注入することもできる。図6で示される例においては、pFET SOI領域41に注入が行われて、n型チャネル領域を形成し、nFET SOI領域42に注入が行われて、p型チャネル領域を形成する。
次いで、最初に基板表面の上にゲート誘電体層をブランケット堆積させ、次にゲート誘電体層の上にゲート導体層を堆積させることによって、SOI領域22及びバルク−Si領域24内にゲート導体スタック28、29を形成することができる。ゲート誘電体層は、SiOなどのいずれかの通常のゲート誘電体材料、又はHfOなどのいずれかの高kゲート誘電体材料を含むことができる。ゲート導体層は、ドープされたポリシリコンなどのいずれかの導電性材料を含むことができる。図6に示されるように、次に、ゲート導体及びゲート誘電体層は、通常の堆積、フォトリソグラフィ及びエッチングを用いてエッチングされ、基板10のSOI領域22及びバルク−Si領域24内にゲート導体スタック28、29を形成する。代替的に、ブロック・マスクを用いて、SOI領域22内にゲート導体スタック28を、バルク−Si領域24内にゲート導体スタック29を、別個に形成することもできる。
図6に示される実施形態においては、次の一連の処理ステップの間、SOI MOSFETデバイスが、SOI領域22内に選択的に形成され、バルク−Si領域24が、ハード又はソフト・ブロック・マスクによって保護される。例えば、注入前に、パターン形成されたフォトレジストによって与えられるブロック・マスクを形成し、1つのドーパントの型でドープされるゲート導体及び/又はソース/ドレイン拡散領域40のためにSOI領域22内の基板領域を予め選択することができる。ブロック・マスクの適用及び注入手順を繰り返して、ゲート導体スタック28の選択された導電性材料、ソース/ドレイン拡散領域40、ソース/ドレイン拡張領域、或いは、n型ドーパント又はp型ドーパントのような異なるドーパントの型をもつハロ領域(図示せず)をドープすることができる。各々の注入の後に、通常のフォトレジスト剥離化学作用を用いて、ブロック・マスク・レジストを除去することができる。1つの好ましい実施形態においては、パターン・注入処理ステップを繰り返して、少なくとも1つのpFETデバイス41及び少なくとも1つのnFETデバイス42を提供することができ、pFETデバイス41及びnFETデバイス42は、分離領域26によって分離される。
注入する前に、ゲート導体スタック28に当接するスペーサ6が形成され、スペーサの幅は、p型ドーパント及びn型ドーパントの異なる拡散速度を補償するように調整することができる。さらに、SOI領域22内のpFET及びnFETデバイスを処理して、シリサイド領域、又は一般に極薄チャネルMOSFETにおいて用いられる他のいずれかの通常の構造体を与えることができる。SOI領域22内にデバイス41、42を形成した後、バルク−Si領域24からハードマスクを剥離することができ、次に、基板10のSOI領域22の上に別のハードマスクが形成され、露出されたバルク−Si領域24を残す。
SOI基板とは対照的に、次に、バルク−Si領域24は、バルク−Si基板における性能が増大したデバイスを提供するように処理することができる。例えば、バルク−Si領域24は、レジスタと、デカップリング・キャパシタ、平面型キャパシタ及びディープ・トレンチ・キャパシタを含むキャパシタと、ダイオードと、ダイナミック・ランダム・アクセス・メモリ(eDRAM)及び埋め込まれたダイナミック・ランダム・アクセス・メモリ(eDRAM)などのメモリ・デバイスといった、半導体製造において一般に共通するデバイスを提供するように処理することができる。好ましい実施形態においては、バルク−Si領域24は、ボディ・コンタクト50、51を含む。一例においては、図6に示されるように、バルク−Si領域24は、ボディ・コンタクト50、51を有するMOSFETを提供するように処理される。
図6に示される実施形態において、バルク−Si領域24が処理され、各々がボディ・コンタクト50、51を有する少なくとも1つのp型MOSFET35及び少なくとも1つのn型MOSFET36を提供し、p型MOSFET35は、デバイス分離領域26によってn型MOSFET36から分離される。SOI領域22内に形成されたデバイスと同様に、バルク−Si領域24は、パターン形成されたブロック・マスクを用いて、p型MOSFET35及びn型MOSFET36を提供するように選択的に注入することができる。
注入に続いて、次に、ボディ・コンタクト50、51が、基板10のバルク−Si領域24内の少なくとも1つのデバイスに形成される。バルク−Si領域24内の各々のMOSFETデバイス35、36へのボディ・コンタクト50、51は、デバイスのウェル領域に電気的に接触しており、分離領域26によってMOSFETのソース及びドレイン領域40から分離される。
ボディ・コンタクト50、51は、フォトリソグラフィ、エッチング及び堆積を用いて形成することができる。より具体的には、ボディ・コンタクト50、51は、バルク−Si領域24内の基板10の一部をパターン形成し、露出された表面をエッチングして、少なくとも1つのMOSFET35、36の少なくとも1つのウェル領域37、38までビア孔を形成することによって形成することができる。エッチング・プロセスは、反応性イオン・エッチングのような指向性エッチングとすることができる。ビアを形成した後、次に、CVD又はめっきなどの通常の処理を用いてビア孔内に導電性材料を堆積させることによって、ボディ・コンタクト50、51が形成される。ボディ・コンタクト50、51を形成するのに用いられる導電性材料は、ドープされたポリシリコン又は導電性金属とすることができる。導電性金属は、これらに限られるものではないが、タングステン、銅、アルミニウム、銀、金及びこれらの合金を含むことができる。好ましい実施形態においては、nFET SOIデバイス36へのボディ・コンタクト51は、p型にドープされたポリシリコンであり、pFET SOIデバイス35へのボディ・コンタクト50は、n型にドープされたポリシリコンである。
基板10のSOI領域22内に形成されたデバイス及びバルク−Si領域24内に形成されたデバイスは、両方とも同じ結晶配向を有する表面の上に形成されることに留意されたい。1つの好ましい実施形態においては、SOI領域22内のデバイス及びバルク−Si領域24内に形成されたデバイスは、両方とも(100)結晶面を有する表面上に形成される。別の好ましい実施形態においては、SOI領域22内のnFET及びpFETデバイスは、(100)結晶面を有する表面上に形成され、バルク−Si領域24内に形成されたpFETデバイスは、両方とも(110)結晶面を有する表面上に形成される。別の好ましい実施形態においては、SOI領域22内のpFETデバイスは、(110)結晶面を有する表面上に形成され、バルク−Si領域24内に形成されたnFET及びpFETデバイスは、両方とも(100)結晶面を有する表面上に形成される。
本発明は、特に本発明の好ましい実施形態に関して示され説明されたが、当業者であれば、形態及び詳細における上記の及び他の変化は、本発明の精神及び範囲から逸脱することなしになされ得ることを理解するであろう。したがって、本発明は、説明され図示された正確な形態及び詳細に限定されるのではなく、添付の特許請求の範囲内に包含されることが意図されている。
ボディ・コンタクトを有する高性能SOIチャネルMOSFET半導体デバイスを含むCMOSデバイスを形成するのに用いられる基本処理ステップを示す(断面図による)図形的表示である。 ボディ・コンタクトを有する高性能SOIチャネルMOSFET半導体デバイスを含むCMOSデバイスを形成するのに用いられる基本処理ステップを示す(断面図による)図形的表示である。 ボディ・コンタクトを有する高性能SOIチャネルMOSFET半導体デバイスを含むCMOSデバイスを形成するのに用いられる基本処理ステップを示す(断面図による)図形的表示である。 ボディ・コンタクトを有する高性能SOIチャネルMOSFET半導体デバイスを含むCMOSデバイスを形成するのに用いられる基本処理ステップを示す(断面図による)図形的表示である。 ボディ・コンタクトを有する高性能SOIチャネルMOSFET半導体デバイスを含むCMOSデバイスを形成するのに用いられる基本処理ステップを示す(断面図による)図形的表示である。 ボディ・コンタクトを有する高性能SOIチャネルMOSFET半導体デバイスを含むCMOSデバイスを形成するのに用いられる基本処理ステップを示す(断面図による)図形的表示である。 互いに接合し、図1−図6に説明される方法において用いることができる種々のウェハの図形的表示である。 互いに接合し、図1−図6に説明される方法において用いることができる種々のウェハの図形的表示である。 互いに接合し、図1−図6に説明される方法において用いることができる種々のウェハの図形的表示である。

Claims (25)

  1. 半導体構造体であって、
    同じ結晶配向又は異なる結晶配向を有するSOI領域及びバルク−Si領域を含む基板と、
    前記バルク−Si領域から前記SOI領域を分離する分離領域と、
    前記SOI領域内に配置された少なくとも1つの第1デバイス及び前記バルク−Si領域内に配置された少なくとも1つの第2デバイスと、
    前記少なくとも1つの第2デバイスの下にあるウェル領域、及び前記ウェル領域へのコンタクトであって、前記コンタクトは、浮遊体効果を安定化させ、バイアス電圧の印加により前記バルク−Si領域内の電界効果トランジスタ(FET)の閾値電圧を調整するための手段を提供する、コンタクトと
    を備える、半導体構造体。
  2. 前記SOI領域は、絶縁層の上にSOI層を含み、前記SOI層は、40nm未満の厚さを有する、請求項1に記載の半導体構造体。
  3. 前記SOI領域内に配置された前記少なくとも1つの第1デバイスは、少なくとも1つのnFETデバイス、少なくとも1つのpFETデバイス、又はこれらの組み合わせを含む、請求項1に記載の半導体構造体。
  4. 前記バルク−Si領域内に配置された前記少なくとも1つの第2デバイスは、少なくとも1つのnFET、pFET、レジスタ、キャパシタ、ダイオード、又はこれらの組み合わせを含む、請求項1に記載の半導体構造体。
  5. 前記同じ結晶配向は、(100)、(110)、又は(111)である、請求項1に記載の半導体構造体。
  6. 前記異なる結晶配向は、(100)、(110)、又は(111)を含む、請求項1に記載の半導体構造体。
  7. 前記バルク−Si層及び前記SOI層は、Si、歪みSi、SiGe、歪みSiGe、SiC、SiGeC及びこれらの組み合わせからなる群から選択される同じシリコン含有材料又は異なるシリコン含有材料を含む、請求項1に記載の半導体構造体。
  8. 半導体構造体であって、
    同じ結晶配向を有するSOI領域及びバルク−Si領域を含む基板と、
    前記バルク−Si領域から前記SOI領域を分離する分離領域と、
    前記SOI領域内に配置された少なくとも1つの第1デバイス及び前記バルク−Si領域内に配置された少なくとも1つの第2デバイスと、
    前記少なくとも1つの第2デバイスの下にあるウェル領域、及び前記ウェル領域へのコンタクトであって、前記コンタクトは、浮遊体効果を安定化させ、バイアス電圧の印加により前記バルク−Si領域内の電界効果トランジスタ(FET)の閾値電圧を調整するための手段を提供する、コンタクトと
    を備える、半導体構造体。
  9. 前記SOI領域は、絶縁層の上にSOI層を含み、前記SOI層は、40nm未満の厚さを有する、請求項8に記載の半導体構造体。
  10. 前記SOI領域内に配置された前記少なくとも1つの第1デバイスは、少なくとも1つのnFETデバイス、少なくとも1つのpFETデバイス、又はこれらの組み合わせを含む、請求項8に記載の半導体構造体。
  11. 前記バルク−Si領域内に配置された前記少なくとも1つの第2デバイスは、少なくとも1つのnFET、pFET、レジスタ、キャパシタ、ダイオード、又はこれらの組み合わせを含む、請求項8に記載の半導体構造体。
  12. 前記同じ結晶配向は、(100)、(110)、又は(111)である、請求項8に記載の半導体構造体。
  13. 前記バルク−Si層及び前記SOI層は、Si、歪みSi、SiGe、歪みSiGe、SiC、SiGeC及びこれらの組み合わせからなる群から選択される同じシリコン含有材料又は異なるシリコン含有材料を含む、請求項8に記載の半導体構造体。
  14. 半導体構造体を形成する方法であって、
    絶縁層によって分離された、同じ結晶配向又は異なる結晶配向を有する少なくとも第1半導体層及び第2半導体層を含む基板を準備するステップと、
    前記基板の一部を保護してSOI領域を定め、前記基板の別の部分を保護されないまま残し、前記基板の前記保護されない部分がバルク−Si領域を定めるようにするステップと、
    前記基板の前記保護されない部分をエッチングして、前記第2半導体層の表面を露出させるステップと、
    前記第2半導体層の前記露出された表面上に、前記同じ結晶配向を有する半導体材料を再成長させるステップと、
    前記半導体材料を含む前記基板を平坦化して、前記第1半導体層の上面が前記半導体材料の上面と実質的に平坦になるようにするステップと
    を含み、
    前記SOI領域内に少なくとも1つの第1デバイスを形成し、前記バルク−Si領域内の前記半導体材料上に少なくとも1つの第2デバイスを形成するステップと
    を含み、
    前記バルク−Si領域内に少なくとも1つの第2デバイスを形成する前記ステップは、前記バルク−Si領域に第1のドーパントを注入してウェル領域を形成することと、前記バルク−Si領域の表面の上に少なくとも1つのゲート領域を形成することと、第2の型のドーパントを用いて前記少なくとも1つのゲート領域に隣接したソース及びドレイン領域を形成することと、前記ウェル領域へのコンタクトを形成することとを含み、前記コンタクトは浮遊体効果を安定化させる、方法。
  15. 前記第1半導体層は、40nm未満の厚さを有する、請求項14に記載の方法。
  16. 前記ウェル領域への前記コンタクトを形成する前記ステップは、前記バルク−Si領域の前記表面の一部をエッチングして、前記ウェル領域へのビアを提供し、前記ウェル領域への前記ビアを導電性材料で充填することを含む、請求項14に記載の方法。
  17. 前記バルク−Si領域内に前記少なくとも1つの第2デバイスを形成する前記ステップは、キャパシタ、レジスタ、ダイオード、又はこれらの組み合わせを提供することをさらに含む、請求項14に記載の方法。
  18. 前記SOI領域内の前記少なくとも1つのデバイスは、nFET、pFET、又はこれらの組み合わせを含む、請求項14に記載の方法。
  19. 前記基板は、2つのシリコン・オン・インシュレータ(SOI)ウェハ、1つのSOIウェハ及び1つのバルク半導体ウェハ、2つのバルク半導体ウェハ、又は1つのSOIウェハ及び加熱後にボイドを形成するイオン注入領域を含む1つのバルク半導体ウェハから形成され、かつ、2つのウェハを互いに緊密に接触させ、不活性雰囲気内で前記接触させられたウェハを加熱することによって形成される、請求項14に記載の方法。
  20. 前記半導体材料は、選択的エピタキシャル成長法を用いて形成され、かつ、Si、歪みSi、SiGe、SiC、SiGeC及びこれらの組み合わせからなる群から選択されるSi含有半導体である、請求項14に記載の方法。
  21. 前記第1半導体層及び前記第2半導体材料は、同じSi含有材料又は異なるSi含有材料を含み、前記Si含有材料は、Si、歪みSi、SiGe、SiC、SiGeC及びこれらの組み合わせからなる群から選択される、請求項14に記載の方法。
  22. エッチング後、前記少なくとも1つの半導体デバイスを形成する前に、分離領域を形成するステップをさらに含む、請求項14に記載の方法。
  23. 前記同じ結晶配向は、(110)又は(111)である、請求項14に記載の方法。
  24. 前記異なる結晶配向は、(100)、(110)、又は(111)を含む、請求項14に記載の方法。
  25. 前記第1半導体層は、酸化及び湿式エッチングによって薄層化される、請求項14に記載の方法。
JP2008506492A 2005-04-15 2006-03-30 適応ウェル・バイアシング、並びにパワー及び性能強化のためのハイブリッド結晶配向cmos構造体 Pending JP2008536335A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/107,611 US7605429B2 (en) 2005-04-15 2005-04-15 Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
PCT/US2006/011558 WO2006113077A2 (en) 2005-04-15 2006-03-30 Hybrid crystal orientation cmos structure for adaptive well biasing and for power and performance enhancement

Publications (2)

Publication Number Publication Date
JP2008536335A true JP2008536335A (ja) 2008-09-04
JP2008536335A5 JP2008536335A5 (ja) 2009-02-12

Family

ID=37107693

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008506492A Pending JP2008536335A (ja) 2005-04-15 2006-03-30 適応ウェル・バイアシング、並びにパワー及び性能強化のためのハイブリッド結晶配向cmos構造体

Country Status (6)

Country Link
US (2) US7605429B2 (ja)
EP (1) EP1875507A4 (ja)
JP (1) JP2008536335A (ja)
CN (1) CN100524783C (ja)
TW (1) TW200636909A (ja)
WO (1) WO2006113077A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012513118A (ja) * 2008-12-18 2012-06-07 マイクロン テクノロジー, インク. キャパシタレスメモリセルを論理素子と集積化するための方法および構造
WO2013161331A1 (ja) * 2012-04-27 2013-10-31 独立行政法人産業技術総合研究所 相補型半導体装置及びその製造方法

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7087965B2 (en) * 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
US8866190B2 (en) * 2005-06-14 2014-10-21 International Rectifler Corporation Methods of combining silicon and III-nitride material on a single wafer
US7358164B2 (en) * 2005-06-16 2008-04-15 International Business Machines Corporation Crystal imprinting methods for fabricating substrates with thin active silicon layers
US7473985B2 (en) * 2005-06-16 2009-01-06 International Business Machines Corporation Hybrid oriented substrates and crystal imprinting methods for forming such hybrid oriented substrates
US7439108B2 (en) * 2005-06-16 2008-10-21 International Business Machines Corporation Coplanar silicon-on-insulator (SOI) regions of different crystal orientations and methods of making the same
JP5145691B2 (ja) * 2006-02-23 2013-02-20 セイコーエプソン株式会社 半導体装置
JP2007251005A (ja) * 2006-03-17 2007-09-27 Toshiba Corp 半導体装置及びその製造方法
DE102006015076B4 (de) * 2006-03-31 2014-03-20 Advanced Micro Devices, Inc. Halbleiterbauelement mit SOI-Transistoren und Vollsubstrattransistoren und ein Verfahren zur Herstellung
US7285480B1 (en) * 2006-04-07 2007-10-23 International Business Machines Corporation Integrated circuit chip with FETs having mixed body thicknesses and method of manufacture thereof
US7750406B2 (en) * 2007-04-20 2010-07-06 International Business Machines Corporation Design structure incorporating a hybrid substrate
US7651902B2 (en) * 2007-04-20 2010-01-26 International Business Machines Corporation Hybrid substrates and methods for forming such hybrid substrates
US7948008B2 (en) 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
US7718496B2 (en) * 2007-10-30 2010-05-18 International Business Machines Corporation Techniques for enabling multiple Vt devices using high-K metal gate stacks
US8278731B2 (en) * 2007-11-20 2012-10-02 Denso Corporation Semiconductor device having SOI substrate and method for manufacturing the same
KR100934849B1 (ko) * 2008-01-07 2009-12-31 주식회사 하이닉스반도체 반도체 소자 형성 방법
US7847353B2 (en) * 2008-12-05 2010-12-07 Bae Systems Information And Electronic Systems Integration Inc. Multi-thickness semiconductor with fully depleted devices and photonic integration
US20100176482A1 (en) 2009-01-12 2010-07-15 International Business Machine Corporation Low cost fabrication of double box back gate silicon-on-insulator wafers with subsequent self aligned shallow trench isolation
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8587063B2 (en) * 2009-11-06 2013-11-19 International Business Machines Corporation Hybrid double box back gate silicon-on-insulator wafers with enhanced mobility channels
WO2011062788A1 (en) * 2009-11-17 2011-05-26 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8426917B2 (en) * 2010-01-07 2013-04-23 International Business Machines Corporation Body-tied asymmetric P-type field effect transistor
US8643107B2 (en) * 2010-01-07 2014-02-04 International Business Machines Corporation Body-tied asymmetric N-type field effect transistor
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
CN102468332B (zh) * 2010-11-03 2013-08-28 中国科学院微电子研究所 一种基于绝缘体上硅的mos晶体管
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8456187B2 (en) 2011-04-21 2013-06-04 International Business Machines Corporation Implementing temporary disable function of protected circuitry by modulating threshold voltage of timing sensitive circuit
US8492207B2 (en) 2011-04-21 2013-07-23 International Business Machines Corporation Implementing eFuse circuit with enhanced eFuse blow operation
US8525245B2 (en) 2011-04-21 2013-09-03 International Business Machines Corporation eDRAM having dynamic retention and performance tradeoff
US8816470B2 (en) 2011-04-21 2014-08-26 International Business Machines Corporation Independently voltage controlled volume of silicon on a silicon on insulator chip
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
JP2012256649A (ja) * 2011-06-07 2012-12-27 Renesas Electronics Corp 半導体装置、半導体ウエハ、及びこれらの製造方法
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8416009B2 (en) 2011-07-13 2013-04-09 International Business Machines Corporation Solutions for controlling bulk bias voltage in an extremely thin silicon-on-insulator (ETSOI) integrated circuit chip
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
CN103515317B (zh) * 2012-06-20 2016-02-03 中芯国际集成电路制造(上海)有限公司 一种cmos器件及其形成方法
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
CN102738179B (zh) * 2012-07-16 2015-08-19 西安电子科技大学 一种SOI应变SiGe CMOS集成器件及制备方法
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9490161B2 (en) 2014-04-29 2016-11-08 International Business Machines Corporation Channel SiGe devices with multiple threshold voltages on hybrid oriented substrates, and methods of manufacturing same
US9324632B2 (en) 2014-05-28 2016-04-26 Globalfoundries Inc. Semiconductor structures with isolated ohmic trenches and stand-alone isolation trenches and related method
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US10438792B2 (en) * 2016-10-20 2019-10-08 QROMIS, Inc. Methods for integration of elemental and compound semiconductors on a ceramic substrate
SG10201803464XA (en) 2017-06-12 2019-01-30 Samsung Electronics Co Ltd Semiconductor memory device and method of manufacturing the same
US10727244B2 (en) 2017-06-12 2020-07-28 Samsung Electronics Co., Ltd. Semiconductor memory devices and methods of fabricating the same
US10403634B2 (en) 2017-06-12 2019-09-03 Samsung Electronics Co., Ltd Semiconductor memory device and method of manufacturing the same
US10109638B1 (en) * 2017-10-23 2018-10-23 Globalfoundries Singapore Pte. Ltd. Embedded non-volatile memory (NVM) on fully depleted silicon-on-insulator (FD-SOI) substrate
US11335674B2 (en) 2019-06-27 2022-05-17 Globalfoundries U.S. Inc. Diode triggered silicon controlled rectifier (SCR) with hybrid diodes
US11430881B2 (en) 2020-03-05 2022-08-30 Globalfoundries U.S. Inc. Diode triggered compact silicon controlled rectifier

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62183554A (ja) * 1986-02-07 1987-08-11 Fujitsu Ltd 半導体装置
JPH08195443A (ja) * 1995-01-18 1996-07-30 Fujitsu Ltd 半導体装置及びその製造方法
JPH0982814A (ja) * 1995-07-10 1997-03-28 Denso Corp 半導体集積回路装置及びその製造方法
JPH10163128A (ja) * 1996-11-25 1998-06-19 Samsung Electron Co Ltd タングステン窒化膜の製造方法及びこれを用いた金属配線製造方法
JP2004047844A (ja) * 2002-07-15 2004-02-12 Renesas Technology Corp 半導体装置およびその製造方法
WO2004114400A1 (en) * 2003-06-17 2004-12-29 International Business Machines Corporation High-performance cmos soi device on hybrid crystal-oriented substrates

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2623861B1 (fr) * 1987-12-01 1993-04-23 Ouest Cie Soufflet de protection notamment pour transmission avant de vehicule automobile
JP3017860B2 (ja) * 1991-10-01 2000-03-13 株式会社東芝 半導体基体およびその製造方法とその半導体基体を用いた半導体装置
US5240766A (en) * 1992-04-01 1993-08-31 Hollingsworth & Vose Company Gasket material
JP3388923B2 (ja) * 1994-12-02 2003-03-24 エヌオーケー株式会社 アダプタ付ブーツ
DE19710487A1 (de) * 1996-03-13 1997-09-18 Toshiba Kawasaki Kk Halbleitervorrichtung
US5894152A (en) * 1997-06-18 1999-04-13 International Business Machines Corporation SOI/bulk hybrid substrate and method of forming the same
US6188122B1 (en) * 1999-01-14 2001-02-13 International Business Machines Corporation Buried capacitor for silicon-on-insulator structure
TW501227B (en) * 2000-08-11 2002-09-01 Samsung Electronics Co Ltd SOI MOSFET having body contact for preventing floating body effect and method of fabricating the same
US20040017046A1 (en) * 2001-01-05 2004-01-29 Frazer Richard D. Transmission joint boot
JP2003243528A (ja) * 2002-02-13 2003-08-29 Toshiba Corp 半導体装置
US6793584B2 (en) * 2002-05-02 2004-09-21 Gkn Driveline North America, Inc. High speed joint labyrinth style vent
US6864104B2 (en) * 2002-06-28 2005-03-08 Progressant Technologies, Inc. Silicon on insulator (SOI) negative differential resistance (NDR) based memory device with reduced body effects
US20040222436A1 (en) * 2003-05-09 2004-11-11 International Business Machines Corporation Bicmos technology on soi substrates
KR100539243B1 (ko) * 2003-10-04 2005-12-27 삼성전자주식회사 부분 에스오아이 기판에 구현된 에스램 소자
US7304354B2 (en) * 2004-02-17 2007-12-04 Silicon Space Technology Corp. Buried guard ring and radiation hardened isolation structures and fabrication methods
US6949420B1 (en) * 2004-03-12 2005-09-27 Sony Corporation Silicon-on-insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62183554A (ja) * 1986-02-07 1987-08-11 Fujitsu Ltd 半導体装置
JPH08195443A (ja) * 1995-01-18 1996-07-30 Fujitsu Ltd 半導体装置及びその製造方法
JPH0982814A (ja) * 1995-07-10 1997-03-28 Denso Corp 半導体集積回路装置及びその製造方法
JPH10163128A (ja) * 1996-11-25 1998-06-19 Samsung Electron Co Ltd タングステン窒化膜の製造方法及びこれを用いた金属配線製造方法
JP2004047844A (ja) * 2002-07-15 2004-02-12 Renesas Technology Corp 半導体装置およびその製造方法
WO2004114400A1 (en) * 2003-06-17 2004-12-29 International Business Machines Corporation High-performance cmos soi device on hybrid crystal-oriented substrates

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012513118A (ja) * 2008-12-18 2012-06-07 マイクロン テクノロジー, インク. キャパシタレスメモリセルを論理素子と集積化するための方法および構造
US8704286B2 (en) 2008-12-18 2014-04-22 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US9129848B2 (en) 2008-12-18 2015-09-08 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
WO2013161331A1 (ja) * 2012-04-27 2013-10-31 独立行政法人産業技術総合研究所 相補型半導体装置及びその製造方法

Also Published As

Publication number Publication date
WO2006113077A3 (en) 2007-04-12
EP1875507A4 (en) 2009-08-05
US20060231893A1 (en) 2006-10-19
US7605429B2 (en) 2009-10-20
US20080009114A1 (en) 2008-01-10
US7629233B2 (en) 2009-12-08
CN101147259A (zh) 2008-03-19
WO2006113077A2 (en) 2006-10-26
TW200636909A (en) 2006-10-16
CN100524783C (zh) 2009-08-05
EP1875507A2 (en) 2008-01-09

Similar Documents

Publication Publication Date Title
US7605429B2 (en) Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
US7687365B2 (en) CMOS structure for body ties in ultra-thin SOI (UTSOI) substrates
US7274072B2 (en) Hybrid bulk-SOI 6T-SRAM cell for improved cell stability and performance
TWI395295B (zh) 積體電路及其製造方法
US9355887B2 (en) Dual trench isolation for CMOS with hybrid orientations
US6998684B2 (en) High mobility plane CMOS SOI
US7833854B2 (en) Structure and method of fabricating a hybrid substrate for high-performance hybrid-orientation silicon-on-insulator CMOS devices
JP4317500B2 (ja) Cmosデバイスの製造方法
US7023055B2 (en) CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US6995456B2 (en) High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US20100159684A1 (en) Metal High-K (MHK) Dual Gate Stress Engineering Using Hybrid Orientation (HOT) CMOS
JP2006527915A (ja) ハイブリッド結晶配向基板上の高性能cmossoiデバイス
JP2012160637A (ja) 半導体装置及びその製造方法、並びにsoi基板及びその製造方法
US7479410B2 (en) Hybrid-orientation technology buried n-well design

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081218

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090123

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110125

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20110207

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110207

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110419

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110621

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111019

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20111026

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20111118

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20121225