JP4425130B2 - フィン型電界効果トランジスタの製造方法 - Google Patents

フィン型電界効果トランジスタの製造方法 Download PDF

Info

Publication number
JP4425130B2
JP4425130B2 JP2004510008A JP2004510008A JP4425130B2 JP 4425130 B2 JP4425130 B2 JP 4425130B2 JP 2004510008 A JP2004510008 A JP 2004510008A JP 2004510008 A JP2004510008 A JP 2004510008A JP 4425130 B2 JP4425130 B2 JP 4425130B2
Authority
JP
Japan
Prior art keywords
fin
semiconductor substrate
oxide film
damage
fins
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004510008A
Other languages
English (en)
Other versions
JP2005528793A (ja
Inventor
フリード、デーヴィッド、エム
ノワク、エトワード、ジェイ
レイニー、ベス、アン
サダナ、デヴェンドラ、ケイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005528793A publication Critical patent/JP2005528793A/ja
Application granted granted Critical
Publication of JP4425130B2 publication Critical patent/JP4425130B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Bipolar Transistors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Junction Field-Effect Transistors (AREA)

Description

本発明は一般に半導体製造の分野に関し、特にフィン型電界効果トランジスタの製造方法に関する。
半導体デバイスの製造においてコストと性能を競争しうる状態に維持する必要性から、集積回路のデバイス密度が高められてきた。デバイス密度の向上を容易にし、これら半導体デバイスの最小設計寸法(feature size)を縮小させるのを可能にするために、新技術が絶えず必要とされている。
デバイス密度を絶えず向上させようとする圧力はCMOS技術、たとえば電界効果トランジスタ(FET)の設計と製造において特に強い。FETはCMOSから成る支配的なコンポーネントで構成されている。より高いデバイス密度を得るようにFETを縮小させると、性能および/または信頼性が劣化する。(「Aおよび/またはB」は「AおよびB、A、またはB」を表わす。)
デバイス密度の向上を容易にすべく提案された1つの型のFETがフィン型電界効果トランジスタである。フィン型FETでは、トランジスタのボディは、魚などの背びれ(dorsal fin) に似ているところから一般に「フィン(fin) 」と呼ばれる垂直構造体で形成されている。そして、フィン型FETのゲートはフィンの少なくとも一方の側に形成されている。フィン型FETにはデバイスの寸法を大きくする必要なくより良好に電流制御を行ないうる、といったいくつかの利点がある。したがって、フィン型FETによれば、意にかなう性能を維持しながらCMOSの寸法を縮小させるのが容易になる。
あいにく、フィン型トランジスタを設計・製造する際にいくつかの困難が生起する。第1に、フィン型トランジスタでは一般に、各フィン型トランジスタを電気的に分離する必要がある。特に、フィン型トランジスタは互いに分離する必要がある。そして、ソースとドレインの減結合を保証するために、個々のデバイスのソースとドレインを分離する必要がある。このため、様々なデバイスのフィン間を分離するために、フィン型FETは通常、SOI(silicon-on-insulator)ウェーハで製造している。特に、トランジスタのフィンは埋め込み層の上方にあるシリコン層で形成する。したがって、各フィンは当該フィンの直下にある埋め込み分離層によって他のフィンから分離されている。同様に、個々のフィン型FETのソースとドレインも埋め込み分離層によって互いに減結合している。
SOIウェーハを使用するとフィン型FETに必要な分離を実現できるが、それには顕著な弱点がある。SOIウェーハでフィン型FETを形成することの最も切実な弱点はバルクのシリコン・ウェーハに比してSOIウェーハが割高な点である。たとえば、SOIウェーハのコストは通常、バルクのシリコン・ウェーハのコストの2〜3倍になりうる。SOIウェーハのこの増加するコストはある用途には受け入れられるが、他の用途には受け入れられない。また、SOIウェーハを使用することはすべての製造プロセス(たとえば通常使用されるSiGeプロセスなど)に適合するわけではない。
バルク・ウェーハに形成されたデバイスを分離する方法は久本らの「完全空乏化リーン・チャネル型トランジスタ(DELTA)−新規な垂直超薄型SOIMOSFET」(インターナショナル・エレクトロン・デバイス・ミーティグ1989、論文34.5.1、第833〜6頁)("A fully Depleted Lean-channel Transistor (DELTA) - A novel vertical ultra thin SOI MOSFET" International Electron Devices Meeting 1989, Paper 34.5.1, pp 833-6 )に記載されている。この方法では、下にある基板を酸化して分離領域を形成する間にフィンを保護するためにフィンに窒化物のスペーサを形成する必要がある。したがって、基板はフィンに対して選択的に酸化する。このプロセスを制限するものは酸化時における高温(1100°C)、および、分離層を形成する間にフィンの厚さを調製できないことである。デバイスが縮小し続けるのつれ、デバイスが高温に耐える能力は低減する。したがって、久本らの論文において提案されたプロセスはフィン型FETが使用されることになるナノメートル尺度の技術には適合しない。また、フィンの厚さを調製できないということはこれらデバイスの最重要な寸法がリソグラフィのみによって決まるということを意味する。下で詳述するように、本発明に係る方法の特徴は酸化によってフィンを調製しうるようにし、フィンの厚さをリソグラフィの能力を超えて最適化しうるようにすることである。
さらに、久本のプロセスではフィンの高さを制御する方法を実現できない。バルクのウェーハにはSOIウェーハ中の埋め込み酸化層によって実現されるような、その表面でフィンのエッチングを停止させる層がない。このようにエッチング停止層がないから、エッチングの深さが変動するとフィンの高さが変動する。デバイスが伝達しうる電流量はフィンの高さに比例するから、フィンの高さの変動を最小化することは重要である。
久本ら「完全空乏化リーン・チャネル型トランジスタ(DELTA)−新規な垂直超薄型SOI MOSFET」(インターナショナル・エレクトロン・デバイス・ミーティグ 1989、ペーパ34.5.1、第833〜6頁)("A fully Depleted Lean-channel Transistor (DELTA) - A novel vertical ultra thin SOI MOSFET" International Electron Devices Meeting 1989, Paper 34.5.1, pp 833-6 )
したがって、デバイスの変動を最小にするとともに十分なデバイスの分離を実現しながら、バルクのシリコン・ウェーハでフィン型FETを形成するのを容易にする改良された製造方法と構造が求められている。
したがって、本発明は従来技術の不都合の多くを解消するフィン型電界効果トランジスタ(FET)を形成するためのデバイス構造と方法を提供する。特に、本発明に係るデバイス構造と方法によれは、デバイスの均一性を改善させながらバルクの半導体ウェーハからフィン型FETを形成することが可能になる。
第1の側面において、本発明は半導体基板にフィン型FETを形成する方法である。当該方法は、前記半導体基板からフィンを形成するステップと、同時に前記フィンを分離しながら前記フィンの幅をさらに画定するプロセスに前記基板をさらすステップとを備えている。
第2の側面において、本発明は半導体基板にフィン型FETを形成する方法である。当該方法は、前記半導体基板からフィンを形成するステップであって、前記フィンはフィン側壁を備え、前記フィンの前記形成は前記フィンに隣接する前記半導体基板の領域を露出させるものである、ステップと、前記フィンに隣接する前記半導体基板の少なくとも一部分に損傷を与えるステップと、酸化膜が前記フィン側壁よりも前記半導体基板の損傷部分により厚く形成されるように前記半導体基板を酸化するステップとを備えている。
本発明の上述した利点および特徴ならびに他の利点および特徴は添付図面とともに示す本発明の好適な実施形態のより詳細な記述に従って明らかになる。
したがって、本発明は従来技術の不都合の多くを解消する、フィン型電界効果トランジスタを形成するデバイス構造と方法を提供する。特に、このデバイス構造と方法はデバイスの均一性を改善しながらバルクの半導体ウェーハでフィン型FETを形成しうるようにするものである。この方法によれば、フィンの高さの制御が改善された状態で、フィン型FETをバルクの半導体ウェーハで形成するのが容易になる。また、この方法によれば、フィン間の分離と、個々のフィン型FETのソース領域とドレイン領域との間の分離とを実現しながら、バルクの半導体ウェーハでフィン型FETを形成しうるようになる。最後に、この方法によれば、フィンの幅を最適化することができる。したがって、本発明に係るデバイス構造と方法によれば、バルク・ウェーハにフィン型FETを均一に形成しうるという利点が得られる。
本発明の一実施形態では、フィンの高さの制御を改善するために、フィンをパターニングする前にあるプロセスを使用する。このプロセスには基板に所望の深さまで損傷を与え、損傷を受けた基板のエッチング速度を未損傷の基板のエッチング速度と比べて変化させる重イオンのイオン打ち込み工程を備えることができる。これによりエッチング速度の変動効果を最小にすることができるから、フィンのパターニングの間における高さの制御を改善することができる。第2の別のプロセスにはマーカ層を所望の深さにイオン打ち込みまたは形成する工程を備えることができる。これにより、フィンをエッチングする間にマーカ層の元素をモニタすることにより、所望のエッチング深さに到達した時を正確に特定することが可能になる。したがって、両プロセス制御手法によって、フィンの高さの均一性を改善することができる。この結果、ウェーハ間の変動を最小にした状態でバルク半導体からフィンを形成することが可能になる。
本発明の第2の側面では、隣接するフィンの間、および個々のフィン型FETのソース領域とドレイン領域との間に分離領域を形成する。また、このプロセスでは、フィン自体の幅を最適化する。このプロセスではまず、フィン間の半導体ウェーハに選択的に損傷を与える。この選択的損傷はフィン間に適切な重イオンをイオン打ち込むことにより、あるいはP型種をイオン打ち込みしたのち選択的に陽極反応させることにより行なうことができる。これらの方法ではフィンに隣接する露出した半導体ウェーハを損傷するが、フィン自体に対する損傷はフィンの表面に設けた保護用のハードマスクによって最小限に抑えられる。次いで、ウェーハを酸化する。この結果、フィンの側壁、およびフィン間の領域に酸化膜が形成される。ウェーハの損傷を受けた領域は未損傷の領域よりも速く酸化されるから、フィン間に形成される酸化膜の厚さはフィン自体に形成される酸化膜の厚さよりも厚くなる。このように酸化速度が異なるから、フィンを過度に狭くすることなくフィン間に十分な酸化膜を形成することができる。
このプロセスの結果、フィンの間、およびフィンのソース領域とドレイン領域との間を分離するのに十分な酸化膜がフィン間に形成される。また、フィンに酸化膜を形成すると、フィン自体が狭くなる。フィンの側壁から酸化膜を除去すると、結果として得られるフィンの幅は元の幅よりもさらに最適化されるが、フィン間には分離を実現するのに十分な酸化膜が残されている。したがって、このプロセスによって、フィンの分離とフィンの幅の最適化とが同時に実現する。
したがって、ここで提供する方法によれば、フィンの高さと幅をより良好に制御するとともにフィンのソース領域とドレイン領域との間をより良好に分離しながら、バルクのシリコンでフィン型FETを形成するのが容易になる。
本発明はいままで大部分がSOI基板上に形成されていた様々なフィン型FETとその関連デバイスに容易に適用することができる。たとえば、本発明に係る方法は米国特許第6252284号に開示されている二重ゲート構造のフィン型FETを形成する際に使用することができる。したがって、当業者が理解しうるように、本発明は図面に示した特定の構造、またはここで詳述した特定の工程に限定されない。さらに理解しうる点を挙げると、様々な構成要素用に選定したドーパント種別がデバイスの意図した電気的動作と一致するかぎり、本発明は特定のドーパント種別の使用に限定されない。
次に、図1を参照する。図1は本発明に係るフィン型FETを形成する典型的な方法100を示す図である。製造方法100によれば、ウェーハ間の均一性が改善されデバイスが十分に分離された状態でバルクの半導体ウェーハからフィン型FETを形成することが可能になる。したがって、方法100によれば、最もコスト効率の高い製造プロセスでフィン型FETを製造しうるとうい利点が得られる。次に、図2〜7のプロセスの間におけるウェーハ部分の一実施形態の例とともに、方法100を詳細に説明する。
図1の第1のステップ101は適切なバルクの半導体ウェーハを準備することである。方法100の次のステップ102は適切なハードマスクの阻止層を堆積した後、適切なハードマスクのキャップ層を堆積することである。ハードマスクの阻止層およびハードマスクのキャップ層の双方は適切な任意の材料および適切な任意の厚さで構成することができる。たとえば、ハードマスクの阻止層は40〜100nm厚の二酸化シリコンで構成し、ハードマスクのキャップ層は5〜50nm厚の窒化シリコンで構成することができる。下で明らかになるように、ハードマスクのキャップ層とハードマスクの阻止層は下にある半導体基板をパターニングするため、および分離領域を形成する間にフィンを保護するために使用する。
次に、図2を参照する。図2はハードマスクの阻止層204とハードマスクのキャップ層202を備えた典型的なウェーハ部分200を示す図である。ここでも、ウェーハ部分200は適切な任意のバルクの半導体ウェーハ(たとえばシリコン<100>ウェーハ)で構成することができる。同様に、ハードマスクの阻止層204とハードマスクのキャップ層202は適切な任意のハードマスク材料(たとえば、それぞれ二酸化シリコンと窒化シリコン)で構成することができる。
図1に戻る。次のステップ104はフィンのパターンを形成するためにハードマスクの阻止層とハードマスクのキャップ層をパターニングすることである。これは適切な任意のプロセスを用いて行なうことができ、通常、適切なフォトレジストの堆積とパターニングを含んでいる。次いで、RIE(reactive ion etch)を用いてハードマスクの阻止層とハードマスクのキャップ層を現像済みフォトレジストに対して選択的にパターニングすることができる。次いで、シリコンのRIEの間に、パターニング済みのハードマスク層を用いて下にある半導体基板をパターニングしてフィン型FETデバイスを形成するのに使用することになるフィンを画定する。したがって、パターニングの長さと幅は特定の用途用に望まれるフィンの寸法によって決まる。
次に、図3を参照する。図3はハードマスクの阻止層204とハードマスクのキャップ層202をパターニングした後のウェーハ部分200を示す図である。
図1に戻る。次のステップ106は半導体ウェーハに高さ制御層を形成することである。続いて、次のステップ108はフィンの高さを制御する高さ制御層を用い半導体ウェーハをパターニングしてフィンを形成することである。使用しうる高さ制御層には種類の異なるものがいくつかある。たとえば、高さ制御層は所望の深さまで基板に損傷を与えて損傷基板のエッチング速度を非損傷基板のエッチング速度と異ならせる重イオンのイオン打ち込み領域で構成することができる。これにより、エッチング速度の変動を最小化できるから、フィンをパターニングする間における高さの制御を改善することができる。別の例では、高さ制御層は所望の深さにマーカ層を形成するにより構成することができる。フィンをパターニングする間、マーカ層の元素をモニタすることにより、フィンの高さが所望の値に到達した時点を正確に求めることができる。したがって、両手法によれば、フィンの高さの制御が改善されるから、フィンをバルクの半導体ウェーハから信頼性よく形成することが可能になる。
高さ制御層を基板に損傷を与えるイオン打ち込み領域で構成する場合、半導体基板の露出した部分に十分な損傷を与えて損傷基板のエッチング速度を非損傷基板のエッチング速度に比して変化させうる適切な任意のイオンを使用することができる。たとえば、半導体基板に損傷を与えるのにAsのイオン打ち込みを使用することができる。他の適切なイオンとしてはゲルマニウム、セシウム、アンチモン、および他の重イオンがある。次いで、損傷をフィンの望ましい深さまで駆動しうるようにイオン打ち込みのエネルギを選定する。たとえば、高さが約80ナノメートル(800オングストローム)のフィンを形成するには加速エネルギ140keV、ドーズ量1×1016/cm2 のAsのイオン打ち込みを使用する。適切なエッチングを使用すると、損傷をした部分は非損傷部分よりも速くエッチングできる。そして、時限エッチングを使用すれば、均一なエッチング深さを実現しうると思われる。換言すると、非損傷部分は損傷部分よりもエッチング速度が遅いから、時限エッチングでは、非損傷領域のオーバエッチングが最小になる。したがって、イオン打ち込みによれば、結果として得られるフィンの高さの制御が改善される。
高さ制御層をマーカ層で構成すると、マーカ・イオンを検出することによりエッチング工程の間に望みの深さに到達した時点を知ることができる。マーカ層は適切な任意の種(たとえば酸素、水素、またはゲルマニウム)で構成することができる。マーカ層はマーカ種(たとえばGe)を基板中にイオン打ち込みすることにより形成することができる。あるいは、マーカ層は基板上に種を堆積し、堆積したマーカ層上に追加の半導体基板層を形成することにより形成してもよい。次いで、マーカ層の表面にある層をエッチングしてフィンを形成する。半導体基板をエッチングしてフィンを画定する間、マーカ種をモニタする。マーカ種を検出したら、エッチングを停止させる。なぜなら、マーカ種の存在は所望の深さに到達したことを意味するからてある。マーカ層の種の存在によって、エッチング工程を停止させるべき時を判断するのが容易になる。この結果、エッチングの深さが均一になる。たとえば、マーカ層には厚さが80〜100ナノメートル(800〜1000オングストローム)、Ge濃度が25〜50%のSiGe層を使用することができる。
したがって、両手法によれば、フィンの高さの制御が改善されるから、バルクの半導体ウェーハから高さの均一なフィンを信頼性よく形成することができる。これらすべての実施形態において、エッチング用の化学薬品は高さ制御層の種別に適合するとともにフィンのパターンを画定するのに使用するハードマスクのキャップ層に対して選択性を有するように選定する。
次に、図4を参照する。図4は高さ制御層を形成した後、半導体基板をパターニングしてフィン210を形成した後の様子を示す図である。この場合にも、高さ制御層を使用したから、フィンの高さのウェーハ間の均一性が改善されている。
ある場合には、この時点でキャップ層202を除去するのが望ましい。これは適切な任意の手法、たとえば下にあるハードマスクと露出したシリコンに対して選択性のあるウエット・エッチングまたはドライ・エッチングを用いて行なうことができる。したがって、その下にあるハードマスクの阻止層204は残し、先の工程でフィンを保護するのに使用する。あるいは、先の処理の間にフィンをさらに保護するために、キャップ層202をそのまま残してもよい。
次のステップ110はフィン間の基板に損傷を与えることである。下で明らかになるように、基板に損傷を与えることはフィン間の基板の酸化速度をフィン自体の酸化速度よりも速くすることを意味する。基板に損傷を与える1つの方法はフィン間の基板中に適切な元素をイオン打ち込みすることである。このイオン打ち込みは基板の表面と垂直に適切に行なうから、ハードマスクの阻止層によって、イオン打ち込みが直接、フィンに損傷を与えることはない。ただし、ある程度の変動は生じうる。基板に損傷を与えるには、適切な任意のイオン打ち込みを使用することができる。ただし一般に、基板に最も良好に損傷を与えて基板の酸化速度を速めうる重イオンを選定するのが望ましい。このため、(ドーズ量約1×1016/cm2 〜1×1017/cm2 、エネルギ約40〜60keVで)Asをイオン打ち込みするのが適切な選択である。他の適切な種としてセシウム、酸素、およびゲルマニウムがある。
半導体基板に選択的に損傷を与える別の方法はP型のイオン打ち込み領域に対して選択性のある陽極反応を用いるものである。このプロセスでは、フィン間の半導体基板中にP型イオンをイオン打ち込みする。P型のイオン打ち込み領域は適切な任意の種、たとえばボロンで構成することができる。この場合にも、ハードマスクの阻止層によって、P型のイオン打ち込みがフィンに直接に損傷を与えることはない。P型のイオン打ち込みを行なったら、基板をアニールする。次いで、イオン打ち込みした領域を化学エッチャント(たとえばHF/アルコール)にさらした後、陽極反応を行なう。これにより、イオン打ち込みした領域が損傷される。特に、陽極反応によって、イオン打ち込みした領域は多孔質になる。損傷の量はP型イオン打ち込みの密度およびエネルギ、HF濃度およびHF/アルコール混合比、ならびに陽極反応の電流密度および時間によって制御することができる。この場合にも、損傷を受けた領域は酸化速度が速くなるから、基板とフィンとの間の酸化膜の厚さが異なることになる。
次に、図5を参照する。図5はハードマスクのキャップ層202を除去し、損傷工程を実行して基板に損傷部分212を形成した後の様子を示す図である。フィンは残されたハードマスクの阻止層204によって保護されているから、そして、イオン打ち込みはおおむね垂直であるから、半導体基板の損傷部分212はフィン間の領域に集中することになる。
図1に戻る。次のステップ112はウェーハの損傷領域を酸化することである。これは適切な任意の酸化プロセスを用いて行なうことができる。上述したように、ウェーハの損傷領域は非損傷領域よりもずっと速く酸化する。したがって、酸化膜はフィン間の領域においてフィン自体の上よりもより速くより深く形成される。800°C、40分間の好適な酸化条件では、2つの酸化速度の比はおよそ5:1である。これにより、フィンを完全に酸化しなくとも、フィンを互いに分離させるのに十分な厚さの酸化膜がフィン間に生成される。また、フィンの下でも酸化が行なわれるから、フィンがよりいっそう分離される。特に、フィンの下で酸化が行なわれるから、トランジスタ自体のソース−ドレイン間の分離が改善される。この分離がなされないと、フィンの下のソース−ドレイン間を電流が流れる可能性がある。というのは、この領域はトランジスタのゲートによって完全には制御しえないからである。留意すべき点を挙げると、フィンの下に成長させる酸化膜はトランジスタのソース−ドレイン間を十分に分離するためにフィンの下に完全に伸ばす必要は必ずしもないが、それが望ましい場合もある。
このステップの別の側面はフィンの側壁へ酸化膜を成長させることによりフィン中の残された半導体材料の幅が狭くなるという点である。フィンを狭くするとゲートによる電流の制御性が改善されるから、トランジスタの性能を改善することができる。留意すべき点を挙げると、多くの場合、フィンは従来のリソグラフィを用いて正確にパターニングしうる程度よりも狭く形成するのが望ましい。このため、多くの場合、フィンの幅を画定するのに側壁画像転写(sidewall image transfer)などの画像強調手法を使用するのが望ましい。したがって、本発明の実施形態によれば、フィンを完全に酸化しなくともフィン間の分離を形成する間にフィンの幅をさらに狭くしうるという追加の利点が得られる。
次に、図6を参照する。図6は酸化によってフィン210とフィン210との間に分離領域214を形成した後のウェーハ部分200を示す図である。また、酸化によってフィン210の側壁にも酸化膜216が形成される。フィン間の基板領域は酸化前に損傷を受けているから、フィン間の酸化膜の成長速度は他の領域(たとえばフィンの側壁上)よりもずっと速い。さらに、フィン210上に酸化膜216を形成すると、フィンの幅がさらに狭くなる。
図1に戻る。次のステップ114は不要な酸化膜を除去してフィン型FETデバイスを完成させることである。フィンの側壁に形成された酸化膜は、フィン間に形成された酸化膜よりもずっと薄いから、フィン間に分離酸化膜を十分に残しながら側壁から除去することができる。また、フィンの側壁に酸化膜を形成すると、フィン自体の幅がさらに狭くなる。
フィンを画定し分離領域を形成したら、フィン型FETを完成させることができる。上述したように、ここで述べた方法はあらゆる種類のフィン型FETの製造プロセスに適用することができる。以下、典型的なプロセスを短く説明するが、当業者が理解しうるように、他の適切なプロセスを使用することもできる。
典型的なプロセスの第1のステップはフィンをドープすることである。通常、これにはフィン中にイオンを打ち込んでPウエル構造とNウエル構造を形成することが含まれる。本発明に係るCMOS技術では、共通の基板にNFETとPFETを集積化しうるように、Pウエル構造とNウエル構造を形成する。PFETウエルには例えはP、As、およびSbが好適である。NFETウエルには例えばB、In、およびGaが好適である。イオン打ち込みは通常、たとえば1×1017cm-3〜5×1018cm-3の濃度を実現しうるように設計する。一実施形態では、イオン打ち込みには半導体層の露出するとともに対向する垂直な側壁中への傾斜イオン打ち込みが含まれる。これはフィンを適切にドープしうるように機能する。
次のステップはゲート積層体を形成することである。これにはフィンの対向する垂直な側壁と対向する端壁にゲート絶縁層を形成することを含めることができる。ゲート絶縁層は熱酸化(通常750〜800°C)するか、絶縁膜を堆積するかして形成する。この開示の典型的な目的のために、ゲート絶縁層としては当技術分野で知られているSiO2 、窒化酸化物材料(nitrided oxide material)、高誘電率(high-K)誘電体材料、またはこれらの組み合わせを用いることができる。
次のステップはゲート絶縁層を覆うゲート導電層を形成することである。ゲート導電層には適切な任意の導電材料(通常は多結晶シリコン材料)を用いるが、非晶質シリコン、非晶質シリコンと多結晶シリコンとの組み合わせ、多結晶シリコン−ゲルマニウム、または、ゲート導電層を形成するのに使用しうる他の適切な任意の材料を用いることができる。また、本発明の一部の実施形態では、金属のゲート導電材料(たとえばW、Mo、Ta、または他の任意の高融点金属)、あるいはNiまたはCoを添加した多結晶シリコンから成るシリサイド化ゲート導電材料を使用するのが有利である。ゲート導電材料がシリコン材料から成る場合、それは(原位置(in-situ)ドーピングした)ドープト層として堆積する。ゲート導電層が金属層の場合、そのような層はPVD(physical vapor deposition)法、CVD(Chemical vapor deposition)法、または当技術分野で知られた他の任意の手法を用いて堆積する。このように、ゲート構造体は半導体層部分で形成したフィンの対向する垂直の側壁に形成した酸化層に隣接して形成する。
次のステップはゲート導電層とゲート絶縁層をパターニングすることである。これは通常、ハードマスク膜を堆積したのちパターニングすることにより行なう。通常、ハードマスク膜の材料としてSiO2 またはSi34 を使用する。したがって、ゲート導電層は周知のフォトリソグラフィ手法とエッチング手法を用いて、すなわちゲート導電層を方向性エッチングする間におけるエッチング・マスクとしてハードマスク膜のキャップを用いて、パターニングしたのち構造化(structure)してゲート構造体を形成する。これにはゲート導電層の一部分を分離領域まで選択的に除去することが含まれるが、ハードマスク膜で保護されたフィンを形成している半導体層の部分は除去しない。それゆえ、フィンはゲート積層体を超えて伸びている。上記パターニングと構造化では、ゲート構造体をフィンのボディに隣接するように規定しているゲート導電層の部分も残される。
次のステップはフィンの露出した部分をドープしてソース/ドレイン(S/D)イオン打ち込み領域を形成することである。ソース/ドレイン領域の形成はソース/ドレイン領域を形成するために開発され特定の性能要件に適合するように調製された様々な方法のうちの任意のものを用いて行なうことができる。様々なレベルの複雑性があるものの、ソース/ドレイン領域を形成するそのような方法には多くのものがある。したがって、本発明の一部の実施形態では、たとえばイオン打ち込みを用いて、ライトリ・ドープト・ソース/ドレイン領域または他のソース/ドレイン領域を形成する。したがって、NFETの場合には通常、ソース/ドレイン・イオン打ち込み領域形成用にP、As、またはSbを1〜5KeV、ドーズ量5×1014〜2×1015cm-3で使用する。同様に、PFETの場合には通常、B、In、またはGaを0.5〜3keV、ドーズ量5×1014〜2×1015cm-3で使用する。
任意実行事項として、短チャネル効果(SCE)を改善する延長部イオン打ち込み領域とハロー・イオン打ち込み領域を形成してもよい。NFETの場合は通常、ハロー・イオン打ち込み領域形成用にB、In、またはGaをエネルギ5〜15keV、ドーズ量1×1013〜8×1013cm-3で使用する。同様に、PFETの場合、ハロー・イオン打ち込み領域形成用にP、As、またはSbをエネルギ20〜45keV、ドーズ量1×1013〜8×1013cm-3で使用する。
次いで、デバイスを完成させるために、ソース、ドレイン、およびゲートへのコンタクトを形成する。次いで、誘電体を堆積した後、通常、CMPプロセスを用いて平坦化する。次いで、異方性プロセス(たとえばRIE)などを用いてコンタクト・ホールを構造化したのちエッチングする。コンタクト・ホールは任意の導電材料(たとえばドープト・ポリシリコン、シリサイド(たとえばWSi)、金属(たとえばAu、Al、Mo、W、Ta、Ti、Cu、ITO(インジウム−スズ(錫)酸化物)など)を用い、蒸着、スパッタリング、または他の既知の手法で堆積することにより充填(じゅうてん)する。次いで、第1の金属層を堆積した後、RIEプロセスなどを用いて構造化する。あるいは、第1の金属層の構造化はダマシン・プロセス・フローに従って行なってもよい。
次に、図7を参照する。図7はウェーハ部分200上に完成した典型的なフィン型FETを示す図である。複雑さを最小限にして、本発明の一実施形態を示す。フィン210の各側およびフィンの対向する端壁の上にゲート絶縁層220を形成する。次いで、ゲート絶縁層220とハードマスク膜224を覆ってゲート222を形成する。また、この特定の実施形態ではゲート絶縁層222部分はフィンの両側にまたがって連続しているが、他の実施形態ではゲート絶縁層は2つの部分に分割されている。
本発明に係る、FETの電流路用に様々な結晶面を用い同じ基板上に形成したCMOS型フィンFETは様々な種類の回路(たとえば高性能論理回路、低電力論理回路、高密度記憶装置(たとえば高密度数ギガ・ビットDRAM)など)で使用することができる。また、本発明に係るCMOS型フィンFETは他の回路素子(たとえばキャパシタ、抵抗器、ダイオード、メモリ・セルなど)と容易に組み合わせることができる。
したがって、本発明は従来技術の不都合の多く解消するフィン型電界効果トランジスタ(FET)を形成するためのデバイス構造と方法を提供する。特に、本発明に係る方法によれば、フィンの高さ制御を改善した状態でバルクの半導体ウェーハからフィン型FETデバイスを形成するのか容易になる。また、本発明に係る方法によれば、フィンの間、および個々のフィン型FETのソース領域とドレイン領域との間を分離しながらバルクのシリコンからフィン型FETを形成することが可能になる。したがって、本発明に係るデバイス構造と方法によれば、コスト効率の高いバルク・ウェーハを使用しながら信頼性が高く安定したフィン型FETを製造しうるという利点が得られる。ここに示した実施形態と実例は本発明とその実際上の用途を最もよく説明するために、そしてそれにより当業者が本発明を作り使用するのを可能にするために提示した。しかしながら、当業者が理解しうるように、上で示した記述と実例は説明と例示を目的として提示したものである。ここに提示した記述はそれで尽きているものではない、すなわち本発明を、ここに開示したとおりの形態に限定するものではない。上述した教示に鑑み、特許請求の範囲の本旨と範囲の内で多くの変更と変形が可能である。したがって、他に特段の定めがないかぎり、図面またはここに示した本発明の構成要素はありうる構成要素の一例として提示したものであり、限定として提示したものではない。同様に、他に特段の定めがないかぎり、ここに示した本発明に係るステップ群またはステップ群のシーケンスはありうるステップ群またはステップ群のシーケンスとして提示したものであり、限定として提示したものではない。
本発明に係るフィン型電界効果トランジスタ(フィン型FET)は集積回路の設計と製造において有用であり、基板がバルクのシリコンから成るCMOS(complementary metal-oxide semiconductor)技術の場合に特に有用である。
本発明き製造方法を示すフローチャートを示す図である。 図1の製造方法の間における本発明に係る半導体構造体の一実施形態の側断面図である。 図1の製造方法の間における本発明に係る半導体構造体の一実施形態の側断面図である。 図1の製造方法の間における本発明に係る半導体構造体の一実施形態の側断面図である。 図1の製造方法の間における本発明に係る半導体構造体の一実施形態の側断面図である。 図1の製造方法の間における本発明に係る半導体構造体の一実施形態の側断面図である。 図1の製造方法の間における本発明に係る半導体構造体の一実施形態の側断面図である。
符号の説明
200 ウェーハ部分
202 キャップ層
204 阻止層
210 フィン
212 損傷部分
214 分離領域
216 酸化膜
220 ゲート絶縁層
222 ゲート
224 ハードマスク膜

Claims (7)

  1. 半導体基板にフィン型FETを形成する方法であって、
    少なくともフィンを形成する領域を除いて前記半導体基板に第1の損傷を与えて前記半導体基板の第1の損傷部分のエッチング速度を前記半導体基板の非損傷部分に比して変化させるイオン打ち込みを前記半導体基板に行なうステップと、
    前記半導体基板の第1の損傷部分をエッチングすることにより、前記半導体基板から前記フィンを形成するステップと、
    前記フィンに隣接する前記半導体基板の領域の少なくとも一部分に第2の損傷を与えるステップと、
    前記半導体基板を酸化し、前記半導体基板の第2の損傷部分に形成される酸化膜の厚さを前記フィンの側壁に形成される酸化膜の厚さよりも厚くするステップと、
    前記フィンの側壁から酸化膜を除去して、前記第2の損傷部分に形成された前記フィンに隣接する酸化膜の少なくとも一部分を残置するステップと、
    を備えた前記方法。
  2. 前記フィンに隣接する前記半導体基板の領域の少なくとも一部分に第2の損傷を与える前記ステップが、
    前記フィンに隣接する前記半導体基板の少なくとも一部分にイオン打ち込みを行なうステップを備えている、
    請求項1に記載の方法。
  3. 前記第2の損傷を与えるために行なうイオン打ち込みが、
    前記フィンの前記側壁への損傷を最小にするために、実質的に前記フィンと平行に行なうイオン打ち込みを含んでいる、
    請求項2に記載の方法。
  4. さらに、前記第2の損傷を与える前記ステップにおける前記フィンの上面への損傷を低減するために、前記フィンの上面に阻止層を形成するステップを備えた、請求項2に記載の方法。
  5. 前記フィンに隣接する前記半導体基板の領域の少なくとも一部分に第2の損傷を与える前記ステップが、
    陽極反応を行なって前記フィンに隣接する前記半導体基板の少なくとも一部分の多孔性を増大させるステップを備えている、
    請求項1に記載の方法。
  6. 陽極反応を行なって前記フィンに隣接する前記半導体基板の少なくとも一部分の多孔性を増大させる前記ステップが、
    前記フィンに隣接する前記半導体基板にP型のイオン打ち込みを行ない、前記半導体基板をアニールし、前記P型のイオン打ち込みした領域を化学エッチャントにさらすステップを備えている、
    請求項5に記載の方法。
  7. バルクの半導体基板からフィン型FETデバイスを形成する方法であって、
    前記半導体基板上に、フィンを形成する領域を覆うハードマスクの阻止層を形成するステップと、
    前記ハードマスクの阻止層で覆われていない露出した前記半導体基板に第1の損傷を与えて、前記半導体基板の第1の損傷部分のエッチング速度を前記半導体基板の非損傷部分に比して変化させるイオン打ち込みを前記半導体基板に行なうステップと、
    前記半導体基板の第1の損傷部分をエッチングして前記フィンを形成するステップであって、前記ハードマスクの阻止層の一部分は前記フィンの上に残り、前記フィンは側壁を備え、前記半導体基板の前記エッチングによって前記フィンに隣接する前記半導体基板の領域が露出する、前記フィンを形成するステップと、
    前記フィンに隣接する前記半導体基板の領域の少なくとも一部分に第2の損傷を与えるステップと、
    前記半導体基板を酸化し、前記半導体基板の第2の損傷部分に形成される酸化膜の厚さを前記フィンの側壁に形成される酸化膜の厚さよりも厚くするステップと、
    前記フィンの側壁から酸化膜を除去して、前記第2の損傷部分に形成された前記フィンに隣接する酸化膜の少なくとも一部分を残置するステップと、
    を備えた前記方法。
JP2004510008A 2002-06-03 2003-06-03 フィン型電界効果トランジスタの製造方法 Expired - Lifetime JP4425130B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/063,994 US6642090B1 (en) 2002-06-03 2002-06-03 Fin FET devices from bulk semiconductor and method for forming
PCT/US2003/017269 WO2003103019A2 (en) 2002-06-03 2003-06-03 Fin fet devices from bulk semiconductor and method for forming

Publications (2)

Publication Number Publication Date
JP2005528793A JP2005528793A (ja) 2005-09-22
JP4425130B2 true JP4425130B2 (ja) 2010-03-03

Family

ID=29268594

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004510008A Expired - Lifetime JP4425130B2 (ja) 2002-06-03 2003-06-03 フィン型電界効果トランジスタの製造方法

Country Status (11)

Country Link
US (1) US6642090B1 (ja)
EP (1) EP1532659B1 (ja)
JP (1) JP4425130B2 (ja)
KR (1) KR100702553B1 (ja)
CN (1) CN1296991C (ja)
AT (1) ATE500610T1 (ja)
AU (1) AU2003237320A1 (ja)
DE (1) DE60336237D1 (ja)
IL (1) IL165546A0 (ja)
TW (1) TWI235457B (ja)
WO (1) WO2003103019A2 (ja)

Families Citing this family (247)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6815268B1 (en) * 2002-11-22 2004-11-09 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device
US6720619B1 (en) * 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US7087499B2 (en) * 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
US6762483B1 (en) * 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US6787854B1 (en) * 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6872647B1 (en) * 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US6756643B1 (en) * 2003-06-12 2004-06-29 Advanced Micro Devices, Inc. Dual silicon layer for chemical mechanical polishing planarization
US7005330B2 (en) * 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
KR100521382B1 (ko) * 2003-06-30 2005-10-12 삼성전자주식회사 핀 전계효과 트랜지스터 제조 방법
US6812119B1 (en) * 2003-07-08 2004-11-02 Advanced Micro Devices, Inc. Narrow fins by oxidation in double-gate finfet
US7078742B2 (en) 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US7301206B2 (en) * 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6787476B1 (en) * 2003-08-04 2004-09-07 Advanced Micro Devices, Inc. Etch stop layer for etching FinFET gate over a large topography
US7714384B2 (en) * 2003-09-15 2010-05-11 Seliskar John J Castellated gate MOSFET device capable of fully-depleted operation
KR100555518B1 (ko) * 2003-09-16 2006-03-03 삼성전자주식회사 이중 게이트 전계 효과 트랜지스터 및 그 제조방법
US6970373B2 (en) * 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
JP3863516B2 (ja) * 2003-10-03 2006-12-27 株式会社東芝 半導体装置及びその製造方法
DE10348007B4 (de) * 2003-10-15 2008-04-17 Infineon Technologies Ag Verfahren zum Strukturieren und Feldeffekttransistoren
US7029958B2 (en) * 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US7888201B2 (en) * 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7091566B2 (en) * 2003-11-20 2006-08-15 International Business Machines Corp. Dual gate FinFet
KR100585111B1 (ko) * 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
KR100518602B1 (ko) * 2003-12-03 2005-10-04 삼성전자주식회사 돌출된 형태의 채널을 갖는 모스 트랜지스터 및 그 제조방법
US6967175B1 (en) * 2003-12-04 2005-11-22 Advanced Micro Devices, Inc. Damascene gate semiconductor processing with local thinning of channel region
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
KR100513405B1 (ko) * 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
US7186599B2 (en) * 2004-01-12 2007-03-06 Advanced Micro Devices, Inc. Narrow-body damascene tri-gate FinFET
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
KR100526887B1 (ko) * 2004-02-10 2005-11-09 삼성전자주식회사 전계효과 트랜지스터 및 그의 제조방법
KR100526889B1 (ko) * 2004-02-10 2005-11-09 삼성전자주식회사 핀 트랜지스터 구조
KR100610496B1 (ko) * 2004-02-13 2006-08-09 삼성전자주식회사 채널용 핀 구조를 가지는 전계효과 트랜지스터 소자 및 그제조방법
JP2005236305A (ja) * 2004-02-20 2005-09-02 Samsung Electronics Co Ltd トリプルゲートトランジスタを有する半導体素子及びその製造方法
KR100585131B1 (ko) * 2004-02-20 2006-06-01 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR100577565B1 (ko) * 2004-02-23 2006-05-08 삼성전자주식회사 핀 전계효과 트랜지스터의 제조방법
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
KR100532204B1 (ko) * 2004-03-04 2005-11-29 삼성전자주식회사 핀형 트랜지스터 및 이의 제조 방법
KR100584776B1 (ko) * 2004-03-05 2006-05-29 삼성전자주식회사 반도체 장치의 액티브 구조물 형성 방법, 소자 분리 방법및 트랜지스터 형성 방법
US7087471B2 (en) * 2004-03-15 2006-08-08 International Business Machines Corporation Locally thinned fins
JPWO2005091374A1 (ja) * 2004-03-19 2008-02-07 日本電気株式会社 半導体装置及びその製造方法
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7262084B2 (en) 2004-04-15 2007-08-28 International Business Machines Corporation Methods for manufacturing a finFET using a conventional wafer and apparatus manufactured therefrom
US7098477B2 (en) * 2004-04-23 2006-08-29 International Business Machines Corporation Structure and method of manufacturing a finFET device having stacked fins
US7564105B2 (en) * 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
KR100642632B1 (ko) 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
US7056773B2 (en) * 2004-04-28 2006-06-06 International Business Machines Corporation Backgated FinFET having different oxide thicknesses
US7084018B1 (en) * 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
KR20050108916A (ko) * 2004-05-14 2005-11-17 삼성전자주식회사 다마신 공정을 이용한 핀 전계 효과 트랜지스터의 형성 방법
DE102005022306B4 (de) * 2004-05-17 2009-12-31 Samsung Electronics Co., Ltd., Suwon Verfahren zum Herstellen einer Halbleitervorrichtung mit einem Fin-Feldeffekttransistor (FinFET)
KR100618827B1 (ko) * 2004-05-17 2006-09-08 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
KR100625175B1 (ko) * 2004-05-25 2006-09-20 삼성전자주식회사 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
US7579280B2 (en) 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
JP4675585B2 (ja) * 2004-06-22 2011-04-27 シャープ株式会社 電界効果トランジスタ
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US6960509B1 (en) * 2004-06-30 2005-11-01 Freescale Semiconductor, Inc. Method of fabricating three dimensional gate structure using oxygen diffusion
KR100545863B1 (ko) * 2004-07-30 2006-01-24 삼성전자주식회사 핀 구조물을 갖는 반도체 장치 및 이를 제조하는 방법
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7253493B2 (en) * 2004-08-24 2007-08-07 Micron Technology, Inc. High density access transistor having increased channel width and methods of fabricating such devices
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US6947275B1 (en) 2004-10-18 2005-09-20 International Business Machines Corporation Fin capacitor
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
TWI277210B (en) * 2004-10-26 2007-03-21 Nanya Technology Corp FinFET transistor process
KR100672826B1 (ko) * 2004-12-03 2007-01-22 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조방법
KR100614800B1 (ko) * 2004-12-10 2006-08-22 삼성전자주식회사 복수개의 돌출된 채널을 갖는 트랜지스터의 제조 방법
WO2006070310A1 (en) * 2004-12-28 2006-07-06 Koninklijke Philips Electronics N.V. Method for the manufacture of a semiconductor device and a semiconductor device obtained through it
US7183142B2 (en) * 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
DE102005007822B4 (de) * 2005-02-21 2014-05-22 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Tunnel-Feldeffekttransistor
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7288805B2 (en) * 2005-02-24 2007-10-30 International Business Machines Corporation Double gate isolation
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US20060214233A1 (en) * 2005-03-22 2006-09-28 Ananthanarayanan Hari P FinFET semiconductor device
US7101763B1 (en) 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7268397B2 (en) * 2005-06-21 2007-09-11 International Business Machines Corporation Thermal dissipation structures for finfets
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
KR100728957B1 (ko) * 2005-06-30 2007-06-15 주식회사 하이닉스반도체 돌기형 트랜지스터 제조방법
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7288802B2 (en) * 2005-07-27 2007-10-30 International Business Machines Corporation Virtual body-contacted trigate
US7381649B2 (en) 2005-07-29 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for a multiple-gate FET device and a method for its fabrication
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7268379B2 (en) * 2005-09-05 2007-09-11 Macronix International Co., Ltd Memory cell and method for manufacturing the same
US7381655B2 (en) * 2005-09-14 2008-06-03 International Business Machines Corporation Mandrel/trim alignment in SIT processing
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US20070102756A1 (en) * 2005-11-10 2007-05-10 Bohumil Lojek FinFET transistor fabricated in bulk semiconducting material
US7547947B2 (en) * 2005-11-15 2009-06-16 International Business Machines Corporation SRAM cell
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7402856B2 (en) * 2005-12-09 2008-07-22 Intel Corporation Non-planar microelectronic device having isolation element to mitigate fringe effects and method to fabricate same
US7439588B2 (en) * 2005-12-13 2008-10-21 Intel Corporation Tri-gate integration with embedded floating body memory cell using a high-K dual metal gate
KR100763330B1 (ko) * 2005-12-14 2007-10-04 삼성전자주식회사 활성 핀들을 정의하는 소자분리 방법, 이를 이용하는반도체소자의 제조방법 및 이에 의해 제조된 반도체소자
US7512017B2 (en) * 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
US7396711B2 (en) 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070148926A1 (en) * 2005-12-28 2007-06-28 Intel Corporation Dual halo implant for improving short channel effect in three-dimensional tri-gate transistors
US7410844B2 (en) * 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
US7264743B2 (en) * 2006-01-23 2007-09-04 Lam Research Corporation Fin structure formation
KR20070090375A (ko) * 2006-03-02 2007-09-06 삼성전자주식회사 비휘발성 메모리 장치 및 그 형성 방법
US20070235763A1 (en) * 2006-03-29 2007-10-11 Doyle Brian S Substrate band gap engineered multi-gate pMOS devices
US7449373B2 (en) 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US7425500B2 (en) 2006-03-31 2008-09-16 Intel Corporation Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors
US7407847B2 (en) * 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
US7291564B1 (en) * 2006-04-28 2007-11-06 Hewlett-Packard Development Company, L.P. Method and structure for facilitating etching
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
US7494933B2 (en) * 2006-06-16 2009-02-24 Synopsys, Inc. Method for achieving uniform etch depth using ion implantation and a timed etch
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7537994B2 (en) 2006-08-28 2009-05-26 Micron Technology, Inc. Methods of forming semiconductor devices, assemblies and constructions
US20080054361A1 (en) * 2006-08-30 2008-03-06 Infineon Technologies Ag Method and apparatus for reducing flicker noise in a semiconductor device
US7435683B2 (en) * 2006-09-15 2008-10-14 Intel Corporation Apparatus and method for selectively recessing spacers on multi-gate devices
US20080097346A1 (en) * 2006-09-19 2008-04-24 Alcon, Inc. Trocar cannula
US7700470B2 (en) 2006-09-22 2010-04-20 Intel Corporation Selective anisotropic wet etching of workfunction metal for semiconductor devices
KR100838378B1 (ko) * 2006-09-29 2008-06-13 주식회사 하이닉스반도체 핀트랜지스터의 제조 방법
KR100761354B1 (ko) * 2006-10-02 2007-09-27 주식회사 하이닉스반도체 다면채널을 갖는 반도체소자의 듀얼폴리게이트 및 그의형성 방법
US7811890B2 (en) * 2006-10-11 2010-10-12 Macronix International Co., Ltd. Vertical channel transistor structure and manufacturing method thereof
US8772858B2 (en) 2006-10-11 2014-07-08 Macronix International Co., Ltd. Vertical channel memory and manufacturing method thereof and operating method using the same
US7851848B2 (en) * 2006-11-01 2010-12-14 Macronix International Co., Ltd. Cylindrical channel charge trapping devices with effectively high coupling ratios
US7939403B2 (en) * 2006-11-17 2011-05-10 Micron Technology, Inc. Methods of forming a field effect transistors, pluralities of field effect transistors, and DRAM circuitry comprising a plurality of individual memory cells
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US7612405B2 (en) * 2007-03-06 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of FinFETs with multiple fin heights
KR100861211B1 (ko) * 2007-04-12 2008-09-30 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7560785B2 (en) * 2007-04-27 2009-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having multiple fin heights
US7838923B2 (en) * 2007-08-09 2010-11-23 Macronix International Co., Ltd. Lateral pocket implant charge trapping devices
US20090057846A1 (en) * 2007-08-30 2009-03-05 Doyle Brian S Method to fabricate adjacent silicon fins of differing heights
WO2009044236A1 (en) * 2007-10-03 2009-04-09 Freescale Semiconductor, Inc. Method of forming an inverted t shaped channel structure for an inverted t channel field effect transistor device
US20090124097A1 (en) * 2007-11-09 2009-05-14 International Business Machines Corporation Method of forming narrow fins in finfet devices with reduced spacing therebetween
US20090256207A1 (en) * 2008-04-14 2009-10-15 International Business Machines Corporation Finfet devices from bulk semiconductor and methods for manufacturing the same
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
WO2010025083A1 (en) * 2008-08-28 2010-03-04 Memc Electronic Materials, Inc. Bulk silicon wafer product useful in the manufacture of three dimensional multigate mosfets
US20100155801A1 (en) * 2008-12-22 2010-06-24 Doyle Brian S Integrated circuit, 1T-1C embedded memory cell containing same, and method of manufacturing 1T-1C memory cell for embedded memory application
US7999298B2 (en) * 2008-12-30 2011-08-16 Intel Corporation Embedded memory cell and method of manufacturing same
US8860124B2 (en) * 2009-01-15 2014-10-14 Macronix International Co., Ltd. Depletion-mode charge-trapping flash device
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US7871873B2 (en) * 2009-03-27 2011-01-18 Global Foundries Inc. Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US7855105B1 (en) * 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8105901B2 (en) * 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8187928B2 (en) 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8114721B2 (en) * 2009-12-15 2012-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thickness in forming FinFET devices
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8759943B2 (en) * 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8202780B2 (en) * 2009-07-31 2012-06-19 International Business Machines Corporation Method for manufacturing a FinFET device comprising a mask to define a gate perimeter and another mask to define fin regions
US8039326B2 (en) * 2009-08-20 2011-10-18 Globalfoundries Inc. Methods for fabricating bulk FinFET devices having deep trench isolation
US9257325B2 (en) * 2009-09-18 2016-02-09 GlobalFoundries, Inc. Semiconductor structures and methods for forming isolation between Fin structures of FinFET devices
US8101486B2 (en) * 2009-10-07 2012-01-24 Globalfoundries Inc. Methods for forming isolated fin structures on bulk semiconductor material
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US8227304B2 (en) 2010-02-23 2012-07-24 International Business Machines Corporation Semiconductor-on-insulator (SOI) structure and method of forming the SOI structure using a bulk semiconductor starting wafer
US8420476B2 (en) * 2010-05-27 2013-04-16 International Business Machines Corporation Integrated circuit with finFETs and MIM fin capacitor
CN102347349B (zh) * 2010-07-28 2014-07-23 中国科学院微电子研究所 半导体结构及其制作方法
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
CN102456734B (zh) * 2010-10-29 2015-06-10 中国科学院微电子研究所 半导体结构及其制作方法
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US9240350B2 (en) * 2011-05-16 2016-01-19 Varian Semiconductor Equipment Associates, Inc. Techniques for forming 3D structures
US20140193963A1 (en) * 2011-05-16 2014-07-10 Varian Semiconductor Equipment Associates, Inc. Techniques For Forming 3D Structures
US8597994B2 (en) 2011-05-23 2013-12-03 GlobalFoundries, Inc. Semiconductor device and method of fabrication
US8460984B2 (en) * 2011-06-09 2013-06-11 GlobalFoundries, Inc. FIN-FET device and method and integrated circuits using such
US8466028B2 (en) 2011-06-30 2013-06-18 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing multigate device
US8697522B2 (en) * 2011-07-05 2014-04-15 International Business Machines Corporation Bulk finFET with uniform height and bottom isolation
US9287385B2 (en) * 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
CN103000517B (zh) * 2011-09-09 2016-02-10 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US9105661B2 (en) * 2011-11-03 2015-08-11 Taiwan Semconductor Manufacturing Company, Ltd. Fin field effect transistor gate oxide
CN107039281B (zh) 2011-12-22 2021-06-18 英特尔公司 具有颈状半导体主体的半导体器件以及形成不同宽度的半导体主体的方法
US8881066B2 (en) * 2011-12-29 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mandrel modification for achieving single fin fin-like field effect transistor (FinFET) device
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US9117877B2 (en) * 2012-01-16 2015-08-25 Globalfoundries Inc. Methods of forming a dielectric cap layer on a metal gate structure
US8946027B2 (en) 2012-02-07 2015-02-03 International Business Machines Corporation Replacement-gate FinFET structure and process
US8354320B1 (en) * 2012-02-09 2013-01-15 Globalfoundries Inc. Methods of controlling fin height of FinFET devices by performing a directional deposition process
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN102832133B (zh) * 2012-08-29 2014-12-03 北京大学 在体硅上制备独立双栅FinFET的方法
US9093376B2 (en) 2012-10-24 2015-07-28 International Business Machines Corporation Replacement metal gate FinFET
US9263585B2 (en) * 2012-10-30 2016-02-16 Globalfoundries Inc. Methods of forming enhanced mobility channel regions on 3D semiconductor devices, and devices comprising same
US8987790B2 (en) * 2012-11-26 2015-03-24 International Business Machines Corporation Fin isolation in multi-gate field effect transistors
US9059242B2 (en) 2012-11-27 2015-06-16 International Business Machines Corporation FinFET semiconductor device having increased gate height control
US8835262B2 (en) * 2013-01-08 2014-09-16 Globalfoundries Inc. Methods of forming bulk FinFET devices by performing a recessing process on liner materials to define different fin heights and FinFET devices with such recessed liner materials
US9190419B2 (en) * 2013-02-07 2015-11-17 International Business Machines Corporation Diode structure and method for FINFET technologies
US8940602B2 (en) * 2013-04-11 2015-01-27 International Business Machines Corporation Self-aligned structure for bulk FinFET
US8900934B2 (en) 2013-04-18 2014-12-02 International Business Machines Corporation FinFET devices containing merged epitaxial Fin-containing contact regions
CN104183486A (zh) * 2013-05-21 2014-12-03 中芯国际集成电路制造(上海)有限公司 一种FinFET半导体器件的制备方法
US9087869B2 (en) 2013-05-23 2015-07-21 International Business Machines Corporation Bulk semiconductor fins with self-aligned shallow trench isolation structures
US20140374807A1 (en) * 2013-06-19 2014-12-25 International Business Machines Corporation METHOD OF DEVICE ISOLATION IN CLADDING Si THROUGH IN SITU DOPING
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
FR3009646A1 (ja) * 2013-08-06 2015-02-13 St Microelectronics Sa
FR3009647A1 (ja) * 2013-08-06 2015-02-13 St Microelectronics Sa
US8951850B1 (en) 2013-08-21 2015-02-10 International Business Machines Corporation FinFET formed over dielectric
WO2015045207A1 (ja) * 2013-09-27 2015-04-02 パナソニック株式会社 半導体集積回路および半導体集積回路装置
US9224654B2 (en) 2013-11-25 2015-12-29 International Business Machines Corporation Fin capacitor employing sidewall image transfer
US9190466B2 (en) 2013-12-27 2015-11-17 International Business Machines Corporation Independent gate vertical FinFET structure
US9691763B2 (en) 2013-12-27 2017-06-27 International Business Machines Corporation Multi-gate FinFET semiconductor device with flexible design width
US9190328B2 (en) 2014-01-30 2015-11-17 International Business Machines Corporation Formation of fins having different heights in fin field effect transistors
US9059043B1 (en) * 2014-02-11 2015-06-16 International Business Machines Corporation Fin field effect transistor with self-aligned source/drain regions
US9985030B2 (en) 2014-04-07 2018-05-29 International Business Machines Corporation FinFET semiconductor device having integrated SiGe fin
CN105092324B (zh) * 2014-05-07 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种FinFET鳍片掺杂浓度分布的测量方法和测量样品制备方法
US9385123B2 (en) 2014-05-20 2016-07-05 International Business Machines Corporation STI region for small fin pitch in FinFET devices
US9312389B2 (en) * 2014-05-23 2016-04-12 Broadcom Corporation FinFET with undoped body bulk
KR102287271B1 (ko) * 2014-06-26 2021-08-06 인텔 코포레이션 도핑된 하위 핀 영역을 가진 오메가 핀을 갖는 비 평면 반도체 디바이스 및 이것을 제조하는 방법
US9263587B1 (en) * 2014-09-04 2016-02-16 Globalfoundries Inc. Fin device with blocking layer in channel region
US9583625B2 (en) * 2014-10-24 2017-02-28 Globalfoundries Inc. Fin structures and multi-Vt scheme based on tapered fin and method to form
CN105633152B (zh) 2014-11-05 2019-12-10 联华电子股份有限公司 半导体结构及其制作方法
US9614057B2 (en) * 2014-12-30 2017-04-04 International Business Machines Corporation Enriched, high mobility strained fin having bottom dielectric isolation
KR102274750B1 (ko) * 2015-01-27 2021-07-07 삼성전자주식회사 반도체 장치 제조 방법
US9515089B1 (en) 2015-05-14 2016-12-06 International Business Machines Corporation Bulk fin formation with vertical fin sidewall profile
US9590077B2 (en) * 2015-05-14 2017-03-07 International Business Machines Corporation Local SOI fins with multiple heights
CN107683529B (zh) 2015-06-27 2021-10-08 英特尔公司 通过选择性氧化的多高度finfet器件
US9299924B1 (en) 2015-06-29 2016-03-29 International Business Machines Corporation Injection pillar definition for line MRAM by a self-aligned sidewall transfer
US9425313B1 (en) * 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9362383B1 (en) 2015-09-17 2016-06-07 International Business Machines Corporation Highly scaled tunnel FET with tight pitch and method to fabricate same
US9508597B1 (en) * 2015-09-18 2016-11-29 Globalfoundries Inc. 3D fin tunneling field effect transistor
US9786563B2 (en) * 2015-11-23 2017-10-10 International Business Machines Corporation Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
US9627263B1 (en) 2015-11-30 2017-04-18 International Business Machines Corporation Stop layer through ion implantation for etch stop
US10466731B2 (en) * 2016-01-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Two-transistor bandgap reference circuit and FinFET device suited for same
US9786765B2 (en) * 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same
CN107591362B (zh) * 2016-07-06 2020-08-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9824934B1 (en) 2016-09-30 2017-11-21 International Business Machines Corporation Shallow trench isolation recess process flow for vertical field effect transistor fabrication
CN107919284B (zh) * 2016-10-10 2020-11-27 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10163914B2 (en) * 2017-03-08 2018-12-25 Globalfoundries Inc. Method of reducing fin width in FinFET SRAM array to mitigate low voltage strap bit fails
JP6330942B2 (ja) * 2017-03-08 2018-05-30 インテル・コーポレーション ドープサブフィン領域があるオメガフィンを有する非プレーナ型半導体デバイスおよびそれを製造する方法
CN108305835A (zh) * 2018-03-19 2018-07-20 中国科学院微电子研究所 一种鳍式晶体管器件的制造方法
US10304744B1 (en) * 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition
CN109003902B (zh) * 2018-08-01 2021-07-27 中国科学院微电子研究所 一种半导体结构及其制备方法
US11302814B2 (en) * 2020-01-23 2022-04-12 Nanya Technology Corp. Semiconductor device with porous dielectric structure and method for fabricating the same
US11244901B2 (en) * 2020-02-12 2022-02-08 Nanya Technology Corporation Semiconductor device with graded porous dielectric structure

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4437226A (en) * 1981-03-02 1984-03-20 Rockwell International Corporation Process for producing NPN type lateral transistor with minimal substrate operation interference
US4580331A (en) * 1981-07-01 1986-04-08 Rockwell International Corporation PNP-type lateral transistor with minimal substrate operation interference and method for producing same
US4361600A (en) * 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4502913A (en) * 1982-06-30 1985-03-05 International Business Machines Corporation Total dielectric isolation for integrated circuits
FR2554638A1 (fr) * 1983-11-04 1985-05-10 Efcis Procede de fabrication de structures integrees de silicium sur ilots isoles du substrat
US4764799A (en) * 1985-05-28 1988-08-16 International Business Machines Corporation Stud-defined integrated circuit structure
US4648173A (en) * 1985-05-28 1987-03-10 International Business Machines Corporation Fabrication of stud-defined integrated circuit structure
JPH0779133B2 (ja) * 1986-06-12 1995-08-23 松下電器産業株式会社 半導体装置の製造方法
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
US5595926A (en) * 1994-06-29 1997-01-21 Industrial Technology Research Institute Method for fabricating a DRAM trench capacitor with recessed pillar
US5675164A (en) * 1995-06-07 1997-10-07 International Business Machines Corporation High performance multi-mesa field effect transistor
JP3158973B2 (ja) * 1995-07-20 2001-04-23 富士電機株式会社 炭化けい素縦型fet
US5963789A (en) * 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US5691230A (en) * 1996-09-04 1997-11-25 Micron Technology, Inc. Technique for producing small islands of silicon on insulator
US6177699B1 (en) * 1998-03-19 2001-01-23 Lsi Logic Corporation DRAM cell having a verticle transistor and a capacitor formed on the sidewalls of a trench isolation
US6034417A (en) * 1998-05-08 2000-03-07 Micron Technology, Inc. Semiconductor structure having more usable substrate area and method for forming same
US6110793A (en) * 1998-06-24 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for making a trench isolation having a conformal liner oxide and top and bottom rounded corners for integrated circuits
JP3144387B2 (ja) * 1998-08-17 2001-03-12 日本電気株式会社 半導体装置の製造方法
EP1091413A3 (en) * 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
JP2002151688A (ja) * 2000-08-28 2002-05-24 Mitsubishi Electric Corp Mos型半導体装置およびその製造方法
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed

Also Published As

Publication number Publication date
KR100702553B1 (ko) 2007-04-04
KR20050003401A (ko) 2005-01-10
ATE500610T1 (de) 2011-03-15
AU2003237320A8 (en) 2003-12-19
DE60336237D1 (de) 2011-04-14
AU2003237320A1 (en) 2003-12-19
TWI235457B (en) 2005-07-01
US6642090B1 (en) 2003-11-04
JP2005528793A (ja) 2005-09-22
EP1532659B1 (en) 2011-03-02
CN1653608A (zh) 2005-08-10
TW200411833A (en) 2004-07-01
WO2003103019A3 (en) 2004-03-18
WO2003103019A2 (en) 2003-12-11
EP1532659A4 (en) 2005-12-14
EP1532659A2 (en) 2005-05-25
CN1296991C (zh) 2007-01-24
IL165546A0 (en) 2006-01-15

Similar Documents

Publication Publication Date Title
JP4425130B2 (ja) フィン型電界効果トランジスタの製造方法
JP6211673B2 (ja) トリゲート・デバイス及び製造方法
KR100625057B1 (ko) 다중 임계 금속 게이트 cmos 소자 제조 방법 및 공정
US7098477B2 (en) Structure and method of manufacturing a finFET device having stacked fins
US7329913B2 (en) Nonplanar transistors with metal gate electrodes
US7227224B2 (en) MOS transistor with elevated source and drain structures and method of fabrication thereof
US8207027B2 (en) Triple gate and double gate finFETs with different vertical dimension fins
JP4006419B2 (ja) ハイブリッド・プレーナおよびFinFETCMOSデバイス
JP5204645B2 (ja) 強化した応力伝送効率でコンタクト絶縁層を形成する技術
US7326601B2 (en) Methods for fabrication of a stressed MOS device
US8227316B2 (en) Method for manufacturing double gate finFET with asymmetric halo
US8790991B2 (en) Method and structure for shallow trench isolation to mitigate active shorts
JP2010538460A (ja) 種類および膜厚の異なるゲート絶縁層を有するcmosデバイス、ならびにその形成方法
US20100065917A1 (en) Semiconductor device and method of manufacturing the same
JP2009509359A (ja) ミラー容量低下及び駆動電流改善のための単一ゲート上の複数の低及び高kゲート酸化物
WO2010020579A1 (en) Thin body silicon-on-insulator transistor with borderless self-aligned contacts
US7442612B2 (en) Nitride-encapsulated FET (NNCFET)
US6395589B1 (en) Fabrication of fully depleted field effect transistor with high-K gate dielectric in SOI technology
US20080265362A1 (en) Building fully-depleted and bulk transistors on same chip
US20010053580A1 (en) Inverted MOSFET process

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080820

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090811

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091201

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091208

R150 Certificate of patent or registration of utility model

Ref document number: 4425130

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121218

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121218

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131218

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term