JP2016503578A - プラズマ化学気相堆積(pecvd)の装置及びプロセス - Google Patents

プラズマ化学気相堆積(pecvd)の装置及びプロセス Download PDF

Info

Publication number
JP2016503578A
JP2016503578A JP2015539763A JP2015539763A JP2016503578A JP 2016503578 A JP2016503578 A JP 2016503578A JP 2015539763 A JP2015539763 A JP 2015539763A JP 2015539763 A JP2015539763 A JP 2015539763A JP 2016503578 A JP2016503578 A JP 2016503578A
Authority
JP
Japan
Prior art keywords
substrate
plate
collimator
chamber
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015539763A
Other languages
English (en)
Inventor
ナーガラージャン ラージャゴーパーラン,
ナーガラージャン ラージャゴーパーラン,
シンハイ ハン,
シンハイ ハン,
マイケル チアン,
マイケル チアン,
正樹 尾方,
正樹 尾方,
チーチュン チアン,
チーチュン チアン,
フアン カルロス ロチャ−アルバレス,
フアン カルロス ロチャ−アルバレス,
トーマス ノワック,
トーマス ノワック,
チェンホア チョウ,
チェンホア チョウ,
ランプラカッシュ サンカラクリッシュナン,
ランプラカッシュ サンカラクリッシュナン,
ガネーシュ バラスブラマニアン,
ガネーシュ バラスブラマニアン,
アミット バンサル,
アミット バンサル,
ジョンミン リー,
ジョンミン リー,
トッド イーガン,
トッド イーガン,
エドワード ブディアルト,
エドワード ブディアルト,
ドミトリー パナシュク,
ドミトリー パナシュク,
テランス ワイ. リー,
テランス ワイ. リー,
チエン チェン,
チエン チェン,
モハマド アユーブ,
モハマド アユーブ,
フン ラク パク,
フン ラク パク,
パトリック ライリー,
パトリック ライリー,
シャヒード シェイク,
シャヒード シェイク,
ボク ホーエン キム,
ボク ホーエン キム,
セルゲイ スタリック,
セルゲイ スタリック,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016503578A publication Critical patent/JP2016503578A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/65Raman scattering
    • G01N21/658Raman scattering enhancement Raman, e.g. surface plasmons
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • G01N2201/122Kinetic analysis; determining reaction rate
    • G01N2201/1222Endpoint determination; reaction time determination
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PECVDプロセスにしたがって基板を処理する装置及び方法が説明される。基板の温度プロファイルは、基板にわたる堆積速度プロファイルを変化させるように調整される。プラズマ密度プロファイルは、基板にわたる堆積速度プロファイルを変化させるように調整される。プラズマに晒されるチャンバ表面は、プラズマ密度の均一性を改良し、かつチャンバ表面上の質の低い堆積物の形成を低減させるように加熱される。インシトゥの計測は、堆積プロセスの進行を監視し、かつ基板温度プロファイル、プラズマ密度プロファイル、圧力、温度、及び反応物質の流れを含む、制御動作を開始するために使用され得る。【選択図】図4

Description

本明細書の中において説明される実施形態は、基板上でプラズマ堆積を実行するためのプロセス及び装置に関する。
より具体的には、本明細書の中において説明される実施形態は、組成物及び厚さの極端な均一性を有する層を形成するためのプラズマ堆積のプロセス及び装置に関する。
半導体産業は、過去50年、ムーアの法則にしたがって成長してきた。ムーアの法則は、大まかに、集積回路上のトランジスタの数は2年ごとに倍になると主張している。この公式に本来備わっているのは、トランジスタ密度の進化は2次元的であり、かついくつかの点において、物理学はデバイスがどれだけ小さく成り得るかに制限を課すという制限である。
近年、製造者たちは、処理能力を増加させるために、デバイスの構造を3次元へと拡大するプロセスを展開してきた。そのような装置は、概して、多くの数の材料の層が基板上に連続的に堆積されることを特徴とする。いくつかの場合において、100を超える層が形成され得る。多くの層が連続的に形成される場合、各々の層の中の不均一性は増加し得、使えない構造をもたらす。近年の層を形成するプロセス及び装置は、典型的には、3次元の構造に対して不適切な不均一性を生み出す。それ故、極端に均一な層を基板上に形成するために、新しいプロセス及び装置が必要とされる。
本明細書の中において説明される実施形態は、プラズマ処理チャンバの中の基板支持体上に基板を堆積させること、処理チャンバに堆積前駆体を提供すること、堆積前駆体からプラズマを形成すること、プラズマから基板上に層を堆積させること、地上への経路のインピーダンスを変化させることを含むプロセスによってプラズマの密度プロファイルを調整すること、及び基板の第1の部分に第1のエネルギー束を適用し、かつ基板の第2の部分に第2のエネルギー束を適用することによって、基板の温度プロファイルを調整することであって、第1の部分は第2の部分とは異なり、かつ第1のエネルギー束は第2のエネルギー束とは異なる、温度プロファイルを調整することを含む、基板を処理する方法を提供する。
また、プラズマ処理チャンバの中の基板支持体上に基板を堆積させること、処理チャンバに堆積前駆体を提供すること、堆積前駆体からプラズマを形成すること、プラズマから基板上に層を堆積させること、複数の位置における基板から反射された光を解析することによって、基板上の複数の位置における層の堆積速度を監視すること、及び地上への第1の経路のインピーダンスを変化させるプロセスによって、反射された光の解析に基づいてプラズマの密度プロファイルを調整することを含む、基板を処理する方法が説明される。
また、プラズマ処理チャンバの中の基板支持体上に基板を堆積させること、処理チャンバに堆積前駆体を提供すること、堆積前駆体からプラズマを形成すること、プラズマから基板上に層を堆積させること、複数の位置における基板から反射された光を解析することによって、基板上の複数の位置における層の堆積速度を監視すること、及び基板の第1の部分に第1のエネルギー束を適用し、かつ基板の第2の部分に第2のエネルギー束を適用することによって、反射された光の解析に基づいて基板の温度プロファイルを調整することであって、第1の部分は第2の部分とは異なり、かつ第1のエネルギー束は第2のエネルギー束とは異なる、温度プロファイルを調整することを含む、基板を処理する方法が説明される。
一実施形態による、方法を要約する流れ図である。 別の実施形態による、方法を要約する流れ図である。 一実施形態による装置の概略断面図である。 別の実施形態による装置の概略断面図である。 別の実施形態による装置の概略断面図である。 別の実施形態による装置の概略断面図である。 別の実施形態による装置の概略断面図である。 別の実施形態による装置の概略上面図である。 本明細書の中において開示される他の装置及び方法と一緒に使用され得る、マルチゾーン加熱器を有する基板支持体の概略断面図である。 付加的な特徴を有する基板支持体の概略断面図である。 チャンバの内部に配置される図8Bのマルチゾーン基板支持体を有するチャンバの概略断面図である。 一実施形態による光計測装置を有するチャンバリッドアセンブリの概略断面図である。 図9Aの光計測装置のより詳細な図である。 一実施形態によるコリメータを有するチャンバリッドアセンブリの概略等角図である。 一実施形態によるコリメータの断面図である。 別の実施形態によるコリメータを有するリッドアセンブリの概略等角図である。 一実施形態によるインシトゥの計測を使用する導電性ガス分配器の底面図である。 一実施形態による、層の厚さを決定する方法を要約する流れ図である。 別の実施形態による、層の厚さを決定する方法を要約する流れ図である。 パターン化された基板上における500オングストロームの窒化ケイ素の層の堆積の間の、2、3の選択された波長における時系列の反射データを示すグラフである。 パターン化された基板上における500オングストロームの窒化ケイ素の層の堆積の間の、2、3の選択された波長における時系列の反射データを示すグラフである。 パターン化された基板上における500オングストロームの窒化ケイ素の層の堆積の間の、2、3の選択された波長における時系列の反射データを示すグラフである。 パターン化された基板上の500オングストロームの窒化ケイ素の層の堆積の間における、2、3の選択された波長における時系列の反射データを示すグラフである。 3つの連続的な層の堆積の間に、210ナノメートルにおいて収集された反射データの時系列フィットを示すグラフである。 スタック堆積の選択された層からの反射データのスペクトルフィットを示すグラフである。 スタック堆積の選択された層からの反射データのスペクトルフィットを示すグラフである。 スタック堆積の選択された層からの反射データのスペクトルフィットを示すグラフである。 スタック堆積の選択された層からの反射データのスペクトルフィットを示すグラフである。 透過電子顕微鏡法を使用する厚さの測定値と比較される層の厚さの測定値を示すグラフである。 透過電子顕微鏡法を使用する厚さの測定値と比較される層の厚さの測定値を示すグラフである。 時間に対する厚さのデータのフィットを示すグラフである。
極端に均一で高品質のデバイス層は、ガス流の均一性、処理チャンバの表面における温度の均一性、基板の温度プロファイル、及び基板表面の様々な位置におけるプラズマ密度プロファイルを制御することによって、プラズマプロセスにおいて基板上に形成され得る。プラズマ密度プロファイル及び温度プロファイルは、基板表面にわたる望ましい堆積速度プロファイルを獲得するために、一緒に調整され得る。チャンバ表面の温度の均一性は、反応種の均一な濃度を提供するために、かつチャンバ表面上の堆積を制御及び/又は最小化するために調整され得る。
基板上の均一な厚さ及び組成の層を形成する方法100は、図1の流れ図の中において要約されている。102において、基板は、CVDチャンバの中の基板支持体上に堆積される。
104において、温度プロファイルは、基板の内部で確立される。このことは、例えば、ゾーン加熱器を使用して、基板の種々の部分を種々の速度で加熱することによって行われ得る。2つのゾーンの加熱器が使用され得、かつゾーンの間の温度オフセットは、摂氏約マイナス50度から約プラス50度までに含まれ得る。基板温度は、堆積されている材料に応じて、摂氏約300度から摂氏約800度までに含まれ得、例えば、摂氏約400度及び摂氏約650の間に含まれ得る。
106において、フェイスプレートの温度が選択され、かつ制御される。フェイスプレートは、処理環境に晒され、かつ基板支持体と面するチャンバリッドの表面である。フェイスプレートの温度を制御することは、フェイスプレートの近くのチャンバの処理領域における温度の均一性を促進し、それがフェイスプレートを出て処理領域の中へ入る際に、反応ガス混合の組成の均一性を改良する。フェイスプレートの温度は、加熱要素をフェイスプレートに熱的に結合させることによって制御され得る。このことは、加熱要素とフェイスプレートとの間の直接的な接触によって行われ得、又は別の部材を通る伝導性によって行われ得る。フェイスプレートの温度は、摂氏約100度及び摂氏約300度の間に含まれ得る。
108において、前駆体ガス混合物は、温度が制御されているフェイスプレートを通ってチャンバに提供される。ガス混合は、シリコン(ポリシリコン又はアモルファスシリコン)、酸化ケイ素、窒化ケイ素、又はシリコン酸素窒化物前駆体混合などの、任意の適切なCVD前駆体混合であり得る。ホウ素化合物、リン化合物、及び/又はヒ素化合物などのドーパント前駆体が含まれ得る。以下の流量の範囲は、300ミリメートルの基板に対してサイズが決められたチャンバに適用される。適切な拡大縮小が、他の基板に対してサイズが決められたチャンバに使用され得る。シランなどのシリコン前駆体が、約20sccm及び約2,000sccmの間の流量において提供され得る。TEOSは、約20mgm及び約5,000mgmの間の流量において提供され得る。NO、O、O、HO、CO、又はCOなどの酸素前駆体は、約1,000sccm及び約20,000sccmの間の流量において提供され得る。N、NO、NH、又はHなどの窒素前駆体、若しくはそれらの代替的な異形、又は上述の窒素種の任意の混合は、約200sccm及び約50,000sccmの間の流量において提供され得る。例えば、メタンなどの炭化水素などの炭素前駆体が、炭素を層に加えるために含まれ得る。トリメチルボラン(TMB)、ジボラン(B)、ホスフィン(PH)、アルシン(AsH)、並びに代替的なホスフィン及びアルシン、又はそれらの混合などの、ドーパント前駆体は、約20sccm及び約3,000sccmの間の流量において提供され得る。ドーパント前駆体は、キャリアガスによって運ばれ得、又は例えば、ヘリウム、アルゴン、窒素、若しくは水素、又はそれらの任意の混合などの、希釈ガスの中で希釈され、約500sccm及び約30,000sccmの間の流量において流れる。約0.5トール及び約10トールの間の作動圧力が、チャンバの中で確立される。フェイスプレート及び基板の間の間隔は、約200mils(インチの1,000分の1)及び1,100milsの間で確立される。
110において、プラズマは、前駆体ガス混合物からチャンバの中で形成される。プラズマは、容量性又は誘導性の手段によって形成され得、かつRF電源を前駆体ガス混合物の中へ結合させることによって電圧が加えられ得る。RF電力は、高い周波数成分及び低い周波数成分を有する2周波数RF電力であり得る。RF電力は、典型的には、約50ワット及び約1,500ワットの間の電力レベルにおいて適用され、例えば、約13.56メガヘルツにおける全て高い周波数のRF電力であり得、又は例えば、約300キロヘルツの周波数における高い周波数の電力と低い周波数の電力の混合であり得る。
112において、プラズマ密度プロファイルは、チャンバの側壁に結合された電極、及び/又は基板支持体に結合された電極に、バイアス電圧をかけることによって調整される。各々の電極は、典型的には、電極を通って流れる選択された電流に対するインピーダンスを提供するために制御される。共鳴同調回路は、典型的には、各々の電極及び地面に結合され、かつ共鳴同調回路のための構成要素が少なくとも1つの可変の構成要素を伴って選択され、したがって、インピーダンスは、ターゲット電流の流れを維持するために動的に調整され得る。各々の電極を通る電流の流れは、約0アンペア及び約30アンペアの間、又は約1アンペア及び約30アンペアの間の値に制御され得る。
114において、層は、プラズマから基板上で形成される。前駆体の組成に応じて、層は、シリコン層であり得、それは例えば、ドープされたポリシリコン、微結晶シリコン、若しくはアモルファスシリコンなどの層であり得、ドープされた酸化ケイ素層であり得、ドープされた酸窒化ケイ素層であり得、ドープされた炭化ケイ素層であり得、ドープされた酸炭化ケイ素層であり得、ドープされた窒炭化ケイ素層であり得、ドープされた窒酸炭化ケイ素層であり得、又はドープされた窒化ケイ素層であり得る。例えば、シリコンを含んでいない層などの他の層がまた、適切な前駆体及び流量を選択することによって堆積され得る。
典型的に形成される層は、2パーセント以下の厚さ均一性を有する。1つの側面において、堆積された層の厚さは、わずか2パーセントだけ平均値から変動し得る。別の側面において、層の厚さの標準偏差は、約2パーセントに過ぎない。この厚さの均一性は、実質的に平坦で、薄板状で、かつ平行なスタック構造を維持する一方で、単一のチャンバの中の単一の連続的なプロセスにおいて、例えば、150層までの複数の層の形成を可能にする。
均一性はさらに、プラズマに晒されるチャンバ表面の温度を制御することによって、高められ得る。チャンバ表面が熱的にフロートすることを許容される場合、制御されないやり方で、プラズマ密度及び反応性に影響を与える熱い及び冷たいスポットが発達し得る。上述されたように、シャワーヘッドのフェイスプレートは、抵抗加熱器、若しくはフェイスプレートの一部分を通る導管の中に配置される熱流体、又はさもなければフェイスプレートとの直接的な接触若しくは熱的な接触を使用して加熱され得る。導管は、フェイスプレートのガス流機能の分散を避けるために、フェイスプレートのエッジ部分を通して配置され得る。フェイスプレートのエッジ部分を加熱することは、チャンバの範囲内のヒートシンクであるフェイスプレートのエッジ部分の動きを低減させるために有用であり得る。
チャンバ壁がまた、類似の効果に対して加熱され得る。プラズマに晒されるチャンバ表面を加熱することはまた、チャンバ表面上の堆積、凝縮、及び/又は昇華を最小化し、チャンバの洗浄頻度を低減させ、かつ洗浄ごとの平均周期を増加させる。より高い温度表面はまた、基板上へ落ちる粒子を生み出す可能性が低い、高密度堆積を促進する。抵抗加熱器及び/又は熱流体を有する熱制御導管は、チャンバ壁の熱制御を達成するために、チャンバ壁を通して配置され得る。全ての表面の温度は、コントローラによって制御され得る。
基板上の均一な厚さ及び組成の層を形成する方法200は、図2の流れ図の中において要約されている。方法200は、計測構成要素の付加を伴って、多くの点において図1の方法100に類似する。202において、基板は、CVDチャンバの中の基板支持体上に堆積される。
204において、基板のベースライン反射性は、チャンバの中の基板上の光を輝かせることによって、かつ基板によって反射される光のスペクトルを測定することによって検出される。インシトゥで基板の反射性を測定するための例示的な装置が、以下に説明される。波長の関数としての、基板へ誘導される光の強度は、入射光のスペクトル解析から得られる。波長の関数としての、基板から反射される光の強度は、反射光のスペクトル解析から得られる。波長の関数としての、入射光の強度に対する反射光の強度の比率は、計算されて、かつその後の処理のために保存される。電子メモリを有する電子計算装置は、スペクトルデータの解析のために使用され得る。
206において、温度プロファイルは、実質的に上の104において説明されたように、基板の中において確立される。208において、フェイスプレートの温度は、上の106におけるように設定される。前駆体の流れは、上の108におけるように、210においてCVDチャンバの中へ確立される。プラズマは、上の110におけるように、212においてCVDチャンバの中で形成される。プラズマの密度プロファイルは、上の112におけるように、214において調整され、かつ選択される。216において、層は、実質的に上の114において説明されたように、プラズマから基板上に堆積される。
218において、調整が厚さの均一性を制御することを可能にするために、層が堆積される一方で、堆積される層の厚さの均一性が検出される。基板から反射される光は、堆積が進むにしたがって監視され、かつ反射光における変化は、厚さを決定するために使用される。様々な位置における厚さにおける変化を決定するために、基板上の複数の位置が、典型的に監視される。堆積が進むにしたがって、厚さの均一性を決定するために、様々な位置に対する厚さのデータが比較される。反射光から厚さを決定するための装置及びアルゴリズムは、以下でより詳細に説明される。
220において、基板にわたる堆積の分布に影響を与えるチャンバパラメータが、反射光の解析から218において決定された厚さの均一性に基づいて調整される。プラズマ密度プロファイル、基板温度プロファイル、及びガス流量のうちの少なくとも1つが、層の堆積が進むにしたがって、厚さの均一性を制御するために調整される。基板温度プロファイル及びプラズマ密度プロファイルを調整するための装置が、以下により詳細に説明される。基板温度プロファイルは、典型的には、区域に分けられたエネルギー束を有する基板支持体を使用して、基板上の種々の位置における局所的なエネルギー束を変化させることによって調整される。プラズマ密度プロファイルは、基板支持体上のチャンバのプラズマ生成領域の周りに電極を適用することによって調整され得、かつ可変電子構成要素を使用して、プラズマの中の電荷担体のための地面への様々な経路のインピーダンスを変化させるために、電極のインピーダンスを独立に調整する。インピーダンスを幾何学的に制御することは、基板支持体上のプラズマ密度の形状寸法を制御する。このやり方において、望ましい調整に応じて、より多くのプラズマが基板のエッジ領域に向かって引き付けられ得、又は基板の中央領域に向かって押され得る。
222において、反射光は、堆積膜の全体の厚さをターゲットの厚さと比較することに基づいて、堆積のエンドポイントを決定するために解析される。
方法100及び200は、再現が可能で、かつ極端な厚さの均一性を有する異なった組成を有する層のスタックを形成するために使用され得る。1つの実施形態において、酸化ケイ素及び窒化ケイ素の交互の層が、単一の処理チャンバの中のシリコン基板上に形成され得、各々の層は、約300オングストローム及び約3,000オングストロームの間の厚さを有し、かつ各々の層は、約3パーセントより少ない、いくつかの場合において1パーセントの、基板をわたる厚さの標準偏差で表現される、厚さの均一性を有する。任意の数のそのような層、例えば、40より多くの層、いくつかの場合では125の層が、実質的に単一の処理チャンバの中において形成され得る。
図3は、本明細書の中において説明されるプロセスを実施するために使用され得る、発明に係る装置300の概略側面図である。処理チャンバ300は、チャンバ本体302、チャンバ本体302の内部に配置される基板支持体304、及びチャンバ本体302に結合され、かつ基板支持体304を処理容積320の中に封入するリッドアセンブリ306を備えることを特徴とする。基板は、開口部326を通して処理容積320へ提供され、開口部326は、ドアを使用して処理するために、従来の技術で密封され得る。
電極308は、チャンバ本体302に隣接して配置され得、かつチャンバ本体302をリッドアセンブリ306の他の構成要素から分離し得る。電極308は、リッドアセンブリ306の部分であり得、又は個別の側壁の電極であり得る。電極308は、管状であり得、又はリングのような部材であり得、かつリング電極であり得る。電極308は、処理容積320を取り囲む処理チャンバ300の周囲の連続的なループであり得、又は望ましければ選択された位置において不連続であり得る。電極308はまた、孔の開いたリング又はメッシュ電極などの、孔の開いた電極であり得る。電極308はまた、例えば2次ガス分配器などの、プレート電極であり得る。
アイソレーター310は、例えば、酸化アルミニウム及び/又は窒化アルミニウムなどの、セラミック又は酸化金属などの、誘電材料であり得、電極308と接触し、かつ電極308をガス分配器312から、及びチャンバ本体302から電気的かつ熱的に分離させる。ガス分配器312は、プロセスガスが処理容積320の中へ入ることを可能にするための開口部318を備えることを特徴とする。ガス分配器312は、RF発電機などの電源342と結合され得る。DC電力、パルスDC電力、及びパルスRF電力がまた使用され得る。
ガス分配器312は、導電性ガス分配器又は非導電性ガス分配器であり得る。ガス分配器312はまた、導電性及び非導電性の構成要素から作られ得る。例えば、ガス分配器312の本体は導電性であり得、一方、ガス分配器312のフェイスプレートは非導電性である。プラズマ処理チャンバの中において、ガス分配器312は図3の中において示されるように電力供給され得、又はガス分配器312は地面に結合され得る。
電極308は、処理チャンバ300の地上経路を制御する、同調回路328に結合され得る。同調回路328は、電子センサ330及び電子コントローラ334を備え、それらは可変コンデンサであり得る。同調回路328は、1以上のインダクタ332を備えるLLC回路であり得る。同調回路328は、処理の間に処理容積320の中に存在するプラズマ状態下で、可変又は制御可能なインピーダンスを備えることを特徴とする任意の回路であり得る。図3の実施形態において、同調回路328は、電子コントローラ334と直列な第1のインダクタ332A、及び電子コントローラ334と並列な第2のインダクタ332Bを備えることを特徴とする。電子センサ330は、電圧又は電流センサであり得、かつ処理容積320の内部のプラズマ状態の閉ループ制御の程度を提供するために、電子コントローラ334に結合され得る。
第2の電極322は、基板支持体304に結合され得る。第2の電極332は、基板支持体304の内部に埋め込まれ得、又は基板支持体304の表面に結合され得る。第2の電極322は、プレート、多孔プレート、メッシュ、ワイヤースクリーン、又は任意の他の分散された構成であり得る。第2の電極322は、同調電極であり得、かつ例えば、基板支持体304のシャフト344の中に配置される、50オームなどの選択された抵抗を有するケーブルなどの、導管346によって第2の同調回路136に結合され得る。第2の同調回路336は、第2の電子センサ338及び第2の電子コントローラ340を備え、それらは第2の可変コンデンサであり得る。第2の電子センサ338は、電圧又は電流センサであり得、かつ処理容積320の内部のプラズマ状態にさらなる制御を提供するために、第2の電子コントローラ340に結合され得る。
第3の電極324は、バイアス電極及び/又は静電チャッキング電極であり得、基板支持体304に結合され得る。第3の電極は、フィルター348を通る第2の電源350に結合され得、それは回路とマッチングするインピーダンスであり得る。第2の電源350は、DC電源、パルスDC電源、パルスRF電源、又はそれらの組み合わせであり得る。
図3のリッドアセンブリ306及び基板支持体304は、プラズマ又は熱処理のための任意の処理チャンバを伴って使用され得る。リッドアセンブリ306及び基板支持体304とともに有効に使用され得る、プラズマ処理チャンバの一実施例は、カリフォルニア州サンタクララに位置するアプライドマテリアルズ社から購入することが可能な、PRODUCER(登録商標)プラットフォーム及びチャンバである。他の製造業者からのチャンバがまた、上述された構成要素を伴って使用され得る。
作動において、処理チャンバ300は、処理容積320の中のプラズマ状態のリアルタイムでの制御を提供する。基板は、基板支持体304上に配置され、かつプロセスガスは、任意の望ましいフロープランにしたがって、インレット314を使用してリッドアセンブリ306を通して流される。ガスは、アウトレット352を通してチャンバ300から出て行き、かつ電源は、処理容積320の中にプラズマを確立するために、ガス分配器312に結合される。基板は、望ましければ、第3の電極324を使用して、電気的なバイアスに晒され得る。
処理容積320の中のプラズマに電圧を加えるや否や、電位差が、プラズマと第1の電極308との間に確立される。電位差はまた、プラズマと第2の電極322との間で確立される。その後、電子コントローラ334及び340は、2つの同調回路328及び336によって表される地上経路の流れの特性を調整するために使用され得る。中心から端までの堆積速度及びプラズマ密度の均一性の独立した制御を提供するために、第1の同調回路328及び第2の同調回路336に対して、設定ポイントが配送され得る。電子コントローラが両方とも可変コンデンサである実施形態において、電子センサは、独立して、堆積速度を最大化し、かつ厚さの不均一性を最小化するために、可変コンデンサを調整し得る。
同調回路328及び336のうちの各々は、それぞれの電子コントローラ334及び340を使用して調整され得る、可変インピーダンスを有する。電子コントローラ334及び340が可変コンデンサである場合、可変コンデンサのうちの各々のキャパシタンスレンジ、並びにインダクタ332A及び332Bのインダクタンスは、プラズマの周波数及び電圧特性に応じて、インピーダンスレンジを提供するように選択され、それは各々の可変コンデンサのキャパシタンスレンジの中で最小値を有する。それ故、電子コントローラ334のキャパシタンスが最小又は最大である場合、回路328のインピーダンスが高く、基板支持体を覆う最小の対象範囲を有するプラズマ形状をもたらす。電子コントローラ334のキャパシタンスが、回路328のインピーダンスを最小化する値に近づく場合、プラズマの対象範囲は、基板支持体304の全体の作業領域を効果的にカバーしながら最大値へと増大する。電子コントローラ334のキャパシタンスが、最小のインピーダンス設定から逸脱する場合、プラズマ形状はチャンバ壁から縮小し、基板支持体の対象範囲は減少する。電子コントローラ340は類似の効果を有し、電子コントローラ340のキャパシタンスが変化すると、基板支持体を覆うプラズマの対象範囲が増加したり、減少したりする。
電子センサ330及び338は、それぞれの回路328及び336を閉ループの中で調整するために使用され得る。電流又は電圧に対する設定ポイントは、使用されるセンサのタイプに応じて、各々のセンサの中に設置され、かつセンサは、設定ポイントからの逸脱を最小化するために、各々のそれぞれの電子コントローラ334及び340に対する調整を決定する制御ソフトウェアが提供され得る。このやり方において、プラズマ形状は、処理の間に選択され得、かつ動的に制御され得る。これまでの議論が可変コンデンサである電子コントローラ334及び340に基づく一方で、調整可能な特徴を有する任意の電子構成要素が、同調回路328及び336に、調整可能なインピーダンスを提供するために使用され得ることは、留意されるべきである。
図4は、本明細書の中において説明されるプロセスを実施するために使用され得る、別の発明に係る装置400の概略断面図である。図4の処理チャンバ400は、多くの点において図3の処理チャンバ300と類似し、かつ2つの図面の中において同一な要素は同じ符号が振られている。処理チャンバ400は、基板支持体304に結合される異なる同調回路402を備えることを特徴とする。同調回路402は、同調回路328と同じ構成要素、すなわち、電子コントローラ340、電子センサ338、電子コントローラ340と直列な第1のインダクタ404、及び電子コントローラ340と並列な第2のインダクタ406を有する。
図4の同調回路402は、図3の同調回路336と類似するやり方で働き、可変構成要素340が調整されることに応じて、種々のインピーダンス特性を有する。同調回路402のインピーダンスは、インダクタ404及び406に対して選択されるインダクタンスに応じるやり方において、同調回路336のそれとは異なるだろう。それ故、基板支持体に適用される同調回路の特徴は、プラズマの特徴との関係において有用なインピーダンスレンジをもたらすキャパシタンスレンジを有する可変コンデンサを選択することだけではなくまた、可変コンデンサを使用して利用可能なインピーダンスレンジを修正するインダクタを選択することによっても調整され得る。同調回路336に関して、可変コンデンサ340は、基板支持体を通る地上への経路のインピーダンスを調整し、電極322の電位を変化させ、かつ処理容積320の中のプラズマの形状を変化させる。
図5Aは、本明細書の中において説明されるプロセスを実施するために使用され得る、別の発明に係る装置500の概略断面図である。処理チャンバ500は、チャンバ本体502、チャンバ本体502の内部に配置される基板支持体504、及びチャンバ本体502に結合され、かつ基板支持体504を処理容積520の中に封入するリッドアセンブリ506を備えることを特徴とする。基板は、開口部526を通して処理容積520へ提供され、開口部326は、ドアを使用して処理するために、従来の技術で密封され得る。
リッドアセンブリ506は、チャンバ本体502に隣接して配置され得、かつチャンバ本体502をリッドアセンブリ506の他の構成要素から分離し得る、電極508を備える。電極508は、管状であり得、又はリングのような部材であり得、かつリング電極であり得る。電極508は、処理容積520を取り囲む処理チャンバ500の周囲の連続的なループであり得、又は望ましければ選択された位置において不連続であり得る。アイソレーターのペア510及び512は、それらの各々が、例えば、酸化アルミニウム及び/又は窒化アルミニウムなどの、セラミック又は酸化金属などの、誘電材料であり得、電極508と接触し、かつ電極508をガス分配器514から、及びチャンバ本体502から電気的かつ熱的に分離させる。
アイソレーター510は、処理容積520の処理環境に晒される内部アイソレーターであり得、一方、アイソレーター512は、処理容積520の処理環境に晒されない外部アイソレーターであり得る。そのような実施形態において、内部アイソレーターは、外部アイソレーターよりも高い熱許容性又は熱安定性を有する材料であり得る。内部アイソレーターは、内部アイソレーターの中の熱応力を緩和する、インターフェースと一緒にフィットされ得る複数の構成要素を備え得る。例えば、3つのセラミックリングは、内部アイソレーターを作り上げ得る。内部アイソレーターが耐熱性の高いものである場合、外部アイソレーターは、プラスチックなどのように、耐熱性がより低い材料であり得る。アイソレーター510が、処理環境の中の安定性のために提供される内部アイソレーターである場合、アイソレーター510は、望ましければ、処理環境に対してバリアを提供するために、アイソレーター512の下側のインスタンス(instance)に隣接して下方に延伸され得る。交互に、アイソレーター512の下側のインスタンスは、アイソレーター510と同じ又は類似の材料のアイソレーターと交換され得る。
導電性ガス分配器514が導電性フェイスプレートである実施形態において、導電性フェイスプレートは、実質的に均一な厚さを有するフラットで導電性プレートのような部材であり得、かつ導電性フェイスプレートの表面は、基板支持体504の上側の表面と実質的に平行であり得る。導電性フェイスプレートは、アルミニウム又はステンレススチールなどの金属であり得、かついくつかの実施形態においては、酸化アルミニウム又は窒化アルミニウムなどの誘電材料によって被覆され得る。
導電性ガス分配器514は、導電性フェイスプレートであり得、加熱器516と熱的に接触しており、かつ加熱器516と物理的に接触し得る。加熱器516は、加熱要素576を含み、それは、熱を放射するように設計される電気的な導体などの抵抗要素であり得、又は加熱流体のための導管などの導体要素であり得る。導電性ガス分配器514は、プロセスガスが処理容積520の中へ入ることを可能にするための開口部518を備えることを特徴とする。導電性ガス分配器514のエッジ部分580は、導電性ガス分配器514とRF発電機などの電源542との結合を可能にするために、処理チャンバ500の側部に沿ってアクセス可能である。DC電力、パルスDC電力、及びパルスRF電力がまた使用され得る。
第1の区域に分けられたプレート552及び第2の区域に分けられたプレート558を備える区域に分けられたブロッカプレートは、導電性ガス分配器514に接触し、かつリッドアセンブリ506を通る複数のガス経路を提供する。図5Aの中において示される実施形態がそのような区域に分けられたブロッカプレートの一構成の実施例である一方で、2より多い数の区域に分けられたプレートを有する構成を含む、区域に分けられたブロッカプレートの他の構成が考えられる。第1の区域に分けられたプレート552は、導電性ガス分配器514の開口部518と流体連通する第1の区域に分けられたプレート552の中の開口部556を通る処理容積520への分散のための第1の経路を通るプロセスガスを循環させるための1以上のプレナム554を有する。第2の区域に分けられたプレート558はまた、第1の区域に分けられたプレート552の開口部562及び導電性ガス分配器514の開口部518を通り抜けて流体連通する第2の区域に分けられたプレート552の中の開口部578を通る処理容積520への分散のための第2の経路を通るプロセスガスを循環させるための1以上のプレナム560を有する。
ガスキャップ564は、第2の区域に分けられたプレート558と接触するように配置され、かつ第1の区域に分けられたプレート552の中のプレナム554と、第2の区域に分けられたプレート558の中のプレナム560とに対して個別にプロセスガスを流すためのポータルを提供し、プロセスガスが処理容積520に到達する前に互いに接触することなしに、処理容積520に流れることを可能にする。ガスキャップ564はまた、望ましければ、第3のガス経路を通って処理容積520の中へ直接的にプロセスガスをパスするために、第2の区域に分けられたプレート558及び第1の区域に分けられたプレート552の中の通り抜け開口部568と、及び開口部518のうちの1つと流体連通するポータル566を備えることを特徴とする。ガスキャップ564はまた、ガスキャップ564を通して流体を循環させるための導管570を備えることを特徴とする。流体は、冷却流体などの熱制御流体であり得る。水は、使用され得る冷却流体の一実施例であるが、他の流体、液体、及び固体もまた使用され得る。熱制御流体は、インレット572を通る導管570に提供され、かつアウトレット574を通して導管570から引き出される。ガスキャップ564は、第1及び第2の区域に分けられたプレート552及び558と、及び導電性ガス分配器514と熱伝導する。加熱器516及び熱的に制御されたガスキャップ564は一緒に、エッジから中心へ、かつ基板から基板への熱均一性を可能にするために、導電性ガス分配器514に対する熱制御を提供する。ガスは、処理容積520からポータル578を通って抜き出され、それは(図示せぬ)真空源と結合され得、チャンバ本体に沿った任意の便利な位置に配置され得、かつ望ましければ、ポンピングプレナムと関連し得る。
電極508は、処理チャンバ500の地上経路を制御する、同調回路528に結合され得る。同調回路528は、電子センサ530及び電子コントローラ534を備え、それらは可変コンデンサであり得る。同調回路528は、1以上のインダクタ532を備えるLLC回路であり得る。電子センサ530は、電圧又は電流センサであり得、かつ処理容積520の内部のプラズマ状態の閉ループ制御の程度を提供するために、電子コントローラ534に結合され得る。
第2の電極522は、基板支持体504に結合され得る。第2の電極522は、基板支持体504の内部に埋め込まれ得、又は基板支持体504の表面に結合され得る。第2の電極522は、プレート、多孔プレート、メッシュ、ワイヤースクリーン、又は任意の他の分散された構成であり得る。第2の電極522は、同調電極であり得、かつ例えば、基板支持体504のシャフト544の中に配置される、50オームなどの選択された抵抗を有するケーブルなどの、導管546によって第2の同調回路536に結合され得る。第2の同調回路536は、第2の電子センサ538及び第2の電子コントローラ540を備え、それらは第2の可変コンデンサであり得る。第2の電子センサ538は、電圧又は電流センサであり得、かつ処理容積520の内部のプラズマ状態にさらなる制御を提供するために、第2の電子コントローラ540に結合され得る。
第3の電極524は、バイアス電極であり得、基板支持体504に結合され得る。第3の電極は、第2の電源550及びフィルター548を備えるバイアスユニット599に結合され得、それは回路とマッチングするインピーダンスであり得る。第2の電源550は、DC電源、パルスDC電源、パルスRF電源、又はそれらの組み合わせであり得る。
図5Aのリッドアセンブリ506及び基板支持体504は、プラズマ又は熱処理のための任意の処理チャンバを伴って使用され得る。リッドアセンブリ506及び基板支持体504とともに有効に使用され得る、プラズマ処理チャンバの一実施例は、カリフォルニア州サンタクララに位置するアプライドマテリアルズ社から購入することが可能な、PRODUCER(登録商標)プラットフォーム及びチャンバである。他の製造業者からのチャンバはまた、上述された構成要素を伴って使用され得る。
作動において、処理チャンバ500は、リッドアセンブリ506の中の温度、及び処理容積520の中のプラズマ状態のリアルタイムでの制御を提供する。基板は、基板支持体504上に配置され、かつプロセスガスは、任意の望ましいフロープランにしたがって、リッドアセンブリ506を通して流される。温度設定ポイントは、導電性ガス分配器に対して確立され得、かつ加熱器516の作動によって、かつ導管570を通る冷却流体の循環によって制御され得る。電源は、処理容積520の中にプラズマを確立するために、導電性ガス分配器514に結合され得る。導電性ガス分配器514の温度が制御されるので、より少ない電力が導電性ガス分配器514及びリッドアセンブリ506の他の構成要素の加熱を介して消散され、かつ導電性ガス分配器514の温度は、中心から端まで、かつ基板から基板まで一定に保たれ、処理チャンバ500の中で第1の基板の処理が開始される。基板は、望ましければ、第3の電極524を使用して、電気的なバイアスに晒され得る。
処理容積520の中のプラズマに電圧を加えるや否や、電位差が、プラズマと第1の電極508との間に確立される。電位差はまた、プラズマと第2の電極522との間で確立される。その後、電子コントローラ534及び540は、2つの同調回路528及び536によって表される地上経路の流れの特性を調整するために使用され得る。中心から端までのプラズマ密度の均一性及び堆積速度の独立した制御を提供するために、第1の同調回路528及び第2の同調回路536に対して、設定ポイントが配送され得る。電子コントローラが両方とも可変コンデンサである実施形態において、電子センサは、独立して、堆積速度を最大化し、かつ厚さの不均一性を最小化するために可変コンデンサを調整し得る。プラズマ処理チャンバは、第1又は第2の電極のうちの1つ又は第1及び第2の電極の両方を有し得る。同様に、プラズマ処理チャンバは、第1の同調回路又は第2の同調回路のうちの1つ、又は第1及び第2の同調回路の両方を有し得る。
同調回路528及び536のうちの各々は、それぞれの電子コントローラ534及び540を使用して調整され得る、可変インピーダンスを有する。電子コントローラ534及び540が可変コンデンサである場合、可変コンデンサのうちの各々のキャパシタンスレンジ、及びインダクタ532A及び532Bのインダクタンスは、プラズマの周波数及び電圧特性に応じて、インピーダンスレンジを提供するように選択され、それは各々の可変コンデンサのキャパシタンスレンジの中で最小値を有する。それ故、電子コントローラ534のキャパシタンスが最小又は最大である場合、回路528のインピーダンスが高く、基板支持体を覆う最小の対象範囲を有するプラズマ形状をもたらす。電子コントローラ534のキャパシタンスが、回路528のインピーダンスを最小化する値に近づく場合、プラズマの対象範囲は、基板支持体504の全体の作業領域を効果的にカバーしながら最大値へと増大する。電子コントローラ534のキャパシタンスが、最小のインピーダンス設定から逸脱する場合、プラズマ形状はチャンバ壁から縮小し、かつ基板支持体の対象範囲は減少する。電子コントローラ540は類似の効果を有し、電子コントローラ540のキャパシタンスが変化すると、基板支持体を覆うプラズマの対象範囲が増加したり、減少したりする。
電子センサ530及び538は、それぞれの回路528及び536を閉ループの中で調整するために使用され得る。電流又は電圧に対する設定ポイントは、使用されるセンサのタイプに応じて、各々のセンサの中に設置され、かつセンサは、設定ポイントからの逸脱を最小化するために、各々のそれぞれの電子コントローラ534及び540に対する調整を決定する制御ソフトウェアが、提供され得る。このやり方において、プラズマ形状は、処理の間に選択され得、かつ動的に制御され得る。これまでの議論が可変コンデンサである電子コントローラ534及び540に基づく一方で、調整可能な特徴を有する任意の電子構成要素が、調整可能なインピーダンスを有する同調回路528及び536を提供するために使用され得ることは、留意されるべきである。
図5Aの中のチャンバ500はまた、リッドアセンブリ506の中に配置される光計測装置582を有する。光計測装置582は、典型的には、第2の区域に分けられたプレート558の中に収容され、かつ第1の区域に分けられたプレート552の中に固定される。望ましければ、種々の数のプレートが、リッドアセンブリ506の中で使用され得る。光計測装置582は、アクセスの容易さのために、典型的には、ガスキャップ564の下の第1のプレートの中に収容される。光計測装置582は、第1の区域に分けられたプレート552を通る開口部586と、光学的に位置合わせされる。開口部586は、導電性ガス分配器514のガス流開口部518と位置合わせされ、かつ光学的にレジストレーション(registration)される。典型的には、このタイプの開口部は、光計測装置582と導電性ガス分配器514との間の全てのプレートを通して提供される。導電性ガス分配器514の開口部518は、ガス流の均一性に対してサイズが決められる。光計測装置582は、開口部518のサイズを再度決定することなしに、開口部518のうちの1つを通って移動するようにサイズが決められる光のビームを生み出す。光計測装置582から放出される光は、開口部584、586、及び518を通り、基板支持体504に向かって移動する。光は、基板支持体504上に配置される基板から反射し、かつ開口部518、586、及び584を通って戻り、光計測装置582に至る。光計測装置582のさらなる詳細は、以下に、図9Aから図10Cとの関連において説明される。ガスキャップ564の中の凹部は、第2の区域に分けられたプレート558の上方へ突出する、光計測装置582の上側部分を受け入れる。
図5Bは、別の実施形態による処理チャンバ590の概略断面図である。図5Bの処理チャンバ590は、多くの点において図5Aの処理チャンバ500と類似し、かつ2つの図面の中において同一な要素は同じ符号が振られている。処理チャンバ590は、基板支持体504に結合される異なる同調回路592を備えることを特徴とする。同調回路592は、同調回路528と同じ構成要素、すなわち、電子コントローラ540、電子センサ538、電子コントローラ540と直列な第1のインダクタ594、及び電子コントローラ540と並列な第2のインダクタ596を有する。
同調回路592は、図5Aの同調回路536に類似するやり方で作動する。同調回路592は、可変インピーダンスを有し、レンジは、回路、電極522、及びプラズマの電子構成要素の特性によって設定される。同調回路592の電子構成要素のうちの少なくとも1つは、制御され得る可変インピーダンスを提供するために可変である。同調回路592のインピーダンスを変えることは、処理容積520の中のプラズマの密度プロファイルを制御する。
図6は、別の実施形態による装置600の概略断面図である。装置600は、2つの処理チャンバ602A及び602Bのタンデムユニットである。処理チャンバ602A及び602Bの各々は、チャンバ300、400、500、及び590のうちのいずれかである。典型的には、チャンバ602A及び602Bは実質的に同一であるが、それらは同一である必要はない。図6の中において、処理チャンバ602A及び602Bは同一であり、かつ各々、図5Bのチャンバ590に類似する。チャンバ602A及び602Bのうちの各々は、それらのそれぞれの基板支持体シャフト544A及び544Bの周りに配置される、それぞれの出口ポータル650A及び650Bを有する。チャンバ602A及び602Bは、一般的な真空源630に結合される一般的な真空ライン652を通して空にされる。装置600は、チャンバ602A及び602Bのリッド上のそれぞれのガスマニフォールド606A及び606Bへプロセスガスを配送する、ガス供給システム604を備える。ガス供給システム604は、一般的な流れ制御装置612によって、一般的な配送導管610によって、ガスマニフォールド606A及び606Bに結合される少なくとも1つのガス源608を備える。ガス供給システムはまた、個別の流れ制御装置616A及び616Bによって、個別の配送導管618を通して、ガスマニフォールド616A及び616Bに結合される少なくとも1つのガス源614を備える。望ましければ、共通に制御される流れ及び個別に制御される流れの中へのガス流の分離は、各々のチャンバに対してより近いプロセスガス流の制御を可能にし、一方、各々のチャンバに対して周囲のガスの共通の流れ制御を維持する。
コントローラ620は、個別の制御装置614、共通の制御装置612、各々のチャンバの加熱器516、並びにそれぞれの同調回路のインピーダンスを制御する同調回路の電子センサ530及び538、各々のチャンバの光計測装置582、並びに各々のチャンバに対するバイアス生成回路599を含む、装置600の様々な制御フィーチャに結合される。コントローラ620は、光計測装置582を使用して堆積の進行を監視し、かつ各々の堆積に対して望ましい均一性を獲得するために、導電性ガス分配器のうちの各々の、ガス流、プラズマ密度プロファイル、及び温度を調整する。
図7は、別の実施形態による装置700の概略上面図である。装置700は、処理チャンバの集合であり、それらのうちの全ては、図5Aの処理チャンバ500の実施形態であり得、移送チャンバ708及びロードロックアセンブリ704に結合される。図5Bの処理チャンバ590、図3の300、及び図4の400がまた使用され得る。処理チャンバ500は、概して、図6のタンデムユニット600などのタンデムユニット702の中に群化され、それらの各々は単一のプロセスガス分配器712を有する。図6に付随する説明の中において記述されているように、プロセスガスの流れは、装置700の中のタンデムユニット600の2つのチャンバに対して共通に制御され得、及び/又はタンデムユニット600の各々のチャンバに対して個別に制御され得る。タンデムユニット702は、移送チャンバ708の周りに位置決めされ、それは典型的には、基板を操作するためのロボット710を有する。ロードロックアセンブリ704は、タンデム構成の中においてまた、2つのロードロックチャンバ706を備えることを特徴とし得る。装置700は、概して、高いスループットを有する製造環境の中において、本明細書の中で説明される方法を実施することに適している。装置300、400、500、又は590の中の、本明細書の中において説明されるチャンバの実施形態のうちのいずれも、任意の組み合わせにおいて装置700の中で使用され得ることは留意されるべきである。
図8Aは、基板支持体802上に配置される基板の温度プロファイルを制御するために、本明細書の中において開示される他の装置及び方法と一緒に使用され得る、マルチゾーン加熱器を有する基板支持体の概略断面図である。基板支持体802は、埋め込まれた熱電対804を有する。基板支持体802の実施形態は、粉体状のAlNが鋳型の中へ圧されて、かつ加熱され得る、ホットプレス焼結プロセスを使用して作られ得る。例示的な実施形態において、基板支持体802は、AlNの第1の層を形成するためにAlNパウダーを鋳型の中へ層状に配置すること、第1の加熱要素814をAlNの第1の層上に位置決めすること、それは抵抗加熱要素であり得、第1の加熱要素814上にAlNの第2の層を堆積させること、AlNパウダーの第2の層上に第2の加熱要素を位置決めすること、第2の加熱要素816上にAlNの第3の層を加え、AlNの第3の層上に熱電対804を位置決めすること、及びその後熱電対804上にAlNパウダーの第4の層を堆積させることによって、形成され得る。この手順が、図8Aの中において示されるものに関して逆の位置に基板支持体802を形成することに留意されたい。
上述された電極が含まれる場合、上述されたようなレイヤリングプロセスは、加熱要素814及び816並びに熱電対804の前又は後のいずれかにおいて、バイアス電極及び同調電極を提供するために広げられる。一旦、AlNパウダーの層、加熱要素814及び816、熱電対804、並びに任意の望ましい電極が適切な位置に置かれると、焼結を含むために構造体に高い圧力及び高い温度(当該技術分野において知られているように)が適用され得る。結果は、図8Aの中において示されるように、固体の基板支持体802の形成である。説明された上述の実施例が、2つの区域に分けられた基板支持体を形成するためのステップであることに留意されたい。他の実施形態において、3、4、5、及び6又はそれより多くのゾーン基板支持体が、適切な対応するレイヤリングステップ並びに付加的な加熱要素及び熱電対を用いて作られ得る。
いくつかの実施形態において、熱電対804は、第1の材料806の長手方向の断片、及び第2の材料808の長手方向の断片を含み得る。第1の材料及び第2の材料は、典型的には、上述された製造プロセスの間の損傷を避けるために十分に高い融点を有し、ゼーベック係数における差異は、小さい温度変動に対応する電圧信号を生成するために十分であり、かつ熱膨張係数は、熱電対804及び基板支持体802の両方が温度サイクルの間に熱応力によって損傷されないように、基板支持体材料のそれと近い。
第1の材料806及び第2の材料808は、バー、ワイヤー、ストリップ、又は基板支持体802の中心から基板支持体802の外側加熱ゾーンへ延伸することができ、かつまた、信頼できる電気的な接続の形成を可能とするように、両方の端部において十分な表面積を有することができる、任意の他の実施可能形状において形作られ得る。長手方向の断片806及び808の接合端部810において、長手方向の断片806及び808は、一緒に溶接され得、及び/又はさもなければ導電性充填材料を使用して接続され得る。
熱電対接合810が溶接によって形成される実施形態において、接合810が焼結プロセスの間に無傷のままであり、適用される熱を許容することを可能にする溶接方法が選ばれるべきである。例えば、タングステン不活性ガス(TIG)溶接又は類似の技術は、焼結の間に溶けない溶接された接合を形成するために、W5Re、W26Re、又は他の導電性材料の断片を、W5Re及びW26Reの長手方向の断片806及び808に溶接するために使用され得る。
それ故、いくつかの実施形態において、熱電対接合810を形成する方法は、長手方向の断片806及び808として機能する、W5Re及びW26Reの間に充填材料をサンドウィッチすることである。充填材料は、W5Re又はW26Reのいずれよりも高くない抵抗を有し、かつ焼結温度よりも上の融点を有する金属であり得る。長手方向の断片806及び808として使用されるW5Re及びW26Reのストリップとともに使用される適切な充填材料の実施例は、W5Re、W26Re、タングステン(W)、モリブデン(Mo)、及び類似の材料を含む。いくつかの実施形態において、ホットプレス焼結プロセスは、充填材料をW5Re及びW26Reの長手方向の断片806及び808に接着するために使用され得る。
絶縁材料が、長手方向の断片806及び808の間のスペース812の中に挿入され得、又はAlNパウダーが断片806及び808の間のスペース812の中へ押し込まれ得る。AlNが熱電対の断片806及び808を互いから絶縁するために使用される場合、AlNの少なくとも0.5ミリメートルの厚さは、通常において十分である。付加的な厚さが使用され得る。図7の中において示される長手方向の断片806及び808は、互いの上に配置されるが、他の実施形態において、長手方向の断片806及び808は、互いに対して水平に配置され得、かつそれ故、基板支持体802の内部において同じ垂直位置に配置され得る。そのような構成は、製造の間に断片806及び808の間のスペース812の中へ絶縁AlNパウダーを堆積させることを容易にし得る。
図8Bは、付加的な特徴を有するマルチゾーン基板支持体800の概略断面図である。図8Aの基板支持体802を焼結させた後に、孔818及び820が、基板支持体802の下側表面824の中心において開けられる。孔818及び820は、長手方向の断片806及び808を晒すように延伸する。基板支持体802の中に孔を開ける任意の実施可能方法(例えば、ドリリング)が、使用され得る。孔818及び820は、コネクタ(例えば、導電性ワイヤー)が長手方向の断片806及び808に接続されることを可能にするために十分な直径から作られる。いくつかの実施形態において、長手方向の断片806及び808に対して使用される同じ材料が、コネクタに対してそれぞれ使用され得る。いくつかの実施形態において、コネクタは、長手方向の断片806及び808とは異なる材料である。そのような場合において、測定される温度は、熱電対接合810の位置と、基板支持体802の中心におけるコネクタ接続ポイントとの間の温度差異に基づき得る。
2つのゾーンの支持体に対して、コネクタ接続ポイントは、内側ゾーンの温度を測定するために使用され、かつ基板支持体802の中心において配置される、従来の熱電対826に近接する。コネクタ接続ポイントの温度が内側ゾーンの温度と同じであると推定すると、熱電対接合810の位置が計算され得る。
いくつかの実施形態において、コネクタは、長手方向の断片806及び808に、ろう付けされ、溶接され、又ははんだ付けされる。ろう付けプロセスは、材料の酸化を避けるために酸素が存在しない環境の中において実行され得る。それに加えて、内側加熱ゾーンのために基板支持体802の中へ従来の熱電対826を挿入するために、孔824が開けられ得る。図示されていないが、加熱要素814及び816に対するコネクタのための付加的な孔がまた開けられ得、かつ要素814及び816に対する接続が作られ得る。
次に、シャフト822は、基板支持体802の下側表面828の中心に取り付けられ得る。いくつかの実施形態において、シャフト822は、長手方向の断片806及び808に対するコネクタを収容し、従来の熱電対826に対するコネクタ、及び加熱要素814及び816に対するコネクタは、様々なコネクタがそれぞれの熱電対802及び804、並びに加熱要素814及び816に取り付けられる前に、基板支持体802に対して取り付けられ得る。
図8Cは、処理チャンバ502などの処理チャンバの中に配置される図8Bのマルチゾーン基板支持体800を示す。熱電対826及び804、及び加熱要素814及び816からのコネクタは、熱電対826及び804からの信号を受信及び記録し、かつ加熱要素814及び816に対して電流を適用するために適合されるプロセッサ及び適切な回路を含み得る、コントローラ832に結合される。図8Bのマルチゾーン支持体800は、チャンバ300、400、500、及び/又は590のうちのいずれかの中に配置され得、かつ上述されたようにまた、バイアス電極及び同調電極を含み得る。
図9Aは、一実施形態による光計測装置582の中心を通る概略断面図である。図9Bは、図9Aの光計測装置582の中心を通る拡大断面図である。示されるように、計測装置582は、第2の区域に分けられたプレート558の中の開口部940の内部に存在するコリメータ912を含む。図9Aの実施形態において、計測装置582は、随意のシーティングプレート942の中へ延伸し、第1の区域に分けられたプレート552及び第2の区域に分けられたプレート558の間に配置される。シーティングプレート942はまた、区域に分けられたプレートであり得、又はシーティングプレート942は、第1の区域に分けられたプレート552と第2の区域に分けられたプレート558との間に、ガス流を単にパスすることができる。第1の区域に分けられたプレート552は、プロセスガスの流れがコリメータ912の中へ入ることを妨げるために、その中に配置される窓902を有し、その流れはコリメータ912の内側の光学的要素を損傷し得る。窓902は、コリメータ912からの光が、サファイア、クウォーツ、又は任意の適切な組成物のガラスなどの、第1の区域に分けられたプレート552を通ることを可能にする、任意の材料であり得る。
開口部940及びコリメータ912は一緒に、第1のギャップ934及び第2のギャップ936を画定する。第1のギャップ934は、コリメータ912の第1の部分944を取り囲み、かつ第2のギャップ936はコリメータ912の第2の部分946を取り囲む。コリメータ912の第1の部分944は円筒形状を有し得、一方、第2の部分946は箱形状を有し得る。第1のギャップ934は、以下により詳細に説明されるように、コリメータ912の傾き又は回転動作を受け入れるために、第2のギャップ936よりも大きくなり得る。
窓902は、窓ホルダー904によってシーティングプレート942の内部のレッジ914上に配置される。窓902は、処理の間に、基板に関し一定の角度において配置される。作動の間に、光は、キセノンランプからの光などのような、典型的には広いスペクトル光であり、(図示せぬ)光源から(図示せぬ)ファイバーの束を通ってコリメータ912に提供される。光は、コリメータ912を通り抜け、コリメータ912は、光を開口部586及び518に位置合わせし、かつ光を実質的に開口部586及び518の直径の範囲内の直径に圧縮する。その後、光は、窓902を通り抜け、シーティングプレート942の中に形成される開口部950を通り抜け、開口部586を通り抜け、かつ導電性ガス分配器514を通って形成される開口部518を通り抜け、基板を照らす。その後、光は、基板から反射されて、開口部518、586、及び950を通り抜けて戻り、コリメータ912に至る。窓902は、コリメータ912からコリメータ912の中へ戻る外向きの光の直接的な反射を妨げるために、一定の角度において配置される。窓902を方向付けることは随意であり、したがって、角度は約0度と約25度との間であり得、約1度と約10度との間などであり、例えば約3度である。基板からの反射光は、コリメータから外へパスされ、(図示せぬ)ファイバーの束を通って、(光ダイオード、光ダイオードアレイ、又はCCDアレイなどの)スペクトロメータ又は他のスペクトル光解析器に至る。
上述の構造を使用して、計測は、基板処理の間に実行され得る。コリメータ912からの光(及び基板からの反射光)が開口部518を通り抜ける一方で、ガスは、開口部518を通って流れ得、かつ基板は処理され得る。開口部518は、導電性ガス分配器514を通るガス流の均一性に対してサイズが決められ、かつそれ故、典型的には、インシトゥの計測のために使用される導電性ガス分配器514の開口部518に対して寸法において類似する。一実施形態において、開口部518は、0.0028インチの直径を有する。
光は、光ファイバーの束を形成する複数の光ファイバー932を有する導管930を通して、コリメータ912に入る。コリメータ912は、レンズ及び鏡などの(図示せぬ)光学素子を備えることを特徴とし、それらは、光ファイバーの束932からの光をコリメータ912の中の開口部948へ再誘導する。光学素子は、開口部948を通るコリメータ912から現れる光を、開口部950、586、及び518に位置合わせし、かつ光を開口部950、586、及び518の最も小さい寸法を通るようにフィットさせるためにフォーカスするか又は形状を整える。光は、基板の2つの直径によって形成される平面に垂直な経路に沿って誘導され、その結果として、光は基板表面に対して実質的に垂直である。光学素子はまた、基板表面から反射された光がまた、実質的に、開口部518、586、及び950を通り抜けてコリメータ912の中へ至り、ファイバーの束932を通り抜けてスペクトル光解析器に至るように、光の形状を整える。
光ファイバーの束は、図6及び図7の実施形態におけるように、単一のブロードバンド源からの光を複数のチャンバに配送するために使用され得る。単一の光源は、測定される光が種々のチャンバの中で可能なように均一及びそれに類するものになるように、光を光ファイバーの束の中へ配送し得、かつ各々のチャンバへ経路指定される束のうちのいくつかのファイバーの中へ配送し得る。測定誤差又は変動性の潜在的な源は、それによって最小化される。1つの例において、7つのファイバーの束は1つの光源によって照らされ、かつ3つのファイバーが、2つの異なる処理チャンバのうちの各々の3つのコリメータへ経路指定される。7番目のファイバーは、長時間の光源の変動が埋め合わされるように、基準を提供するためにスペクトロメータに直接的に経路指定される。このやり方において、単一の光源は、2つの異なるチャンバの中のウエハ上の任意の数の位置の測定を容易にし、2つのチャンバの中で同時に進行する堆積プロセスを監視する能力を提供する。そのような能力は、2つのチャンバの中で同時に実行されている処理の間の変動が最小化されるように、2つのチャンバの制御を可能にする。
基板へ誘導される光は分極されておらず、かつ約200ナノメートルから約800ナノメートルまでの波長の範囲に入り得る。ガスが開口部を通って流れている一方で、光がガス分配器のガス流開口部を通って移動するように、ファイバーは部分的に光をコリメートし、かつ任意の便利なサイズ、例えば、約0.1ミリメートルから約5ミリメートルの直径、より詳細には約2ミリメートルの直径を有する、ウエハ上のスポットを照らす。
プラズマは、本明細書の中で説明される装置のうちのいずれかを使用して、実行される堆積プロセスの間に形成され得る。プラズマからの光は、本明細書の中において説明されるインシトゥの監視装置に結合されるスペクトロメータによって検出され得、かつ反射率測定の結果をあいまいにし得る。そのような効果は、測定光源を起動させるのに先立って、プラズマからの光のスペクトルを取得することによって埋め合わせされ得る。その後、プラズマスペクトルは、反射される測定光から受信したスペクトルから差し引かれ得る。スペクトロメータに結合され、又はスペクトロメータと統合されるコントローラ又はコンピュータは、修正された反射光を生み出すために、検出された反射スペクトルから検出されたプラズマスペクトルを差し引くことができる。その後、修正された反射スペクトルは、本明細書の中において説明されるアルゴリズムを実行するために使用され得る。プラズマスペクトルは、望ましければ、フラッシュからフラッシュへのプラズマスペクトルの中のドリフトを埋め合わせるために、測定光源の各々のフラッシュに先立って修正され得る。
処理の間において、第1の区域に分けられたプレート552、第2の区域に分けられたプレート558、導電性ガス分配器514、及び随意のシーティングプレート942などの、様々なチャンバ構成要素は、熱的な膨張及び縮小を経験し得る。それ故、コリメータ912は、チャンバの内部に適正に配置されなければ、開口部586及び518と容易に誤って位置合わせされ得る。開口部586及び518に関するコリメータ912の適正な位置合わせを確実にするために、コリメータ912は、例えば、円錐台形状の延伸部などの、凹部922と係合する傾斜した側壁908を有する、テーパが付けられた延伸部926を有し、それは、シーティングプレート942の中で形成される対応する傾斜した壁906を有する、テーパが付けられたボア(bore)であり得る。随意のシーティングプレート942を有しない実施形態において、凹部は第1の区域に分けられたプレート552の中に形成され得る。第2の区域に分けられたプレート558はまた、延伸部926を受け入れるために傾斜した壁910を有し得る。壁908、910及びテーパが付けられた延伸部926は、シーティングプレート942の上端面920に関して角度「α」において方向付けられ得る。コリメータ912は、シーティングプレート942の凹部922の中へ延伸し、かつそれ故、シーティングプレート942が熱膨張/縮小のために水平に移動する場合、コリメータ912は対応して移動し、かつそれ故、開口部586及び518と適正に位置合わせされたままである。角度「α」は、凹部922からコリメータ912を退場させる軸方向の力を発生させることなしに、コリメータ912の延伸部926に対して、シーティングプレート942の中の熱膨張の水平方向の力の効果的な伝達をもたらす、任意の角度であり得る。典型的には、角度「α」は、約100度及び約145度の間に含まれ、例えば、120度である。
導電性ガス分配器514及び第1の区域に分けられたプレート552は、典型的には、第2の区域に分けられたプレートよりも高い温度に晒される。典型的な作動において、導電性ガス分配器514は、摂氏約300度及び摂氏約600度の間に含まれる温度まで加熱し得、一方、第2の区域に分けられたプレート558は、導電性ガス分配器514よりも摂氏50度から摂氏100度に含まれる温度だけより低い温度まで加熱される。第1の区域に分けられたプレート552及び導電性ガス分配器514がアルミニウムである実施形態において、第1の区域に分けられたプレート552及び導電性ガス分配器514の間の熱膨張差は、10ナノメートルから100ナノメートルまでに含まれ、かつ導電性ガス分配器514及び第2の区域に分けられたプレート558の間の熱膨張差は、200ナノメートルから500ナノメートルまでに含まれ、コリメータ912及び開口部940の間の位置合わせのわずかな変動をもたらす。
第1の開口部934及び第2の開口部936は、シーティングプレート942が移動する際に、第2の区域に分けられたプレート558に接触することなしに、コリメータ912が水平に移動し得るようにサイズが決められる。典型的な実施形態において、コリメータ912は、熱サイクルがシーティングプレート942の膨張及び縮小をもたらす際に、例えば、約0.02インチなどの、0.03インチまでの距離だけ水平方向へ移動し得る。第1及び第2のギャップ934及び936は、典型的には、コリメータ912及び第2の区域に分けられたプレート558の間の接触なしに、この動作を受け入れるようにサイズが決められる。第1のギャップ934は、約0.04インチ及び約0.12インチの間、例えば、約0.10インチなどの、約0、15インチまでの寸法を有し得る。第2のギャップ936は、例えば、約0.04インチから約0.12インチまでの間などの、約0.15インチまでの第1のギャップ934と同じ範囲に含まれる寸法を有し得る。第2のギャップ936は、第1のギャップ934よりも小さいか又は大きくなり得る。図9A及び図9Bの実施形態において、第2のギャップ936は第1のギャップ934よりも小さく、約0.08インチの例示的な寸法を有する。
コリメータ912のテーパが付けられた延伸部926は、凹部922をレジスタ(register)するエッジ952を有する。エッジ952は、典型的には、丸められ又は面取りされ、コリメータ912が凹部922を伴って移動する際の粒子生成の機会を低減する。丸められたエッジ952は、インターフェースにおける摩擦力のための粒子形成を低減する、コリメータ912並びに壁908及び910の間の滑り面を提供する。丸められたエッジの曲面の曲率半径は、典型的には、約0.05インチ及び約0.09インチの間に含まれ、例えば、約0.07インチなどの、0.1インチよりも小さい曲率半径を有する。
このように構成されて、図9A及び図9Bの光計測装置582は、処理の間に基板のインシトゥの光解析を実行することができる。コリメータからの光は、プロセスガスが開口部518を通って流れ、チャンバの中に至る一方で、開口部518を通り抜ける。プロセスガスが通り抜けて流れる開口部518は、シャワーヘッドを通るガス流の均一性を最適化するようにサイズが決められ得、計測に対して必要とされるサイズは考慮されず、かつ計測装置は、ガス流の均一性が妨げられないように、結果としての開口部のサイズにフィットされることができる。
図10A及び図10Cは、コリメータ912を第2の区域に分けられたプレート558に設置するために使用されるメカニズムの概略等角図である。図10Bは、設置メカニズムを通るコリメータ912の断面図である。図10Aの中において示されるように、ボルトなどの4つの留め具1002が、コリメータ912を通って延伸し、かつ第2の区域に分けられたプレート558に対して取り外し可能に固定される。一実施形態において、留め具1002は、ボルトを備え得る。弾力性のある部材1004は、スプリングであり得、コリメータ912が第2の区域に分けられたプレート558に取り付けられたままでいられるように、各々の留め具1002及びコリメータ912のヘッドの間に結合され、熱膨張がコリメータ912を動かす場合に、矢印「A」によって示される方向において未だ移動する。弾力性のある部材1004は、コリメータ912がシーティングプレート942の内部の凹部922に固定されたままであるように強制し、それによって、熱応力下におけるシーティングプレート942の水平方向の動作は、コリメータ912の水平方向の動作をもたらす。導管1006は、光ファイバーの束1008を収容し、かつポータル1010においてコリメータ912に結合される。光ファイバーの束1008は、(図示せぬ)光生成器からの光をコリメータ912へ運び、基板上に投影し、かつ基板から反射される光を、コリメータ912を通して(図示せぬ)光解析器へ戻す。
図10Bは、コリメータ912の一側面上の留め具1002を介して描かれるコリメータ912の断面斜視図を示している。図10Bの断面は、図9A及び図9Bの断面と平行であるが、遠近法によって見られている。留め具1002は、第2の区域に分けられたプレート558の中に固定され、かつ弾力性のある部材1004は、コリメータ912上に軸方向の力を提供するために、レッジ1022においてコリメータ912と接触し、コリメータを固定されたままに維持する傾向がある。留め具1002は、コリメータ912の中の開口部1024を通り抜ける。各々の留め具1002及びそのそれぞれの開口部1024の間のギャップは、コリメータ912が留め具1002に関して、かつ第2の区域に分けられたプレート558に関して、水平方向に移動することを可能にする。ギャップ1026は、コリメータ912及び留め具1002の間の接触なしに、水平方向の動作を受け入れるようにサイズが決められ、かつ典型的には、約0.04インチ及び約0.12インチの間、例えば約0.10インチなどの、約0.15インチまでの寸法を有する。
ボールベアリング1012が提供され、各々のボールベアリング1012は、第2の区域に分けられたプレート558の受け入れ表面1018と相互作用する、表面1016におけるコリメータ912の中に形成されるソケット1014の中に固定される。各々のボールベアリング1012は、コリメータと第2の区域に分けられたプレート558との間の摩擦を最小化する一方で、コリメータ912が水平方向へ移動することを可能にするために、そのそれぞれのソケット1014の範囲内で回転する。ソケット1014は、ボールベアリング1012に向かってテーパが付けられたテーパ部分1020を有する側壁を有し、ボールベアリングの滑らかな回転を可能にする一方で、ソケット1014の範囲内にボールベアリング1012を保持するために、表面1016に関して角度「β」を形成する。角度「β」は、例えば、約50度及び約70度の間で、例えば約60度などの、約40度及び約80度の間に含まれ得る。
作動の間において、第1の区域に分けられたプレート552、シーティングプレート942、導電性ガス分配器514、及び第2の区域に分けられたプレート558は、全てRF電力を用いて実質的に同じ電位にバイアスされている。それ故、RFストラップ1022は、コリメータ912が第2の区域に分けられたプレート558と実質的に同一な電位において維持されることを確実にするために、コリメータ912を第2の区域に分けられたプレート558に電気的に接続するように使用される。
本明細書の中において説明されるインシトゥの計測の実施形態は、層の厚さに応じる屈折による効果によって層の厚さを決定するために使用され得る。インシトゥの計測装置からの光は基板を照らし、フレネル方程式にしたがって、層を貫通し、かつ層の間のインターフェースから差別的に反射する。反射光は、入射光及び他の層からの反射光を有する干渉パターンを生み出す。干渉パターンは、層の厚さ及び組成によって支配される。1つの層が成長すると、干渉パターンは、予測できるやり方で成長している層の厚さとともに変化し、それによって、エンドポイントが検出され得る。層の成長の間に解かれる最後の干渉パターンは、次の層が形成される際にパターンの中の変化を観察するために、次の層の堆積の間に使用される特徴的なパターンになる。
コリメータ及びブロッカプレートの間の傾斜したインターフェースを利用することによって、コリメータは、ブロッカプレートが熱的に膨張/縮小する場合でさえ、ガス分配器を通して形成されるガス通路と実質的に位置合わせされたままであり得る。ガス通路が使用されている(すなわち、処理/洗浄ガスがそこを通って流れている)場合であっても、コリメータは、ブロッカプレート及び環状のベースプレートの内部に配置されていることによって、ガス通路を通して光を誘導し、かつ反射光を受信する。それ故、計測は、プロセスの均一性に負の影響を与えることなしに実行され得る。通路を通してガス流を提供しない計測に対して通路を提供することは、通路が基板の一部分よりも上なので、プロセスの不均一性をもたらし得、かつ通路の近傍の中のガス流は、通路を通るガス流の欠乏のために不均一である。
本明細書の中において説明される実施形態は、1つのインシトゥの計測装置又は構成を備えることを特徴とする。いくつかの実施形態が、リアルタイムで基板表面上の複数の位置における膜の形成を監視するために、複数のインシトゥの計測装置を備えることを特徴とし得ることは、留意されるべきである。図11は、インシトゥの計測の使用を示している図5A及び図5Bの導電性ガス分配器514の底面図である。3つの開口部1102は、図11の実施形態の中で丸に囲われており、ガス流に加えてインシトゥの光計測に対して使用される開口部を示している。解析位置は、任意の望ましいパターンにしたがって分散され得る。図11の実施形態において、3つのインシトゥの計測装置が、分散された構成の中に提供される。3つのインシトゥの計測装置は、基板支持体と同心の円に沿って位置決めされ得、つまり、3つの装置は、基板支持体上に位置決めされる基板の中心から実質的に同じ距離の3つの位置を監視するように位置決めされ得る。交互に、3つのインシトゥの計測装置は、中心から種々の距離において位置決めされ得る。複数の監視装置は、基板支持体の種々の位置において、厚さと組成の両方の堆積均一性を監視するために有用であり得、かつ1つのチャンバの中で1つの層又は複数の層を堆積させる一方で、堆積速度及び均一性の閉ループ制御を達成するために有用であり得る。
図9Aから図10Cとの関連において上述された光計測装置は、基板上の層の構造を示す光を生み出す。既知の入射スペクトルの光は、基板に対して普通に誘導され、かつ基板支持体から反射する。光のうちのいくつかは、基板上に形成される層を貫通し、かつ層のインターフェースから反射され、入射スペクトルとは異なる反射スペクトルを生み出す。層の堆積の間、反射スペクトルは、堆積された層の厚さが変化するのにしたがって変化する。反射スペクトルを入射スペクトルと比較すること、及び反射スペクトルを同じ層に対する前の反射スペクトルと比較することは、堆積された層の厚さの正確な決定を可能にする。そのような測定は、層の厚さの均一性を決定するために基板上の複数の位置において行われ得、かつ修正措置が均一性を制御することを可能にする。そのような測定はまた、堆積が終わりになり得るように、層の厚さがターゲット値にいつ到達したのかを検出するために使用され得る。
光の波長に相当する厚さを有する層の2つの表面から反射される入射光は、入射光に関する位相シフトを披歴する。位相シフトは、膜の厚さに関連し、かつ入射光と反射光との間の特徴的な干渉パターンを生み出す。それ故、反射光は、層の厚さに応じるスペクトル強度を有する。下にある層又は材料の光特性が既知である場合、光の単一のパルス又はフラッシュは、上端層から反射され得、かつフレネル方程式を使用して反射光を入射光と比較することは、上端層の厚さを決定する。
下の層の光特性が既知でない場合、光の複数のフラッシュ又はパルスは、反射性がどのようにして上端層の厚さによって変化するかを決定するために、上端層の堆積の間に使用され得る。堆積速度及び時間は、各々の光のパルスの時間における層の厚さを計算するために使用され得る。反射性は、典型的には、層の厚さのシヌソイド関数として変化する。下の層の反射性を計算するために、反射性のデータに対して曲線がフィットされ得る。広いスペクトル光源及びスペクトル光解析器が使用される場合、下の層の光特性の周りの収束を増加させるために、同じ比較は、複数の波長において実行され得る。下の層の反射性は、上端層の反射性の関数として表現され得、かつ下の層の光特性は、フレネル方程式を使用して計算され得る。
下の層がパターン化される場合、下の層から反射される光は、二乗平均平方根の関係において全反射強度に貢献する分極された構成要素を有し得る。基板から反射された各々の光の波長は、他の波長からは独立した反射強度を有し、かつ反射光の各々の波長は、上端層及び下の層の光特性にしたがって変化する。それ故、複数の反射波長のスペクトル解析は、下の層の反射性の分極された構成要素をフィットさせるために、計算された上端層の厚さ及び既知の上端層の光特性、並びにそれらの構成要素を生じさせる下の層の光特性を使用して、実行され得る。
一旦、下の層の光特性が決定されると、基板反射性の完全なモデルが構築され得、かつ堆積された層の厚さは、フレネル方程式を使用して反射光の単一のパルスから知られることができる。複数の層が堆積される場合、各々の層の反射性は、光の単一のパルスを使用して、堆積されている層の既知の光特性、及び下の層の既知の光特性から計算され得る。交互に、下の層の光特性は、上述されたように、堆積が進行する際に複数のパルスを解析することによって再構築され得る。
下の層の光特性が既知である場合、上端層の厚さは、以下の方程式にしたがって、一般化されたシヌソイドをデータにフィッティングさせることによって、反射性データの1組R(t、λ)から計算され得る:すなわち
Figure 2016503578
その後、層の厚さは、層の厚さと位相シフトとの間の既知の関係を使用して、位相シフトパラメータφ(λ)から計算され得る。
d=φλ/4πn
交互に、期間T(λ)は、堆積速度を計算するために使用され得る。
=λ/2n(λ)T(λ)
反射性データの位相及び期間は波長に応じ、したがって、データの複数の波長が、厚さ及び/堆積速度に収束するように使用される。
堆積されている層の反射性はまた、以下のように、フレネル方程式の変化を使用して下の層の反射性に関係し得る。
Figure 2016503578
ここで、Rは層「j」の反射性であり、Rj‐1は、層「j‐1」の反射性であり、それは、下の層であり、かつ他のパラメータは以下のように定義される:すなわち、
Figure 2016503578
Figure 2016503578
Figure 2016503578
このやり方において上端層及び下の層の反射性を関係付けることは、観察された上端層の反射性、並びに上端層の既知の光特性及び堆積速度から、下の層の光特性を計算することを可能にする。基板の特性が既知でない場合、例えば、基板上に堆積されている第1の層の厚さを決定することを試みる場合、上の方程式は直接的には適用され得ない。しかしながら、第1の層の堆積の間に収集される時系列データは、基板パラメータを逆行させるために使用され得る。時系列データは、第1の層の堆積の間に成長する膜からもたらされる任意の波長における位相シフトが、基板からは独立しているので、任意のパターンを有する任意の横たわっている基板に対して、4つの基板パラメータ(基板によって反射されるs及びp分極の大きさ及び位相)及び膜の堆積速度を解くために、上述の膜モデルを使用して動的にフィットされることができる。第1の層は、基板特性を収束させるために十分なデータを提供する程、十分に厚くはなく、さらに時系列データは第2の層の堆積の間に収集され得る。
以下は、コンピュータに基づいた処理スキームを使用する、この一般的なアプローチの例示的な実施態様の説明である。
(1.入力データ:)
実験的に取得されたデータR(λ、t)は、L個の波長(λ)及びM個の時点(t)から成り、それは、M時点における基板からのL個の波長の反射性を表す。
Figure 2016503578
コンピュータのメモリの中で、反射性Rは、以下にしたがって、実質的に1次元アレイとして記憶される:すなわち
Figure 2016503578
(2.データのモデリング:)
実験的なデータが、基板上に形成される構造の分極効果の主な原因である分極を含んで、光の反射及び屈折特性を支配するフレネル方程式から導かれる以下の公式によって説明される、モデルによってフィットされる:すなわち
Figure 2016503578
Figure 2016503578
Figure 2016503578
Figure 2016503578
Figure 2016503578
Figure 2016503578
Figure 2016503578
ここで、wは、単一の定数(λ又はtの関数ではない)であり、n及びkは波長に応じる定数である。
Figure 2016503578
これらの公式は、上で一般的に説明したように、上端層及び下の層の反射性が関連するアプローチを利用する。
(3.フィットパラメータ:)
上述の式において、DR、1は単一の変数(λ又はtの関数ではない)であり、かつ
Figure 2016503578
は波長に応じる変数である:すなわち
Figure 2016503578
上述の変数は、以下の差分方程式によって与えられる、実験的なデータR(λ、t)及びモデルf(λ、t)の間の差異の二乗を最小化するために調整され得る、フィットパラメータである:すなわち
Figure 2016503578
フィットパラメータの全体の数(N)は、4を乗じた波長の数(L)に、DR、1のために1を足したものに等しく:すなわち
N=(L×4)+1 (数式 9)
(4.最小化アルゴリズム:)
線形方程式の組に対する解を見つけるために、χの最小化は、繰り返しのLevenberg‐Marquardt(LM)アルゴリズムの実施を利用する。
Figure 2016503578
次の近似を与えるためにフィットパラメータの現在の近似P(k=1、2…N)に加えられる増分の値Pに対して。要素Ajk及びBは以下のように定義される:すなわち
Figure 2016503578
Figure 2016503578
Figure 2016503578
偏導関数は、差分式によって近似される。
Figure 2016503578
ここで、hは、各々のフィットパラメータPに対する所定の増分値である。その後、LMアルゴリズムは、以下のように概説される:すなわち
a.フィットパラメータPに対する初期推定値の組で開始する。
b.χ(P)を計算する。
c.実施例Λ=0.001に対して、Λの初期値を選ぶ。
d.ガウスジョーダン消去法アルゴリズムを使用して、δPに対する線形方程式(10)を解く。
e.χ(P+δP)を計算する。
f.χ(P+δP)≧χ(P)である場合、係数10によってΛを増加し、かつステップdに戻る。
g.χ(P+δP)≦χ(P)である場合、係数10によってΛを低減し、かつフィットパラメータ(P=P+δP)をアップデートする。
h.停止基準のうちの任意の1つが満たされるまで、dからhまでのステップを繰り返す:すなわち
i. χ<χ min
ii. Δχ<Δχ min
iii. L>Lmax
iv. L<Lmin
(5.疑似コード:)
以下は、最も高いレベルのコンピュータプログラミング言語において見られる汎用関数定義を備えることを特徴とするコンピュータコードのような言語を使用する上述のアルゴリズムの説明である。
Figure 2016503578
(6.プロファイラーデータ)
上述されたアルゴリズムを実行するためにプログラミングされた適切に構成されたコンピュータは、モデルを58の波長に設定された実験データにフィッティングさせる場合、表1の中のCPU時間の分散を披歴するように予期される。
Figure 2016503578
(7.マルチステップ遂行:)
上述されたアルゴリズムは、モデルの正確なフィットに効果的に収束させるために、データのサブセットを繰り返し使用して実行され得る。1つの実施例において、実験データは、L=60の波長から成り得る。そのような実施例において、アルゴリズムが複数回呼び出され得、各々は、以下のように、フィットパラメータの異なる数を有する:すなわち
1.
特定の所定時間間隔が過ぎた後に(例えば、M=100)、第1のランは、限られた数の波長(例えば、L=15)しか含まず、それによって、フィットパラメータは、DR、1を含んで、N=15×4+1=61となる。
2.
第2のステップは、ステップ1に含まれない波長のリマインダーに対してフィットパラメータ
Figure 2016503578
を計算する。しかしながら、DR、1は、このステップの中に固定され、それは、フィットパラメータが各々の波長に対して独立して解かれ得ることを意味する。フィットパラメータの数Nは4であり、かつリマインダー45波長セットは、並行して計算され得る。
3.
別の所定の時間間隔(例えば、100<M<200)までの次のタイムステップの中の実験データに対して、単一のフィットパラメータDR、2(≠DR、1)のみが存在し、かつ計算は一度に1つのタイムステップで進行し、各々の時間の新しいDR、2を解く。このステップはまた、n(λ)及びk(λ)定数の新しいセット、及びr(λ)及びβ(λ、t)に対する新しい計算を必要とする。
4.
ステップ3は、時間の所定ブロックの中の新しいデータに対して、引き続き複数回繰り返され得る。
以上のステップは、図12の流れ図の中において要約されている。
5.
実施態様の第2の選択肢は、同時に複数の時間間隔(ブロック)の中のデータに対して、上のステップ1の計算を行う。しかしながら、全てのデータが収集されるまで待つ必要はなく;計算は、第1のタイムブロックの範囲内で特定の所定時間間隔が過ぎた(例えば、M=100)後に、開始することができ、フィットパラメータは新しいデータが到着する際に連続的にアップデートされる。時間ブロックにわたるn(λ)及びk(λ)定数における変化のために、タイムブロック2以上の中のデータは、モデル関数f(λ、t)、F(λ、t)、及びF(λ、t)のネストされた公式を必要とし、例えば:すなわち
Figure 2016503578
Figure 2016503578
Figure 2016503578
このステップの中に含まれるタイムブロックの数は、実施例3に対して予め決定され得る。
6.
ステップ5が完了した後に、計算は、上のステップ2から4へと続く。
このプロセスは、図13の流れ図の中において要約されている。
例示的な酸化ケイ素の層は、以下のように、本明細書の中の装置のうちのいずれかを使用して形成され得る。基板は、本明細書の中において説明される特徴を有する処理チャンバの基板支持体上に配置される。前駆体ガス混合物は、1,000mgmにおけるTEOSを気化器を通して流し、かつ5,000sccmのヘリウム及び6,000sccmのNOと混合することによって生成される。ガス混合物は、処理チャンバの中へ流される。区域に分けられたシャワーヘッドが使用される場合、TEOSが、NOが他のゾーンを通して流される間に、1つのゾーンを通して流され得ることは留意されるべきである。ヘリウムの流れは、2つの部分に分割され得、第1の部分は気化されたTEOSを第1のゾーンの中へ運び又は希釈するために使用され、かつ第2の部分はNOを第2のゾーンの中へ運び又は希釈するために使用され得る。
処理チャンバの中の圧力は4.0トールに設定され、チャンバのフェイスプレートと基板との間の間隔は、400ミルに設定される。フェイスプレートの温度は、摂氏200度に設定される。側壁同調電極電流ターゲットは6アンペアに設定され、かつ基板支持体同調電極電流ターゲットは1アンペアに設定される。基板温度は、摂氏500度に設定され、摂氏5度に設定される基板支持体の温度ゾーンの間でオフセットされ、外側ゾーン温度は内側ゾーンの温度よりも高い。
プラズマを生成するために電源が前駆体ガスに結合される。13.56メガヘルツの周波数における高周波数RF電源は、500ワットの電力に適用され、かつ300キロヘルツの周波数における低周波数RF電源は、100ワットの電力に適用される。望ましい厚さ、典型的には、200オングストロームから2,000オングストロームの間、を有する層を堆積させるために、その状態が望ましい時間だけ継続される。堆積した酸化ケイ素の層は、約1パーセントに過ぎない標準偏差を有する厚さを有する。それ故、堆積された層の厚さの均一性は、1パーセントよりも悪くない。
酸化ケイ素の層は、本明細書の中において説明される装置を使用する本明細書の中において説明されるプロセスの別の実施形態による前駆体である、シランを使用して生成され得る。シランは、100sccmにおいて流され、ヘリウムは3,000sccmにおいて流され、かつNOは6,000sccmにおいて流される。間隔は300ミルであり、圧力は3トールであり、高周波数電力は400ワットであり、低周波数電力は100ワットであり、フェイスプレートの温度は摂氏200度であり、基板温度は摂氏500度であり、温度ゾーンのオフセットは摂氏5度(外側は内側より高い)であり、側壁同調電極電流ターゲットは1アンペアであり、基板支持体同調電極電流ターゲットは3アンペアである。酸化ケイ素の層は、約1パーセントよりも悪くない均一性を有するように形成される。
窒化ケイ素の層は、本明細書の中において説明される装置を使用する本明細書の中において説明されるプロセスの別の実施形態によって形成され得る。シランは、30sccmにおいて流され、窒素ガスは3,000sccmにおいて流され、アンモニアガスは6,000sccmにおいて流され、かつアルゴンは1,000sccmにおいて流される。間隔は700ミルであり、圧力は3トールであり、高周波数電力は600ワットであり、低周波数電力は200ワットであり、フェイスプレートの温度は摂氏200度であり、基板温度は摂氏500度であり、温度ゾーンのオフセットは摂氏5度(外側は内側より高い)であり、側壁同調電極電流ターゲットは7アンペアであり、基板支持体同調電極電流ターゲットは1アンペアである。窒化ケイ素の層は、約1パーセントよりも悪くない均一性を有するように形成される。
窒化ケイ素の層は、本明細書の中において説明される装置を使用する本明細書の中において説明されるプロセスの別の実施形態によって形成され得る。シランは、150sccmにおいて流され、窒素ガスは6,000sccmにおいて流され、かつアンモニアは1,000sccmにおいて流される。間隔は700ミルであり、圧力は4トールであり、高周波数電力は600ワットであり、低周波数電力は200ワットであり、フェイスプレートの温度は摂氏200度であり、基板温度は摂氏500度であり、温度ゾーンのオフセットは摂氏5度(外側は内側より高い)であり、側壁同調電極電流ターゲットは6アンペアであり、基板支持体同調電極電流ターゲットは1アンペアである。窒化ケイ素の層は、約1パーセントよりも悪くない均一性を有するように形成される。
ドープされたアモルファスシリコン層は、本明細書の中において説明される装置を使用する本明細書の中において説明されるプロセスの別の実施形態によって形成され得る。シランは、500sccmにおいて流され、ヘリウムは、10,000sccmにおいて流され、かつヘリウムの中で95パーセントの濃度に希釈される、TMB、ボラン、及び/又はホスフィンなどのドーパント前駆体は、500sccmにおいて流される。間隔は300ミルであり、圧力は10トールであり、高周波数電力は300ワットであり、低周波数電力は適用されず、フェイスプレートの温度は摂氏175度であり、基板温度は摂氏500度であり、温度ゾーンのオフセットは摂氏0度であり、側壁同調電極電流ターゲットは6アンペアであり、基板支持体同調電極電流ターゲットは3アンペアである。ドープされたアモルファスシリコンの層は、約1パーセントよりも悪くない均一性を有するように形成される。
上述された層などの層のスタックは、1つの方策(recipe)から次へとチャンバ状態を調節することによって、引き続き作られ得る。ガス流は遮断され得、かつシャープなインターフェースを生成することが望ましければ、チャンバは方策の間でパージされ得る。交互に、チャンバ状態は、堆積が等級の付けられたインターフェースを作り続ける一方で、1つの方策から次へと傾斜が付けられ得る。
上述されたインシトゥの監視アルゴリズムは、例えば、酸化ケイ素及び窒化ケイ素の交互のスタック、又はポリシリコン及び酸化ケイ素の交互のスタックなどの、スタックの中の各々の層の厚さを監視するために使用され得る。各々の場合において、スタックの開始において層が堆積される際に、層が堆積される際に、下の層の反射性における変化を追跡するために、下の材料の反射性を利用するアルゴリズムが実施される。
ポリシリコンの層を含むスタックは、より短い波長におけるポリシリコンの高度な吸収特性のために、単純化されたアプローチに従い得ることが分かってきた。ポリシリコン及び酸化ケイ素の層の交互のスタックを形成する場合、例えば、約600ナノメートルより下の波長における下の層の反射性は、表面の下の3つの層までの観察可能な効果を有するが、それを超えるものではない。そのような構造において、ポリシリコン/酸化物の10の交互の層から取られる反射性スペクトルは、約600ナノメートルより下の波長における層の第4のペアの後の同じスタックから取られたスペクトルと重なることが観察される。この同じ挙動は、第1の層から独立している(すなわち、第1の層がポリシリコン又は酸化物である場合)。それ故、1つの効率的なアプローチは、層の最初の3つのペア(最初の6つの層)の堆積の間に、時系列モデルに対して反射性データをフィッティングさせることを含み、その後で、下の層の反射性は、より短い波長において時間不変であり、かつ波長シリーズフィット手順が使用され得る。
上述された方法は、未知の特性を有する基板上に形成される膜の厚さを決定するために使用され得る。従来の反射率測定法において、基板は十分に既知であり、かつ基板の既知の特性は、膜の厚さを決定するために屈折モデリングにおいて使用され得る。しかしながら、多くの場合において、基板は前もって知られていない特性を有し、かつ従来の反射率測定法は使用可能ではない。本明細書の中において説明される装置及び方法を用いて、基板の特性は、膜の形成の間に収集された時系列データを使用して推定され得る。膜の堆積の間の100ミリ秒の間隔において反射スペクトルを収集することは、データを本明細書の中において説明されるモデルにフィッティングさせることによって、基板の特性が抽出され得るデータを提供する。基板の特性、実際の及び想像上の屈折率、基板s及びpの反射性、及び膜の堆積速度は、本明細書の中において説明されるように、時系列データをフレネル方程式のモデルにフィッティングさせることによって決定され得、かつその後、それらの特性は、次に堆積される膜の厚さを決定するために使用される。
図14Aから図14Dは、パターン化された基板上における500オングストロームの窒化ケイ素の層の堆積の間の、2、3の選択された波長における時系列の反射データを示すグラフである。図14Aは、反射率1402対秒単位の時間1404のグラフ1400を示している。反射率のデータ1406は、230ナノメートルの波長においてであり、かつデータの膜モデルのフィットは1408においてである。フィットは、時系列のスペクトル反射率データを使用して、未知の基板特性を決定するための、本明細書の中において説明されるアルゴリズムを使用して計算された。図14Bは、350ナノメートルの波長において収集された類似のデータのグラフ1410を示している。図14Cは、500ナノメートルの波長において収集された類似のデータのグラフ1420を示している。図14Dは、700ナノメートルの波長において収集された類似のデータのグラフ1430を示している。
第1の堆積された層が非常に厚くない場合、時系列データの位相シフト情報は、いくつかの場合において、基板の反射性の正確な決定を生み出すために不十分であり得、次の層の厚さを決定することにおいて誤差をもたらす。精度は、そのような場合において、1つより多くの堆積された膜に対する時系列データを収集することによって改良され得る。例えば、第1の膜に対する時系列のデータを収集した後に、時系列のデータは、第2の堆積された膜に対して収集され得、それ故、モデルフィットの質を改良するためにより多くのデータを提供する。図15は、3つの連続的な層の堆積の間に、210ナノメートルにおいて収集された、反射率1502対時間1504の時系列フィットを示すグラフ1500である。第1の窒化層の堆積に対するデータは、1506においてである。第1の酸化層の堆積に対するデータは、1508においてである。第2の窒化層の堆積に対するデータは、1510においてである。データは、層の間のインターフェース1512及び1514の特定の異常な特性を表示している。そのような異常は、任意の従来の手段によって取り除かれ得、例えば、複数の層のフィットを実行する場合に、統計的又は手動の手段によって取り除かれ得る。
図16Aは、その表面上に未知のパターンを有する基板に対する、反射率1602対ナノメートル単位の波長1604を示すグラフ1600である。フィットは、未知の基板パラメータをフィットさせるために、時系列の解析の結果を使用して実行され得る。実際の反射率データは、1606において示され、かつフィットデータは1608において示されている。図16Bは、基板上の第1の窒化層の堆積の同じスタックからの類似するデータを示している。図16Cは、第12番目の酸化層の堆積の同じスタックからの類似するデータを示しており、かつ図16Dは、第24番目の窒化層の堆積の同じスタックからの類似するデータを示している。
膜モデル方程式に対する図16Aから図16Dの中のものなどのフィッティングスペクトルは、膜の厚さを決定することをもたらす。図17Aは、透過電子顕微鏡法を使用する厚さの測定1708と比較して、酸化ケイ素の層を有する交互のスタックにおいて堆積される24の窒化ケイ素の層に対する、反射率1706によって測定されたオングストローム単位の層の厚さ1702対層の数1704を示すグラフ1700である。図17Bは、同じスタックの中の酸化物の層に対する類似のデータを示している。図17A及び図17Bの中において示されるように、スタックが厚く成長するとしても、アグリーメントは極めて良い。しかしながら、スタックが厚く成長する際に、TEM測定と反射性測定との間のアグリーメントは、本明細書の中において説明された再帰的方法の中の誤差の混合のために、低下する。
基板特性をモデリングし、かつ解くことに含まれる計算は、強力であり得る。計算が層の形成から非常に遅れることがないように、計算のための時間の管理の方法は、比較的遅い時系列データのフィットの間に、コンピュータメモリの中にデータをバッファーすることを含み、かつその後、コンピュータが、上述されたように、静的なモデルを使用して次の層の計算の間に「追いつく」ことを可能にする。他の側面において、複数の処理ユニットは、例えば、データをタイムスライス又は周波数にしたがって群の中へ分割すること、及び種々のプロセッサ上で同時に種々の群を処理することによって並行に使用され得る。このやり方において、負荷バランシングは、データ群を負荷の低いプロセッサに割り当てることによって実行され得る。
いくつかの実施形態において、時系列のフィットは、基板特性の決定においてより低い精度が許容され得る場合、選ばれたスペクトルの波長又は選ばれたタイムスライスのみを使用して実行され得る。特定の波長がスペクトロメータの分解能によって逆の影響を受ける場合、それらの波長は解析から除外され得る。
高度に吸収する膜が堆積される実施形態において、波長の関数としての不透明性は、他の手段によるクロスチェックの厚さ決定のために使用され得る。吸収は、典型的には、材料に対する波長の既知の関数であるので、反射率のスペクトルから選ばれた波長の消滅を記述することによって、膜が成長する際に膜の厚さをマークすることは可能である。ターゲットの膜の厚さにおいて反射率のスペクトルから消滅する光の波長を選択すること、及びその波長が反射率のスペクトルから消滅する時間をマークすることによって、そのような実施形態における堆積エンドポイントを決定することができる。堆積は、そのような信号が受信される場合に終了する。
吸収する膜を含むいくつかの場合において、横たわっている基板のために、反射率は、任意の数の層が基板上に配置された後に消滅し得る。そのような場合に、時系列のプロセスは、連続した膜が堆積される基板を再度特徴付けるために繰り返され得る。基板の特性は、時系列データのフィットを繰り返すことによって、全体の堆積プロセスの中の任意の便利な時間においてアップデートされ得る。
いくつかの側面において、基板の反射率は、いくつかの波長において膜の厚さによって強く変化し得、かつ他の波長においては弱く変化し得る。計算のリソースは、いくつかの場合において、反射率のスペクトルの感度関数を決定すること、及び膜の厚さに対して最も敏感な波長に計算を集中することによって、効果的に使用され得る。例えば、時系列が収集される場合、最初の10のスナップショット、又は任意の便利な数の最初のスナップショットは、反射率のスペクトルのうちのどの波長が膜の厚さによって最も強く変化するかを判定するために、素早く解析され得る。その後、これらの波長は、膜の厚さを計算するために使用され得、かつ情報をほとんど運んでいない波長を除外する一方で基板パラメータをフィットさせる。交互に、感度パラメータは、厚さによる反射率の変化の程度に基づいて、波長の関数として割り当てられ得る。このやり方において、計算上のリソースは保存され得、かつ結果の精度は改良される。
時系列の反射率のデータにおけるノイズは、任意の数のやり方において管理され得る。1つの側面において、時間にわたってスペクトルの平均を移動させることが、計算され得る。望ましければ、例えば、より最近のタイムスライスを重く重み付けし、かつより遠隔のタイムスライスを軽く重み付けすることによって、タイムスライスにより、又は例えば、より敏感な波長をより重く重み付けすることによって波長により、重み付けが適用され得る。平均を移動させるための窓はまた、望ましければ、データの中のノイズの量に基づいて調整され得る。別の側面において、時系列における各々のタイムスライスに対する厚さの結果は、ノイズを消去するためにラインにフィットされ得る。記録されたスペクトルはまた、例えば、プラズマ放電から周囲のノイズを除去するために、選ばれた波長にしたがって正常化され得る。最後に、信号処理技術が、データの中のノイズを低減するために使用され得る。例えば、FFT解析は、データの中の高周波数のノイズを孤立させ、かつゼロにするために使用され得る。
図18は、反射率対時間1804によって測定された厚さ1802を示しているグラフ1800である。実際のデータ1806は、個別の測定結果を示し、かつローリングフィット1808及びリニアフィット1801が、低減されたノイズを用いて厚さのデータを生み出す代替的な方法として示されている。
上記は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他のさらなる実施形態を考案することもでき、かつ本発明の範囲は、以下の特許請求の範囲によって決定される。

Claims (20)

  1. 基板を処理するための装置であって:
    側壁及びフロアを備えるチャンバ;
    前記チャンバの前記側壁に結合されるリッドであって、前記側壁、フロア、及びリッドが前記チャンバの内部容積を画定する、リッドにおいて:
    ガス分配器であって、前記ガス分配器を通って形成される複数のガス流開口部を有する、ガス分配器;及び
    前記ガス流開口部のうちの1つを通して光を誘導し、かつ前記ガス流開口部のうちの前記1つを通して反射した光を記録する計測装置を備える、リッド;並びに
    前記チャンバの前記内部容積の中に配置される基板支持体を備える、装置。
  2. 前記計測装置は、光ファイバーの光源を有するコリメータを備える、請求項1に記載の装置。
  3. 前記リッドはさらに、前記ガス分配器のプレートに結合される第1のプレートを備え、かつ前記コリメータは前記第1のプレートを通して配置される、請求項2に記載の装置。
  4. 前記第1のプレートは、前記コリメータが配置される開口部を備え、かつ前記開口部は前記コリメータの水平方向の動作を可能にするギャップを提供する、請求項3に記載の装置。
  5. 前記第1のプレートと前記ガス分配器のプレートとの間に配置されるシーティングプレートであって、前記ガス流開口部と位置合わせされる凹部を備え、前記コリメータは前記凹部の中に固定される、シーティングプレートをさらに備える、請求項4に記載の装置。
  6. 前記コリメータに結合されるRFストラップをさらに備える、請求項5に記載の装置。
  7. 前記コリメータを前記第1のプレートに結合する複数の留め具であって、各々の留め具は弾力性のある部材を備える、複数の留め具をさらに備える、請求項5に記載の装置。
  8. 前記リッドはさらに、前記ガス分配器と前記側壁との間に配置される電極であって、第1の同調回路に結合される、電極を備える、請求項3に記載の装置。
  9. 前記リッドはさらに、前記ガス分配器を加熱する加熱器を備える、請求項8に記載の装置。
  10. 前記基板支持体は、複数のゾーンであって、各々のゾーンが加熱器を有する、複数のゾーンを備える、請求項9に記載の装置。
  11. 前記基板支持体はさらに、第2の同調回路に結合される電極を備える、請求項10に記載の装置。
  12. 基板を処理するための装置であって:
    側壁及びフロアを備えるチャンバ;
    前記チャンバの前記側壁に結合されるリッドであって、前記側壁、フロア、及びリッドが前記チャンバの内部容積を画定する、リッドにおいて:
    ガス分配器であって、前記ガス分配器を通って形成される複数のガス流開口部を有する、ガス分配器;及び
    前記ガス流開口部のうちの1つを通して光を誘導し、かつ前記ガス流開口部のうちの前記1つを通して反射した光を受信する光ファイバーを備えた計測装置を備える、リッド;並びに
    前記チャンバの前記内部容積の中に配置される基板支持体であって、複数の熱ゾーンを有する、基板支持体を備える、装置。
  13. 前記リッドは第1の区域に分けられたプレートをさらに備え、前記計測装置は前記光ファイバーを収容するコリメータを備え、かつ前記コリメータは前記第1の区域に分けられたプレートの中の開口部を通して配置される、請求項12に記載の装置。
  14. 前記リッドはさらに、前記第1の区域に分けられたプレートと前記ガス分配器との間に配置されるシーティングプレートであって、前記コリメータの延伸部は前記シーティングプレートの中に固定される、シーティングプレートを備える、請求項13に記載の装置。
  15. 前記リッドはさらに、前記シーティングプレートと前記ガス分配器との間に配置される第2の区域に分けられたプレートであって、複数の開口部を有し、前記第2の区域に分けられたプレートのうちの各々の開口部は、前記ガス分配器のガス流開口部と位置合わせされる、第2の区域に分けられたプレートを備える、請求項14に記載の装置。
  16. 前記延伸部は、前記シーティングプレートの中に形成される凹部の中に固定され、かつ前記凹部は傾斜した壁を有する、請求項15に記載の装置。
  17. 前記リッドはさらに、前記ガス分配器と前記側壁との間に配置される電極であって、第1の調整可能な構成要素を用いて第1の同調回路に結合される、電極を備える、請求項16に記載の装置。
  18. 前記基板支持体のうちの各々の熱ゾーンは、加熱器及び熱センサを備える、請求項17に記載の装置。
  19. 前記基板支持体はさらに、第2の調整可能な構成要素を用いて第2の同調回路に結合される電極を備える、請求項18に記載の装置。
  20. 層の厚さをその層の基板上への堆積の間に決定する方法であって:
    前記基板から広域スペクトルの放射線を反射させること;
    スペクトログラフを使用して反射した光の前記スペクトルを解析すること;
    堆積されている層の屈折率及び減衰係数に基づいて、解析された前記スペクトルに対してフレネルモデルをフィッティングさせること;及び
    前記解析されたスペクトルと前記モデルとの間の差異の二乗を最小化することによって、前記層の厚さを決定することを含む、方法。
JP2015539763A 2012-10-26 2013-10-23 プラズマ化学気相堆積(pecvd)の装置及びプロセス Pending JP2016503578A (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261719319P 2012-10-26 2012-10-26
US61/719,319 2012-10-26
US201261738247P 2012-12-17 2012-12-17
US61/738,247 2012-12-17
US201361761515P 2013-02-06 2013-02-06
US61/761,515 2013-02-06
PCT/US2013/066443 WO2014066541A1 (en) 2012-10-26 2013-10-23 Pecvd apparatus and process

Publications (1)

Publication Number Publication Date
JP2016503578A true JP2016503578A (ja) 2016-02-04

Family

ID=50545223

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015539763A Pending JP2016503578A (ja) 2012-10-26 2013-10-23 プラズマ化学気相堆積(pecvd)の装置及びプロセス

Country Status (6)

Country Link
US (8) US9157730B2 (ja)
JP (1) JP2016503578A (ja)
KR (9) KR102616154B1 (ja)
CN (1) CN104737274A (ja)
TW (8) TWI688670B (ja)
WO (1) WO2014066541A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180107693A (ko) * 2017-03-22 2018-10-02 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기억 매체
JP2018181965A (ja) * 2017-04-06 2018-11-15 株式会社ニューフレアテクノロジー 成長速度測定装置および成長速度検出方法
CN110114853A (zh) * 2016-12-21 2019-08-09 应用材料公司 通过化学气相沉积的保形密封膜沉积

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014052301A1 (en) * 2012-09-26 2014-04-03 Applied Materials, Inc. Controlling temperature in substrate processing systems
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10249509B2 (en) * 2012-11-09 2019-04-02 Tokyo Electron Limited Substrate cleaning method and system using atmospheric pressure atomic oxygen
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US10047438B2 (en) * 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US9613828B2 (en) * 2014-06-24 2017-04-04 Ultratech, Inc. Method of laser annealing a semiconductor wafer with localized control of ambient oxygen
EP3221897A1 (en) 2014-09-08 2017-09-27 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9870935B2 (en) * 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US9953887B2 (en) 2015-04-16 2018-04-24 Lam Research Corporation Measuring individual layer thickness during multi-layer deposition semiconductor processing
CN107667418B (zh) * 2015-06-05 2022-03-01 应用材料公司 用于降低基板温度非均匀性的改良式装置
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
WO2016204920A1 (en) * 2015-06-18 2016-12-22 Applied Materials, Inc. In-situ metrology method for thickness measurement during pecvd processes
US10937672B2 (en) * 2015-10-09 2021-03-02 Beijing Naura Microelectronics Equipment Co., Ltd. Heating device and heating chamber
US9899210B2 (en) 2015-10-20 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus and method for manufacturing semiconductor device using the same
JP6606403B2 (ja) 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
CN108292589B (zh) 2015-11-23 2023-05-16 应用材料公司 在处理工具中的板载计量(obm)设计与影响
CN106935530B (zh) * 2015-12-31 2020-04-17 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀光刻胶装置
US10741428B2 (en) * 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
CN109643671B (zh) * 2016-08-26 2023-06-06 应用材料公司 自我修复式半导体晶片处理
US9978618B2 (en) * 2016-10-07 2018-05-22 Tokyo Electron Limited Hot plate with programmable array of lift devices for multi-bake process optimization
US10689757B2 (en) 2017-01-03 2020-06-23 Applied Materials, Inc. Gas injection apparatus with heating channels
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US11022877B2 (en) * 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US10204807B2 (en) * 2017-04-25 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for processing wafer
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10655226B2 (en) * 2017-05-26 2020-05-19 Applied Materials, Inc. Apparatus and methods to improve ALD uniformity
JP2018206847A (ja) * 2017-05-31 2018-12-27 株式会社Kokusai Electric 半導体装置の製造方法、プログラムおよび基板処理装置
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
KR102493945B1 (ko) 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
CN107227446A (zh) * 2017-07-04 2017-10-03 北京北方华创微电子装备有限公司 半导体设备及其阻抗调节方法
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
KR102420164B1 (ko) 2017-09-14 2022-07-12 삼성전자주식회사 기체의 유동 시뮬레이션을 수행하기 위한 컴퓨팅 시스템 및 시뮬레이션 방법
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
KR101999692B1 (ko) * 2017-10-27 2019-07-12 광운대학교 산학협력단 플라즈마 모니터링이 가능한 플라즈마 발생 장치 및 플라즈마 모니터링 방법
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
KR20200094781A (ko) * 2017-12-04 2020-08-07 어플라이드 머티어리얼스, 인코포레이티드 부분적으로 양극산화된 샤워헤드
DE102017130551A1 (de) * 2017-12-19 2019-06-19 Aixtron Se Vorrichtung und Verfahren zur Gewinnnung von Informationen über in einem CVD-Verfahren abgeschiedener Schichten
US10822699B2 (en) 2017-12-29 2020-11-03 Varian Semiconductor Equipment Associates, Inc. Techniques for controlling precursors in chemical deposition processes
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
CN108315720A (zh) * 2018-01-31 2018-07-24 上海集成电路研发中心有限公司 一种提高膜厚均匀性的装置及方法
KR101994036B1 (ko) * 2018-02-21 2019-06-27 한양대학교 산학협력단 플라즈마 측정 장치
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US11434568B2 (en) * 2018-04-17 2022-09-06 Applied Materials, Inc. Heated ceramic faceplate
US10840086B2 (en) * 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
CN112074941A (zh) * 2018-05-24 2020-12-11 应用材料公司 用于空间分辨晶片温度控制的虚拟传感器
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200013588A1 (en) * 2018-07-03 2020-01-09 Applied Materials, Inc. Tilted interferometric endpoint (iep) window for sensitivity improvement
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
CN112771645A (zh) 2018-07-31 2021-05-07 应用材料公司 用于3d nand的氧化物/氮化物(on)堆叠覆盖改良
US11009455B2 (en) * 2018-07-31 2021-05-18 Applied Materials, Inc. Precursor delivery system and methods related thereto
JP7249744B2 (ja) * 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US20200098595A1 (en) * 2018-09-20 2020-03-26 Nanya Technology Corporation Semiconductor manufacturing apparatus and method for operating the same
JP6931343B2 (ja) * 2018-09-25 2021-09-01 長野計器株式会社 物理量測定装置
US11421977B2 (en) * 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR20210094100A (ko) * 2018-12-17 2021-07-28 어플라이드 머티어리얼스, 인코포레이티드 투명 기판을 위한 후면 코팅
JP7283901B2 (ja) * 2018-12-27 2023-05-30 株式会社Screenホールディングス 熱処理方法および熱処理装置
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
CN110044286B (zh) * 2019-04-15 2021-03-05 清华大学深圳研究生院 一种光谱共焦轴向距离检测方法、装置及设备
JP7274347B2 (ja) * 2019-05-21 2023-05-16 東京エレクトロン株式会社 プラズマ処理装置
CN110453201A (zh) * 2019-09-12 2019-11-15 深圳市捷佳伟创新能源装备股份有限公司 一个腔体内对多个石墨舟同时镀膜的工艺方法及镀膜装置
CN110690134B (zh) * 2019-09-12 2022-07-01 长江存储科技有限责任公司 多站式沉积工艺的串气检测方法、设备及可读存储介质
WO2021061461A1 (en) * 2019-09-23 2021-04-01 Lam Research Corporation Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US11099002B2 (en) * 2019-12-09 2021-08-24 General Electric Company Systems and methods of assessing a coating microstructure
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法
CN111446179B (zh) * 2020-03-31 2022-11-01 中国科学院微电子研究所 一种晶圆测试方法及装置
CN111599717B (zh) * 2020-05-09 2024-03-26 北京北方华创微电子装备有限公司 一种半导体反应腔室及原子层等离子体刻蚀机
KR20210147429A (ko) * 2020-05-29 2021-12-07 주성엔지니어링(주) 기판 처리 장치
US11708635B2 (en) 2020-06-12 2023-07-25 Applied Materials, Inc. Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
US12009191B2 (en) * 2020-06-12 2024-06-11 Applied Materials, Inc. Thin film, in-situ measurement through transparent crystal and transparent substrate within processing chamber wall
US20220076922A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Single chamber flowable film formation and treatments
US20220130713A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Semiconductor processing chamber to accommodate parasitic plasma formation
CN112663026B (zh) * 2020-11-25 2022-10-21 北京北方华创微电子装备有限公司 工艺腔室、半导体工艺设备及加热控制方法
TWI748774B (zh) * 2020-12-01 2021-12-01 天虹科技股份有限公司 晶圓承載盤及應用晶圓承載盤的薄膜沉積裝置
CN113113283A (zh) * 2021-04-08 2021-07-13 中国科学院光电技术研究所 一种基于进气分布控制的等离子体密度分布调控方法
CN113972154A (zh) * 2021-10-20 2022-01-25 北京北方华创微电子装备有限公司 工艺腔室、半导体工艺设备和半导体工艺方法
WO2024091408A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Cupped baffle plates for showerheads of substrate processing systems

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
JPH03199198A (ja) * 1989-12-28 1991-08-30 Shin Etsu Chem Co Ltd ランタンガレート単結晶およびその製造方法
US5452091A (en) * 1993-03-22 1995-09-19 Nanometrics Incorporated Scatter correction in reflectivity measurements
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JPH0922795A (ja) * 1995-07-04 1997-01-21 Sony Corp プラズマcvd装置およびプラズマcvd方法
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6055053A (en) * 1997-06-02 2000-04-25 Stress Photonics, Inc. Full field photoelastic stress analysis
KR200211258Y1 (ko) * 1997-12-31 2001-03-02 김영환 반도체 웨이퍼 증착두께측정장치
US6256100B1 (en) * 1998-04-27 2001-07-03 Active Impulse Systems, Inc. Method and device for measuring the thickness of thin films near a sample's edge and in a damascene-type structure
JP2000082661A (ja) * 1998-07-02 2000-03-21 Toshiba Corp 加熱装置,加熱装置の評価法及びパタ―ン形成方法
IL125964A (en) * 1998-08-27 2003-10-31 Tevet Process Control Technolo Method and apparatus for measuring the thickness of a transparent film, particularly of a photoresist film on a semiconductor substrate
JP2000193424A (ja) 1998-12-24 2000-07-14 Sharp Corp 薄膜の膜厚測定装置およびその方法
JP4055880B2 (ja) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
JP2001032078A (ja) 1999-07-21 2001-02-06 Mitsubishi Heavy Ind Ltd プラズマcvd製膜方法
US6091485A (en) * 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
US6621459B2 (en) 2001-02-02 2003-09-16 Raytheon Company Plasma controlled antenna
EP1377999A1 (en) * 2001-04-13 2004-01-07 Applied Materials, Inc. Inductively coupled plasma source with controllable power distribution
US6962732B2 (en) * 2001-08-23 2005-11-08 Applied Materials, Inc. Process for controlling thin film uniformity and products produced thereby
JP2003188150A (ja) 2001-12-17 2003-07-04 Matsushita Electric Works Ltd 半導体プロセスモニタリング方法及び半導体プロセスモニタリング装置
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
US6806948B2 (en) 2002-03-29 2004-10-19 Lam Research Corporation System and method of broad band optical end point detection for film change indication
JP2003313664A (ja) 2002-04-23 2003-11-06 Toppan Printing Co Ltd プラズマを用いた成膜装置
US6642066B1 (en) * 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6979578B2 (en) 2002-08-13 2005-12-27 Lam Research Corporation Process endpoint detection method using broadband reflectometry
US7019844B2 (en) 2002-08-13 2006-03-28 Lam Research Corporation Method for in-situ monitoring of patterned substrate processing using reflectometry.
US7349155B2 (en) * 2002-10-28 2008-03-25 Hewlett-Packard Development Company, L.P. Screen having a layer of reflectors
US7306696B2 (en) * 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6967715B2 (en) * 2002-12-06 2005-11-22 International Business Machines Corporation Method and apparatus for optical film measurements in a controlled environment
US7016039B2 (en) * 2003-02-10 2006-03-21 Hinds Instruments, Inc. Purging light beam paths in optical equipment
US6800852B2 (en) 2002-12-27 2004-10-05 Revera Incorporated Nondestructive characterization of thin films using measured basis spectra
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US6970255B1 (en) * 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
JP4500510B2 (ja) * 2003-06-05 2010-07-14 東京エレクトロン株式会社 エッチング量検出方法,エッチング方法,およびエッチング装置
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
JP2005085917A (ja) * 2003-09-08 2005-03-31 Sharp Corp プラズマプロセス装置
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
WO2005035806A1 (ja) * 2003-10-10 2005-04-21 Sumitomo Titanium Corporation Ca還元によるTi又はTi合金の製造方法
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
TW200612512A (en) * 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
CN1989596A (zh) * 2004-07-23 2007-06-27 株式会社Ipb 硅晶片基板固定台和硅晶片基板温度测量方法
US7375946B2 (en) * 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
TW200622226A (en) 2004-12-28 2006-07-01 Grace Semiconductor Mfg Corp Optical method for detecting the surface structure on thin film of nano crystal
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100627187B1 (ko) * 2005-01-28 2006-09-25 케이맥(주) 코팅 막의 두께를 측정하는 방법들 및 그 장치들
US20060186110A1 (en) * 2005-02-22 2006-08-24 Mark Campello Electric heater with resistive carbon heating elements
US8173036B2 (en) * 2005-03-02 2012-05-08 Tokyo Electron Limited Plasma processing method and apparatus
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
KR100804169B1 (ko) 2005-12-31 2008-02-18 주식회사 아이피에스 박막증착챔버용 서셉터
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR100855463B1 (ko) 2006-07-18 2008-09-01 주식회사 아토 가스분리형 샤워헤드를 이용한 챔버 세정 장치
KR100744528B1 (ko) 2006-04-14 2007-08-01 주식회사 아토 알에프 파워가 인가되는 가스 분리형 샤워헤드를 이용한플라즈마 원자층 증착장치 및 방법
KR100802382B1 (ko) 2006-03-21 2008-02-13 주식회사 아토 가스분리형 샤워헤드를 이용한 원자층 증착 장치
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
KR100782291B1 (ko) 2006-05-11 2007-12-05 주식회사 아토 가스분리형 샤워헤드 및 이를 이용한 펄스 cvd 장치
KR100765128B1 (ko) 2006-05-30 2007-10-11 주식회사 아토 Cvd 챔버의 세정 장치 및 방법
KR20070119169A (ko) 2006-06-14 2007-12-20 주식회사 아토 Cvd 챔버의 세정 장치 및 방법
KR100716266B1 (ko) 2006-06-20 2007-05-09 주식회사 아토 가스분리형 샤워헤드를 이용한 cvd 챔버 세정 장치
KR100782292B1 (ko) 2006-08-10 2007-12-05 주식회사 아토 가스분리형 샤워헤드를 이용한 pecvd 장치 및 이를이용한 실리콘 산화막 증착 방법
KR20080041893A (ko) 2006-11-08 2008-05-14 주식회사 아토 히팅 및 쿨링이 가능한 척
KR20080041886A (ko) 2006-11-08 2008-05-14 기아자동차주식회사 차량의 브레이크 페달 어셈블리
KR101250356B1 (ko) 2006-11-08 2013-04-05 주식회사 원익아이피에스 반도체 제조 장치
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
WO2008117798A1 (ja) * 2007-03-26 2008-10-02 Tokyo Electron Limited 窒化珪素膜の形成方法、不揮発性半導体メモリ装置の製造方法、不揮発性半導体メモリ装置およびプラズマ処理装置
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
KR100942235B1 (ko) * 2007-07-13 2010-02-16 충북대학교 산학협력단 판유리 두께측정방법
ITBO20070504A1 (it) * 2007-07-20 2009-01-21 Marposs Spa Apparecchiatura e metodo per il controllo dello spessore di un elemento in lavorazione
KR101447162B1 (ko) * 2007-08-10 2014-10-07 주성엔지니어링(주) 박막증착을 위한 플라즈마 공정장치 및 이를 이용한미세결정질 실리콘 박막의 증착방법
JP5012318B2 (ja) * 2007-08-21 2012-08-29 パナソニック株式会社 プラズマ処理装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
JP4940122B2 (ja) * 2007-12-21 2012-05-30 株式会社日立製作所 ハードディスクメディア上のパターンの検査方法及び検査装置
TWI386617B (zh) * 2007-12-31 2013-02-21 Ind Tech Res Inst 反射式膜厚量測方法
KR101003382B1 (ko) * 2008-02-13 2010-12-22 주식회사 유진테크 플라즈마 처리장치 및 방법
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
CN101990704B (zh) 2008-04-03 2012-06-20 朗姆研究公司 用于归一化光学发射光谱的方法和装置
KR100947031B1 (ko) * 2008-04-03 2010-03-11 한국과학기술원 3파장 광원을 이용한 위상물체의 굴절률과 두께 측정장치및 그 방법
WO2010008827A2 (en) * 2008-06-24 2010-01-21 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
WO2010013325A1 (ja) * 2008-07-30 2010-02-04 株式会社ニレコ 分光測光装置
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
KR100953736B1 (ko) 2009-07-27 2010-04-19 주식회사 아토 증착 장치 및 반도체 소자의 제조 방법
KR101030997B1 (ko) 2009-10-16 2011-04-25 주식회사 아토 증착 장치 및 이를 이용한 갭필 방법
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
KR101063753B1 (ko) * 2009-11-11 2011-09-14 한양대학교 산학협력단 텍스처가 형성된 시편에 증착된 박막의 특성 측정방법 및 이를 이용한 분광반사측정기
KR101600552B1 (ko) 2010-01-06 2016-03-07 주식회사 원익아이피에스 박막 증착장치 및 오존 플라즈마를 이용한 박막 증착방법
TWI408363B (zh) * 2010-01-08 2013-09-11 Ind Tech Res Inst 通孔結構之測量系統和方法
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
JP2013526778A (ja) * 2010-05-12 2013-06-24 アプライド マテリアルズ インコーポレイテッド 限定プロセス容積pecvdチャンバ
KR101601665B1 (ko) 2010-08-31 2016-03-21 주식회사 원익아이피에스 기판식각장치 및 기판식각방법
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
KR101172274B1 (ko) 2010-12-27 2012-08-08 주식회사 원익아이피에스 가스 분사 장치 및 이를 구비하는 기판 처리 장치
KR101689015B1 (ko) 2011-01-06 2016-12-26 주식회사 원익아이피에스 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US20120211484A1 (en) * 2011-02-23 2012-08-23 Applied Materials, Inc. Methods and apparatus for a multi-zone pedestal heater
JP6000676B2 (ja) * 2011-06-21 2016-10-05 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
KR101925580B1 (ko) 2011-11-15 2019-02-28 주식회사 원익아이피에스 기판처리장치 및 그 동작 방법
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8900469B2 (en) * 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
WO2014052301A1 (en) * 2012-09-26 2014-04-03 Applied Materials, Inc. Controlling temperature in substrate processing systems
KR102205945B1 (ko) * 2012-09-26 2021-01-20 어플라이드 머티어리얼스, 인코포레이티드 폐쇄 루프 제어를 갖는 바닥 및 측부 플라즈마 튜닝
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9556507B2 (en) * 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
KR102161241B1 (ko) * 2013-03-15 2020-09-29 어플라이드 머티어리얼스, 인코포레이티드 펄스형 광-여기된 증착 및 에칭을 위한 장치 및 방법들
JP6145342B2 (ja) * 2013-07-12 2017-06-07 株式会社荏原製作所 膜厚測定装置、膜厚測定方法、および膜厚測定装置を備えた研磨装置
US9677177B2 (en) * 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
WO2015112335A1 (en) * 2014-01-21 2015-07-30 Applied Materials, Inc. Measurement of film thickness on an arbitrary substrate
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9433973B1 (en) * 2015-06-15 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing apparatus
US10276364B2 (en) * 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110114853A (zh) * 2016-12-21 2019-08-09 应用材料公司 通过化学气相沉积的保形密封膜沉积
JP2020514529A (ja) * 2016-12-21 2020-05-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Cvdによる共形密封膜堆積
KR20180107693A (ko) * 2017-03-22 2018-10-02 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기억 매체
KR101993981B1 (ko) 2017-03-22 2019-06-27 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기억 매체
JP2018181965A (ja) * 2017-04-06 2018-11-15 株式会社ニューフレアテクノロジー 成長速度測定装置および成長速度検出方法

Also Published As

Publication number Publication date
US11613812B2 (en) 2023-03-28
US11898249B2 (en) 2024-02-13
TWI688670B (zh) 2020-03-21
CN104737274A (zh) 2015-06-24
TW201742949A (zh) 2017-12-16
KR20200104422A (ko) 2020-09-03
US10060032B2 (en) 2018-08-28
TW201730371A (zh) 2017-09-01
KR20210149208A (ko) 2021-12-08
US20180258535A1 (en) 2018-09-13
TW201418513A (zh) 2014-05-16
TW202134472A (zh) 2021-09-16
TWI785890B (zh) 2022-12-01
TWI632250B (zh) 2018-08-11
KR102275022B1 (ko) 2021-07-09
US20150226540A1 (en) 2015-08-13
KR102460527B1 (ko) 2022-10-27
KR20190095546A (ko) 2019-08-14
TWI822439B (zh) 2023-11-11
US20140118751A1 (en) 2014-05-01
KR20200103128A (ko) 2020-09-01
US20170016118A1 (en) 2017-01-19
TWI628309B (zh) 2018-07-01
TWI724796B (zh) 2021-04-11
TW202209410A (zh) 2022-03-01
KR102009955B1 (ko) 2019-08-12
KR102333219B1 (ko) 2021-12-01
US10030306B2 (en) 2018-07-24
KR102243959B1 (ko) 2021-04-23
US9157730B2 (en) 2015-10-13
US20230193466A1 (en) 2023-06-22
KR101773117B1 (ko) 2017-08-30
KR20150074008A (ko) 2015-07-01
TWI600788B (zh) 2017-10-01
KR102616154B1 (ko) 2023-12-20
KR102258020B1 (ko) 2021-05-28
US20180066364A1 (en) 2018-03-08
KR102149434B1 (ko) 2020-08-31
KR20210047362A (ko) 2021-04-29
KR20210064397A (ko) 2021-06-02
US9816187B2 (en) 2017-11-14
WO2014066541A1 (en) 2014-05-01
US20160017497A1 (en) 2016-01-21
US9458537B2 (en) 2016-10-04
KR20220151000A (ko) 2022-11-11
US20200399756A1 (en) 2020-12-24
KR20170101318A (ko) 2017-09-05
TW202030362A (zh) 2020-08-16
TWI748889B (zh) 2021-12-01
TW202314782A (zh) 2023-04-01
TW201418510A (zh) 2014-05-16
US10793954B2 (en) 2020-10-06

Similar Documents

Publication Publication Date Title
US11898249B2 (en) PECVD process