CN104737274A - Pecvd设备与工艺 - Google Patents

Pecvd设备与工艺 Download PDF

Info

Publication number
CN104737274A
CN104737274A CN201380050065.0A CN201380050065A CN104737274A CN 104737274 A CN104737274 A CN 104737274A CN 201380050065 A CN201380050065 A CN 201380050065A CN 104737274 A CN104737274 A CN 104737274A
Authority
CN
China
Prior art keywords
substrate
equipment
chamber
collimator
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201380050065.0A
Other languages
English (en)
Inventor
N·拉贾戈帕兰
X·韩
M·齐昂
M·奥加塔
Z·蒋
J·C·罗查-阿尔瓦雷斯
T·诺瓦克
J·周
R·萨卡拉克利施纳
G·巴拉苏布拉马尼恩
A·班塞尔
J·李
T·伊根
E·布迪亚托
D·帕纳修克
T·Y·李
J·陈
M·阿优伯
H·L·朴
P·赖利
S·沙克
金秉宪
S·斯塔里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104737274A publication Critical patent/CN104737274A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/65Raman scattering
    • G01N21/658Raman scattering enhancement Raman, e.g. surface plasmons
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • G01N2201/122Kinetic analysis; determining reaction rate
    • G01N2201/1222Endpoint determination; reaction time determination
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

兹描述根据PECVD工艺来处理基板的设备和方法。调整基板的温度分布,以改变基板各处的沉积速率分布。调整等离子体密度分布,以改变基板各处的沉积速率分布。加热暴露于等离子体的腔室表面,以改善等离子体密度均匀性及减少腔室表面处低品质沉积物的形成。原位量测技术可用于监测沉积工艺的进行,及触发涉及基板温度分布、等离子体密度分布、压力、温度与反应物流量的控制动作。

Description

PECVD设备与工艺
技术领域
本文所述实施例是关于在基板上进行等离子体沉积的工艺和设备。更特定言之,本文所述实施例是关于等离子体沉积工艺和设备,用以形成组成与厚度极均匀的层。
背景技术
过去五十年来,半导体产业已根据摩耳定律(Moore’s Law)成长。摩耳定律粗略认为集成电路上的晶体管数量约每两年会增加一倍。此公式的固有限制为,晶体管密度是二维拓展,且在某些时候,物理上将限制装置可多小。
近来,制造业者已开发工艺使装置结构扩展成第三维,以提升处理能力。此类装置的特色通常是有大量材料层相继沉积于基板上。在一些情况下,会形成超过100层。相继形成这么多层时,各层的不均匀性将相乘,以致产生无法使用的结构。目前的层形成工艺和设备通常会造成不适合三维结构的不均匀性。故需要新工艺和设备来形成极均匀层至基板上。
发明内容
本文所述实施例提供处理基板的方法,方法包括把基板放到等离子体处理腔室内的基板支撑件上、提供沉积前驱物至处理腔室、由沉积前驱物形成等离子体、由等离子体沉积层至基板上、利用包含改变接地路径阻抗的工艺,调整等离子体的密度分布,及通过施加第一能通量至基板的第一部分和第二能通量至基板的第二部分,以调整基板的温度分布,其中第一部分不同于第二部分,第一能通量不同于第二能通量。
本文亦描述处理基板的方法,方法包括把基板放到等离子体处理腔室内的基板支撑件上、提供沉积前驱物至处理腔室、由沉积前驱物形成等离子体、由等离子体沉积层至基板上、分析自基板上数个位置反射的光,以监测基板上数个位置的层沉积速率,及依据反射光分析结果,利用包含改变第一接地路径阻抗的工艺,调整等离子体的密度分布。
本文尚描述处理基板的方法,方法包括把基板放到等离子体处理腔室内的基板支撑件上、提供沉积前驱物至处理腔室、由沉积前驱物形成等离子体、由等离子体沉积层至基板上、分析自基板上数个位置反射的光,以监测基板上数个位置的层沉积速率,及依据反射光分析结果,施加第一能通量至基板的第一部分和第二能通量至基板的第二部分,以调整基板的温度分布,其中第一部分不同于第二部分,第一能通量不同于第二能通量。
附图说明
图1是概述根据一实施例的方法的流程图。
图2是概述根据另一实施例的方法的流程图。
图3是根据一实施例的设备的截面示意图。
图4是根据另一实施例的设备的截面示意图。
图5A是根据又一实施例的设备的截面示意图。
图5B是根据再一实施例的设备的截面示意图。
图6是根据另一实施例的设备的截面示意图。
图7是根据另一实施例的设备的示意性俯视图。
图8A是具多区加热器的基板支撑件的截面示意图,基板支撑件可配合本文所述其他设备和方法使用。
图8B是具附加特征结构的基板支撑件的截面示意图。
图8C是腔室的截面示意图,腔室具有图8B所述的多区基板支撑件。
图9A是根据一实施例的室盖组件的截面示意图,室盖组件具有光学量测装置。
图9B是图9A的光学量测装置的细部图。
图10A是根据一实施例、具准直仪的盖组件的等角视图。
图10B是根据一实施例的准直仪的截面示意图。
图10C是根据另一实施例、具准直仪的盖组件的等角视图。
图11是根据一实施例、利用原位量测的导电气体分配器的仰视图。
图12是概述根据一实施例的确定层厚度的方法的流程图。
图13是概述根据另一实施例的确定层厚度的方法的流程图。
图14A-14D是示出在图案化基板上沉积氮化硅层期间、在少数所选择的波长下的时序反射数据的图表。
图15是示出在三个相继层的沉积期间、在210nm下收集的反射数据的时序拟合的图表。
图16A-16D是示出来自堆迭沉积的所选层的反射数据的频谱拟合的图表。
图17A和17B是示出与使用透射电子显微镜的厚度测量相比的层厚度测量的图表。
图18是示出厚度数据相对于时间的拟合的图表。
具体实施方式
通过控制气流均匀性、处理腔室表面间的温度均匀性、基板的温度分布和基板表面不同位置的等离子体密度分布,可在等离子体工艺中形成极均匀、高品质的装置层至基板上。可一起调整等离子体密度分布和温度分布,使基板表面各处达到期望的沉积速率分布。可调整腔室表面的温度均匀性,以提供均匀的反应物种浓度,及控制及/或最小化在腔室表面上的沉积。
图1流程图概述于基板上形成厚度与组成均匀的层的方法100。在步骤102中,把基板放到化学气相沉积(CVD)腔室内的基板支撑件上。
在步骤104中,建立基板内温度分布。此达成方式为以不同速率加热基板的不同部分,例如使用分区加热器。可使用二区加热器,各区间的温度偏差为约-50℃至约+50℃。视待沉积材料而定,基板温度可为约300℃至约800℃,例如约400℃至约650℃。
在步骤106中,选择及控制面板温度。面板是室盖的表面,该表面接触处理环境且面向基板支撑件。控制面板温度可提升面板附近的腔室处理区的温度均匀性,进而于反应气体混合物离开面板而进入处理区时,改善反应气体混合物的组成均匀性。使加热元件热耦合至面板,可以控制面板温度。此达成方式为让加热元件直接接触面板或经由另一构件传导。面板温度可为约100℃至约300℃。
在步骤108中,经由温度控制面板提供前驱物气体混合物至腔室。气体混合物可为任何适合的CVD前驱物混合物,例如硅(多晶硅或非晶硅)、氧化硅、氮化硅或氮氧化硅前驱物混合物。可包括掺质前驱物,例如硼化合物、磷化合物及/或砷化合物。以下流率范围是应用到300毫米(mm)基板尺寸用的腔室。适当尺度化后可用于其他基板尺寸用的腔室。可以约20sccm(标准公升每分钟)至约2000sccm的流率提供硅前驱物(例如硅烷)。可以约20mgm(毫克每分钟)至约5000mgm的流率提供四乙氧基硅烷(TEOS)。可以约1000sccm至约20000sccm的流率提供氧前驱物,例如N2O、O2、O3、H2O、CO或CO2。可以约200sccm至约50000sccm的流率提供氮前驱物,例如N2、N2O、NH3或H2N2或上述物质的取代变体或前述氮物种的任一混合物。可包括碳前驱物,例如烃(例如甲烷),以将碳加入层中。可以约20sccm至约3000sccm之间的流率提供掺质前驱物,例如三甲基硼烷(TMB)、二硼烷(B2H6)、三氢化磷(PH3)、砷化氢(AsH3)和取代三氢化磷与砷化氢或上述物质混合物。掺质前驱物可由载气承载或以稀释气体稀释,例如氦气、氩气、氮气或氢气或上述物质的任一混合物,以约500sccm至约30000sccm之间的流率流动。在腔室内建立约0.5托耳至约10托耳之间的操作压力。面板与基板的间距为约200密耳(千分之一英寸)至1100密耳之间。
在步骤110中,在腔室中,由前驱物气体混合物形成等离子体。等离子体可由电容或感应手段形成,且可通过使射频(RF)功率耦合至前驱物气体混合物而激发。RF功率可为具高频分量与低频分量的双频RF功率。RF功率通常是以约50瓦(W)至约1500W间的功率电平施加,此可为全高频RF功率,例如频率为约13.56兆赫(MHz),或可为高频功率与低频功率混合物,例如频率为约300千赫(kHz)。
在步骤112中,偏压耦接至腔室侧壁的电极及/或耦接至基板支撑件的电极,以调整等离子体密度分布。各电极一般经控制以提供选定的电流阻抗流过电极。共振调谐电路通常耦接至各电极及接地,共振调谐电路的部件经选定而具至少一可变部件,如此可动态调整阻抗,以维持目标电流。流过各电极的电流可控制为约0安培(A)至约30A之间的值或约1A至约30A之间的值。
在步骤114中,由等离子体形成层至基板上。视前驱物组成而定,层可为硅层(例如多晶硅、微晶硅或非晶硅层且可经掺杂)、氧化硅层(可经掺杂)、氮氧化硅层(可经掺杂)、碳化硅层(可经掺杂)、碳氧化硅层(可经掺杂)、氮碳化硅层(可经掺杂)、氮氧碳化硅层(可经掺杂)或氮化硅层(可经掺杂)。亦可选择适当前驱物和流率来沉积其他层,例如不含硅层。
形成层的厚度均匀性通常为2%或更佳。在一方面中,沉积层的厚度不会偏离平均值2%以上。在另一方面中,层厚度的标准差不超过约2%。此厚度均匀性能在单一腔室中以单一连续工艺形成多层,例如高达150层,同时保持实质平面、层状且平行的堆迭结构。
通过控制暴露于等离子体的腔室表面的温度,可进一步加强均匀性。当腔室表面容许热浮动时,将会产生热点与冷点,以致不受控制地影响等离子体密度和反应性。如上所述,可利用电阻式加热器或热流体,加热喷淋头的面板,热流体可置于导管内而通过部分面板或是直接接触或热接触面板。导管可设置穿过面板的边缘部分,以免干扰面板的气流功能。加热面板边缘部分有助于降低面板边缘部分变成腔室内的散热体的倾向。
亦可加热室壁达类似效果。加热暴露于等离子体的腔室表面亦可减少在腔室表面沉积、凝结及/或逆向升华、减低腔室清洗频率,及增加每次清洗的平均周期。高温表面亦促进密集沉积,因而较不会产生掉到基板上的微粒。具电阻式加热器及/或热流体的热控制导管可设置穿过室壁,以达成热控制室壁的目的。所有表面的温度可由控制器控制。
图2流程图概述于基板上形成厚度与组成均匀的层的方法200。除量测部件外,方法200在许多方面类似图1的方法100。在步骤202中,把基板放到CVD腔室内的基板支撑件上。
在步骤204中,通过用光照射腔室内的基板,及测量基板所反射的光谱,来检测基板的基线反射率。用于原位测量基板反射率的示例性设备将描述如下。从入射光的光谱分析取得作为波长函数的导向基板的光的强度。从反射光的光谱分析取得作为波长函数的自基板反射的光的强度。计算作为波长函数的反射光强度与入射光强度的比率,并储存供后续处理。具电子存储器的电子计算装置可用于分析光谱数据。
在步骤206中,建立基板中的温度分布,此实质如同上述步骤104。在步骤208中,设定面板的温度,此如同上述步骤106。在步骤210中,使前驱物流入CVD腔室,此如同上述步骤108。在步骤212中,在CVD腔室中形成等离子体,此如同上述步骤110。在步骤214中,调整及选择等离子体密度分布,此如同上述步骤112。在步骤216中,由等离子体沉积层至基板上,此实质如同上述步骤114。
在步骤218中,检测沉积层的厚度均匀性,同时沉积层来进行调整,以控制厚度均匀性。进行沉积时,监测自基板反射的光,反射光中的变化用于确定厚度。一般会监测基板上的多个位置,以确定不同位置的厚度变化。进行沉积时,比较不同位置的厚度数据,以确定厚度均匀性。由反射光确定厚度的设备和算法将进一步详述于后。
在步骤220中,依据在步骤218中从反射光分析确定的厚度均匀性,调整腔室参数,腔室参数会影响基板各处的沉积分布。进行沉积层时,调整等离子体密度分布、基板温度分布和气体流率的至少一者,以控制厚度均匀性。用于调整基板温度分布和等离子体密度分布的设备将进一步详述于后。通过改变基板上不同位置的局部能通量,以调整基板温度分布,此通常是利用具分区能通量的基板支撑件。通过施加腔室的等离子体产生区周围、基板支撑件上方的电极,及使用可变电子部件来个别调整电极阻抗而改变使等离子体中的电荷载子接地的不同路径阻抗,以调整等离子体密度分布。几何控制阻抗可直接控制基板支撑件上方的等离子体密度的几何形状。依此,视期望调整而定,可有更多等离子体被吸引到基板的边缘区域或被推向基板的中心区域。
在步骤222中,分析反射光,以依据沉积膜的整体厚度与目标厚度的比较结果,确定沉积终点。
方法100、200可用于形成不同组成且具可重复厚度与极佳厚度均匀性的层堆迭。在一实施例中,在单一处理腔室中,于硅基板上形成交替的氧化硅层与氮化硅层,各层厚度具有约至约之间的厚度,各层的厚度均匀性以基板各处厚度的标准差表示,标准差小于约3%,在一些情况下为低至1%。可在单一处理腔室中相继形成任何数量的这种层,例如超过40层,在一些情况下为多达125层。
图3是本发明设备300的示意性侧视图,该设备300用以实践本文所述工艺。处理腔室300的特征在于腔室主体302、设于腔室主体302内的基板支撑件304和盖组件306,盖组件306耦接至腔室主体302并围住处理容积320内的基板支撑件304。经由开口326提供基板至处理容积320,开口326传统上由门板密封以进行处理。
电极308设置邻接于腔室主体302,且隔开腔室主体302与盖组件306的其他部件。电极308可为盖组件306的一部分,或为分离的侧壁电极。电极308可为环状或环形构件,且可为环形电极。电极308可为环绕处理腔室300周围而围绕处理容积320的连续回路,或可依需求在选定位置是不连续的。电极308亦可为穿孔电极,例如穿孔环或网状电极。电极308亦可为平板电极,例如次级气体分配器。
隔离器310可为介电材料,例如陶瓷或金属氧化物,例如氧化铝及/或氮化铝,隔离器310接触电极308,并且将电极308与气体分配器312和腔室主体302电气隔开与热隔开。气体分配器312的特征在于开口318,以供处理气体进入处理容积320。气体分配器312可耦接至电源342,例如RF产生器。亦可采用DC功率、脉冲式DC功率和脉冲式RF功率。
气体分配器312可为导电气体分配器或非导电气体分配器。气体分配器312亦可由导电与非导电部件组成。例如,气体分配器312的主体可具导电性,气体分配器312的面板则不导电。在等离子体处理腔室中,如图3所示,可供电给气体分配器312,或者气体分配器312可接地。
电极308可耦接至调谐电路328,以控制处理腔室300的接地途径。调谐电路328包含电子传感器330和电子控制器334,电子控制器334可为可变电容。调谐电路328可为包含一或更多电感332的LLC电路。调谐电路328可为任一电路,电路特征为在处理期间,可在处理容积320存有等离子体条件下的可变或可控制的阻抗。在图3的实施例中,调谐电路328的特征在于与电子控制器334串联的第一电感332A和与电子控制器334并联的第二电感332B。电子传感器330可为电压或电流传感器,且可耦接至电子控制器334,以提供对处理容积320内的等离子体条件的一定程度的闭环控制。
第二电极322可耦接至基板支撑件304。第二电极322可埋设在基板支撑件304内或耦接至基板支撑件304的表面。第二电极322可为平板、穿孔平板、网格、丝网或任何其他分散配置。第二电极322可为调谐电极,且可由导管346耦接至第二调谐电路136,导管例如具选定电阻(例如50欧姆(Ω))的电缆,导管346置于基板支撑件304的轴杆344。第二调谐电路336具有第二电子传感器338和第二电子控制器340,电子控制器340可为第二可变电容。第二电子传感器338可为电压或电流传感器,且可耦接至第二电子控制器340,以进一步控制处理容积320内的等离子体条件。
第三电极324可为偏压电极及/或静电夹持电极,第三电极324可耦接至基板支撑件304。第三电极可经由滤波器348耦接至第二电源350,滤波器348可为阻抗匹配电路。第二电源350可为DC功率、脉冲式DC功率、RF功率、脉冲式RF功率或上述功率的组合。
图3的盖组件306和基板支撑件304可配合任一处理腔室使用,以进行等离子体或热处理。有益使用盖组件306和基板支撑件304的等离子体处理腔室一例为取自位于美国加州圣大克劳拉市的应用材料公司(Applied Materials,Inc.)的平台与腔室。取自其他制造业者的腔室亦可配合上述部件使用。
操作时,处理腔室300实时控制处理容积320内的等离子体条件。基板放在基板支撑件304上,处理气体依任一期望流动规划由进口314流过盖组件306。气体由出口352离开腔室300。电源耦接至气体分配器312,以于处理容积320内产生等离子体。若有需要,可利用第三电极324,使基板遭受电偏压。
在处理容积320中激发等离子体后,等离子体与第一电极308间即产生电位差。等离子体与第二电极322间亦会产生电位差。电子控制器334和340接着用于调整接地路径的流动性,接地路径以二调谐电路328、336表示。将设定点传递到第一调谐电路328和第二调谐电路336,以个别控制从中心到边缘的沉积速率和等离子体密度均匀性。在电子控制器均为可变电容的实施例中,电子传感器可调整可变电容,以个别最大化沉积速率及最小化厚度不均匀性。
调谐电路328和336各具可变阻抗,阻抗可分别利用电子控制器334和340调整。若电子控制器334和340为可变电容,则视等离子体的频率与电压特性而定,各可变电容的电容范围和电感332A和332B的电感被选择以提供使各可变电容有最小电容范围的阻抗范围。故当电子控制器334的电容为最小值或最大值时,电路328的阻抗很高,导致等离子体形状以最小面积覆盖基板支撑件。当电子控制器334的电容近似使电路328有最小阻抗的值时,等离子体将有最大覆盖面积来有效覆盖基板支撑件304的整个工作面积。当电子控制器334的电容偏离最小阻抗设定时,等离子体形状会从室壁缩小,造成覆盖基板支撑件的面积减少。电子控制器340具有类似作用,等离子体覆盖基板支撑件的面积将随电子控制器340的电容改变而增加及减少。
电子传感器330和338可用于调整封闭回路的各电路328和336。视所用传感器类型而定,电流或电压设定点可安装于各传感器,传感器可装配控制软件,以决定各电子控制器334和340的调整值,从而最小化距设定点的偏差。依此,可于处理期间选择及动态控制等离子体形状。应注意虽然前述电子控制器334和340是可变电容,但任何具可调特性的电子部件皆可用于提供具可调阻抗的调谐电路328和336。
图4是本发明另一设备400的侧视图,用以实践本文所述工艺。图4的处理腔室400在许多方面类似图3的处理腔室300,二图中相同的元件以相同的元件符号表示。处理腔室400的特征在于不同的调谐电路402,调谐电路402耦接至基板支撑件304。调谐电路402具有和调谐电路328一样的部件,即电子控制器340、电子传感器338、与电子控制器340串联的第一电感404和与电子控制器340并联的第二电感406。
图4的调谐电路402的运作方式类似图3的调谐电路336,但调整可变部件340时,有不同的阻抗特性。视为电感404和406选定的电感而定,调谐电路402的阻抗不同于调谐电路336的阻抗。故施加至基板支撑件的调谐电路特性不仅可通过选择可变电容来调整,可变电容的电容范围会产生有益等离子体特性的电阻范围,还可通过选择电感来修改使用可变电容可获得的阻抗范围而调整。因具调谐电路336,可变电容340调整通过基板支撑件的接地路径的阻抗、改变电极322的电位,及改变处理容积320内的等离子体形状。
图5A是本发明又一设备500的侧视图,用以实践本文所述工艺。处理腔室500的特征在于腔室主体502、设于腔室主体502内的基板支撑件504和盖组件506,盖组件506耦接至腔室主体502并围住处理容积520内的基板支撑件504。经由开口526提供基板至处理容积520,开口526传统上由门板密封以进行处理。
盖组件506包含电极508,电极508设置邻接腔室主体502,且隔开腔室主体502与盖组件506的其他部件。电极508可为环状或环形构件,且可为环形电极。电极508可为环绕处理腔室500周围而围绕处理容积520的连续回路,或可依需求在选定位置是不连续的。一对隔离器510和512接触电极508,并将电极508和导电气体分配器514与腔室主体502电气与热隔开,隔离器510、512各可为介电材料,例如陶瓷或金属氧化物,金属氧化物例如氧化铝及/或氮化铝。
隔离器510可为暴露于处理容积520的处理环境的内部隔离器,隔离器512可为不暴露于处理容积520的处理环境的外部隔离器。在此实施例中,内部隔离器的材料耐热性或热稳定性比外部隔离器更佳。内部隔离器可包含数个和介面一起装配的部件,以减轻内部隔离器中的热应力。例如,三个陶瓷环可构成内部隔离器。若内部隔离器具耐热性,则外部隔离器可为较不耐热的材料,例如塑胶。若隔离器510是内部隔离器而在处理环境中提供稳定性,则隔离器510可依需要往下延伸到隔离器512的下面旁而阻隔处理环境。或者,隔离器512的下面可由和隔离器510的材料一样或类似的隔离器取代。
在导电气体分配器514是导电面板的实施例中,导电面板可为厚度实质均匀的平面导电类平板构件,导电面板的表面实质平行于基板支撑件504的上表面。导电面板可为金属,例如铝或不锈钢,在一些实施例中,可涂覆上介电材料,例如氧化铝或氮化铝。
导电气体分配器514可为导电面板,并热接触且可物理接触加热器516。加热器516包括加热元件576,加热元件576可为电阻元件(例如散热用电导体)或导电元件(例如加热流体用导管)。导电气体分配器514的特征在于开口518,以供处理气体进入处理容积520。导电气体分配器514的边缘部分580可沿处理腔室500的侧边进入,以将导电气体分配器514耦接至电源542,例如RF产生器。亦可采用DC功率、脉冲式DC功率和脉冲式RF功率。
分区阻断板包含第一区板552和第二区板558,分区阻断板接触导电气体分配器514,及提供多个气体途径通过盖组件506。虽然图5A所示实施例是图示分区阻断板构造一例,但也可采用其他分区阻断板构造,包括具有超过两个区板的构造。第一区板552具有一或更多气室554,供处理气体循环通过第一途径,而经由第一区板552的开口556分配到处理容积520,开口556流体连通导电气体分配器514的开口518。第二区板558亦具有一或更多气室560,供处理气体循环通过第二途径,而经由第二区板的开口578分配到处理容积520,开口578流体连通第一区板552的贯穿开口562和导电气体分配器514的开口518。
气帽564设置接触第二区板558,及提供入口让处理气体分别流入第一区板552的气室554和第二区板558的气室560,以于抵达处理容积520前,在不互相接触的情况下,使处理气体流到处理容积520。气帽564的特征为亦包括入口566,入口566流体连通第二区板558和第一区板552的贯穿开口568,且入口566与其中一个开口518流体连通,可依需求供处理气体经由第三气体途径直接流入处理容积520。气帽564的特征为亦包括让流体循环通过气帽564的导管570。流体可为热控制流体,例如冷却流体。水为可用冷却流体一例,但也可使用其他流体、液体和固体。热控制流体经由进口572提供至导管570并经由出口574抽出导管570。气帽564热连通第一与第二区板552、558和导电气体分配器514。加热器516和受热控制的气帽564一起热控制导电气体分配器514,以提供从边缘到中心和基板到基板间的温度均匀性。气体经由入口578排出处理容积520,入口578可耦接至真空源(未图示),真空源可沿着腔室主体设在任一便利位置且可依需求连接泵抽气室。
电极508可耦接至调谐电路528,以控制处理腔室500的接地途径。调谐电路528包含电子传感器530和电子控制器534,电子控制器534可为可变电容。调谐电路528可为包含一或更多电感532的LLC电路。电子传感器530可为电压或电流传感器,且可耦接至电子控制器534,以提供对处理容积520内的等离子体条件的一定程度的闭环控制。
第二电极522可耦接至基板支撑件504。第二电极522可埋设在基板支撑件504内或耦接至基板支撑件504的表面。第二电极522可为平板、穿孔平板、网格、丝网或任何其他分散配置。第二电极522可为调谐电极,且可由导管546耦接至第二调谐电路536,例如具选定电阻(例如50Ω)的电缆,导管546置于基板支撑件504的轴杆544。第二调谐电路536具有第二电子传感器538和第二电子控制器540,电子控制器540可为第二可变电容。第二电子传感器538可为电压或电流传感器,且可耦接至第二电子控制器540,以进一步控制处理容积520内的等离子体条件。
第三电极524可为偏压电极,且可耦接至基板支撑件504。第三电极可耦接至包含第二电源550的偏压单元599和滤波器548,滤波器548可为阻抗匹配电路。第二电源550可为DC功率、脉冲式DC功率、RF功率、脉冲式RF功率或上述功率的组合。
图5A的盖组件506和基板支撑件504可配合任一处理腔室使用,以进行等离子体或热处理。有益使用盖组件506和基板支撑件504的等离子体处理腔室一例为取自位于美国加州圣克拉拉的应用材料公司的平台与腔室。取自其他制造业者的腔室亦可配合上述部件使用。
操作时,处理腔室500实时控制盖组件506的温度和处理容积520内的等离子体条件。基板放在基板支撑件504上,处理气体依任一预定流动规划流过盖组件506。可于导电气体分配器建立温度设定点,并可通过操作加热器516及使冷却流体循环通过导管570而控制温度设定点。电力可耦合至导电气体分配器514,以在处理容积520内产生等离子体。由于导电气体分配器514的温度受到控制,较少电力因加热导电气体分配器514和盖组件506的其他部件而耗散,故由处理腔室500处理第一基板开始,导电气体分配器514从中心到边缘与基板到基板间的温度是稳定的。若有需要,可利用第三电极524,使基板遭受电偏压。
在处理容积520中激发等离子体后,等离子体与第一电极508间即产生电位差。等离子体与第二电极522间亦会产生电位差。电子控制器534和540接着用于调整接地路径的流动性,接地路径是以两个调谐电路528、536表示。将设定点传递到第一调谐电路528和第二调谐电路536,以个别控制从中心到边缘的等离子体密度均匀性和沉积速率。在电子控制器均为可变电容的实施例中,电子传感器可调整可变电容,以个别最大化沉积速率及最小化厚度不均匀性。等离子体处理腔室可具有第一及/或第二电极。同样地,等离子体处理腔室可具有第一及/或第二调谐电路。
调谐电路528和536各具可变阻抗,阻抗可分别利用电子控制器534和540调整。若电子控制器534和540为可变电容,则视等离子体的频率与电压特性而定,各可变电容的电容范围和电感532A和532B的电感被选择以提供使各可变电容有最小电容范围的阻抗范围。故当电子控制器534的电容为最小值或最大值时,电路528的阻抗很高,导致等离子体形状以最小面积覆盖基板支撑件。当电子控制器534的电容近似使电路528有最小阻抗的值时,等离子体将有最大覆盖面积来有效覆盖基板支撑件504的整个工作面积。当电子控制器534的电容偏离最小阻抗设定时,等离子体形状会从室壁缩小,造成覆盖基板支撑件的面积减少。电子控制器540具有类似作用,等离子体覆盖基板支撑件的面积将随电子控制器540的电容改变而增加及减少。
电子传感器530和538可用于调整封闭回路的各电路528和536。视所用传感器类型而定,电流或电压设定点可安装于各传感器,传感器可装配控制软件,以决定各电子控制器534和540的调整值,从而最小化设定点偏差。依此,可于处理期间选择及动态控制等离子体形状。应注意虽然前述电子控制器534、540是可变电容,但任何具可调特性的电子部件皆可用于提供具可调阻抗的调谐电路528和536。
图5A的腔室500亦具有光学量测装置582,光学量测装置582设于盖组件506中。光学量测装置582通常放置在第二区板558及安座于第一区板552。盖组件506中可依需求采用不同数量的平板。光学量测装置582通常放置在气帽564底下的第一平板,以便于使用。光学量测装置582光学对准穿过第一区板552的开口586。开口586对准且光学配准导电气体分配器514的气流开口518。通常,此类开口设置穿过光学量测装置582与导电气体分配器514间的所有平板。导电气体分配器514的开口518按尺寸制作以得气流均匀性。光学量测装置582产生光束,光束按尺寸产生以行经开口518的其中一个开口,而不需重新调整开口518的尺寸。光学量测装置582发射的光经由开口584、586、518朝基板支撑件504行进。光自置于基板支撑件504上的基板反射,及经由开口518、586、584返回光学量测装置582。光学量测装置582的细节将参照图9A至图10C进一步说明于后。气帽564的凹部容纳光学量测装置582的上部,上部突出第二区板558。
图5B是根据另一实施例,处理腔室590的截面示意图。图5B的处理腔室590在许多方面类似图5A的处理腔室500,二图中相同的元件以相同的元件符号表示。处理腔室590的特征在于不同的调谐电路592,调谐电路592耦接至基板支撑件504。调谐电路592具有和调谐电路528一样的部件,即电子控制器540、电子传感器538、与电子控制器540串联的第一电感594和与电子控制器540并联的第二电感596。
调谐电路592的操作方式类似图5A的调谐电路536。调谐电路592具有可变阻抗,阻抗范围由电路的电子部件性质、电极522和等离子体设定。调谐电路592的至少一电子部件是可变的,以提供可控制的可变阻抗。改变调谐电路592的阻抗可控制处理容积520内的等离子体密度分布。
图6是根据再一实施例,设备600的截面示意图。设备600是两个处理腔室602A和602B的串接单元。各处理腔室602A、602B可为腔室300、400、500、590中的任一个。通常,腔室602A和602B实质相同,但未必如此。在图6中,处理腔室602A和602B是相同的且类似图5B的腔室590。腔室602A、602B具有各自出口650A、650B,出口650A、650B设在各自的基板支撑件轴杆544A、544B周围。腔室602A、602B经由共用真空管线652排空,管线652耦接至共用真空源630。设备600包含气体输送系统604,气体输送系统604输送处理气体至腔室602A、602B的盖上的各气体歧管606A、606B。气体输送系统604包含至少一气源608,气源608利用共用输送导管610耦接共用流量控制装置612与气体歧管606A、606B。气体输送系统亦包含至少一气源614,气源614经由个别输送导管618耦接个别流量控制装置616A、616B与气体歧管。分离气流为共同控制流动及个别控制流动可依需求更严密控制流到各腔室的处理气体流量,同时维持流到各腔室的共同周遭气体流量控制。
控制器620耦接至设备600的各种控制特征结构,包括个别控制装置614、共用控制装置612、各腔室的加热器516、调谐电路的电子传感器530、538,藉以控制各调谐电路阻抗、各腔室的光学量测装置582和各腔室的偏压产生电路599。控制器620利用光学量测装置582来监测沉积进行,及调整气流、等离子体密度分布和各导电气体分配器的温度,以达期望的沉积均匀性。
图7是根据另一实施例,设备700的上视图。设备700是处理腔室集合,所有腔室可为图5A的处理腔室500的实施例,并且耦接至移送室708和负载锁定组件704。亦可采用图5B的处理腔室590、图3的处理腔室300和图4的处理腔室400。处理腔室500通常群集成串接单元702,例如图6的串接单元600,每一处理腔室500具有单一处理气体供应器712。如图6所述,处理气体可被共同控制流入设备700的串接单元600的两个腔室,及/或个别控制处理气体流入串接单元600的各腔室。串接单元702设置环绕移送室708,移送室708通常具有机器人710,用以操纵基板。负载锁定组件704的特征在于两个负载锁定室706,两个负载锁定室706亦串接排列。设备700通常适合在高产量的制造环境中实践本文所述方法。应注意所述任一腔室实施例、设备300、400、500或590皆可以任何组合方式用于设备700。
图8A是具多区加热器的基板支撑件802的截面示意图,基板支撑件802可配合本文所述其他设备和方法使用,以控制置于基板支撑件802上的基板的温度分布。基板支撑件802具有埋设热耦804。基板支撑件802的一实施例可由热压烧结工艺制作,其中粉状氮化铝(AlN)经压制成模及加热。在示例性实施例中,基板支撑件802通过以下步骤形成:将AlN粉末层迭成模而形成第一AlN层;把第一加热元件814放置到第一AlN层上,加热元件814可为电阻式加热元件;沉积第二AlN粉末层至第一加热元件814上;把第二加热元件816放置到第二AlN粉末层上;增设第三AlN粉末层至第二加热元件816上;把热耦804放置到第三AlN层上;接着沉积第四AlN粉末层至热耦804上。注意此过程会以与图8A颠倒的位置形成基板支撑件802。
若包括上述电极,则上述层迭工艺可于加热元件814、816和热耦804前或后扩展,以提供偏压电极和调谐电极。一旦AlN粉末层、加热元件814、816、热耦804和任何期望电极置于适当位置,即可施加高压和高温(此领域所熟知)至结构而进行烧结。如图8A所示,依此可形成实心基板支撑件802。注意以上实例是描述形成具二区的基板支撑件的步骤。在其他实施例中,可以适当对应层迭步骤和附加加热元件与热耦来制作具3、4、5、6或更多区的基板支撑件。
在一些实施例中,热耦804可包括第一材料长条片806和第二材料长条片808。第一材料和第二材料的熔点通常够高,以免在上述制造工艺期间损坏,西白克(Seebeck)系数差异为足以产生对应小温度变化的电压信号,热膨胀系数则接近基板支撑件材料,故热耦804或基板支撑件802不会在温度循环时遭热应力损坏。
第一材料806和第二材料808可塑形成棒、线、带或任何其他可行形状,以从基板支撑件802的中心径向延伸到基板支撑件802的外部加热区,并且两端有足够的表面积来形成可靠的电气连接。于长条片806、808的接面端810,长条片806、808可焊接在一起及/或利用导电填料连接。
在焊接形成热耦接面810的实施例中,焊接应选择能让接面810保持完整无缺,又可忍受烧结工艺期间施加的热。例如,钨极钝气(TIG)焊接或类似技术可用于焊接一片W5Re、W26Re或其他导电材料与W5Re、W26Re长条片806、808,以形成焊接接面,烧结时,焊接接面不会熔化。
故在一些实施例中,形成热耦接面810的方法为将填料夹设在W5Re与W26Re带之间,W5Re与W26Re带用作长条片806、808。填料可为电阻不比W5Re或W26Re高且熔点高于烧结温度的金属。适合配合做为长条片806、808的W5Re与W26Re带使用的填料实例包括W5Re、W26Re、钨(W)、钼(Mo)和类似材料。在一些实施例中,热压烧结工艺可用于接合填料和W5Re与W26Re长条片806、808。
绝缘材料可插入长条片806、808间的空间812,或可将AlN粉末推进片806、808间的空间812。若AlN用于互相隔绝热耦片806、808,则至少0.5mm的AlN厚度通常已足够。可采用附加厚度。注意虽然图7所示长条片806、808是互相堆迭于上,但在其他实施例中,长条片806、808彼此是侧向隔开而置于基板支撑件802内的相同垂直位置。此配置有助于在制造期间,沉积绝缘AlN粉末至片806、808间的空间812。
图8B是具附加特征结构的多区基板支撑件800的截面示意图。烧结图8A的基板支撑件802后,在基板支撑件802的下表面824中心开孔818、820。孔818、820延长而露出长条片806、808。可采用任何可行的方法在基板支撑件802中开孔(例如钻孔)。孔818、820的直径被制作成足以让连接器(例如导线)连接至长条片806、808。在一些实施例中,分别用于长条片806、808的材料同样可用于连接器。在一些实施例中,连接器的材料不同于长条片806、808的材料。在此情况下,测量温度将以热耦接面810位置与基板支撑件802中心的连接器连接点间的温差为基础。就双区支撑件而言,连接器连接点靠近用于测量内区温度的习知热耦826,热耦826设在基板支撑件802的中心。假设连接器连接点温度和内区温度一样,则可计算热耦接面810位置的温度。
在一些实施例中,连接器经硬焊、焊接或软焊至长条片806、808。硬焊工艺可在无氧环境中进行,以免材料氧化。此外,可开孔824使习知热耦826插入内部加热区的基板支撑件802。注意虽未图示,但亦可开连接器至加热元件814、816的附加孔,以连接元件814、816。
轴杆822接着附接至基板支撑件802的下表面828中心。在一些实施例中,轴杆822收纳长条片806、808的连接器、习知热耦826的连接器和加热元件814、816的连接器,轴杆822可于各种连接器附接至各热耦826、804和加热元件814、816前,附接至基板支撑件802。
图8C图示图8B的多区基板支撑件800,基板支撑件800设于处理腔室,例如处理腔室502。热耦826、804和加热元件814、816的连接器耦接至控制器832,控制器832可包括处理器和适当电路,电路适于接收及记录来自热耦826、804的信号,及施加电流至加热元件814、816。图8B的多区基板支撑件800可设于腔室300、400、500及/或590的任一个中,如上所述,基板支撑件800亦可包括偏压电极和调谐电极。
图9A是根据一实施例,穿过光学量测装置582中心的截面示意图。图9B是穿过图9A光学量测装置582中心的截面特写图。如图所示,量测装置582包括准直仪912,准直仪912安置在第二区板558的开口940内。在图9A的实施例中,量测装置582延伸到选择性座板942中并置于第一区板552与第二区板558之间。座板942亦可为区板,或者,座板942可只让气体在第一区板552与第二区板558间流动。第一区板552内设有窗口902,以防止处理气体流入准直仪912而损坏准直仪912内的光学元件。窗口902可为任何能使准直仪912的光穿过第一区板552的材料,例如蓝宝石、石英或具任何适当组成的玻璃。
开口940和准直仪912一同定义第一间隙934和第二间隙936。第一间隙934围绕准直仪912的第一部分944,第二间隙936围绕准直仪912的第二部分946。准直仪912的第一部分944可呈圆柱形,第二部分946可呈箱形。第一间隙934可大于第二间隙936,以供准直仪912进行倾斜或转动运动,此将进一步详述于后。
窗口902由窗口托架904托在座板942的凸耳914上。处理时,窗口902设置与基板夹一角度。操作时,光源(未图示)经由光纤束(未图示)提供光(通常是广谱光,例如氙灯光)至准直仪912。光通过准直仪912,准直仪912含有光学器件,光学器件对准光和开口586、518,及把光直径压缩成实质为开口586、518的直径内。光接着通过窗口902、座板942的开口950、开口586和形成穿过导电气体分配器514的开口518,以照射基板。光接着自基板反射且经由开口518、586、950返回准直仪912。窗口902乃倾斜设置,以免光从准直仪912直接向外反射回准直仪912。视情况而定,使窗口902倾斜,故角度可为介于约0度至约25度的任何角度,例如约1度至约10度,例如约3度。自基板反射的光从准直仪经过光纤束(未图示)而至光谱仪或其他光谱光分析仪(例如光电二极体、光电二极体阵列或CCD阵列)。
利用上述结构,可于基板处理期间进行量测。出自准直仪912的光(和自基板反射的光)通过开口518时,可使气体流过开口518,及处理基板。开口518按尺寸制作以得通过导电气体分配器514的气流均匀性,故尺寸通常类似导电气体分配器514未用于原位量测的开口518。在一实施例中,开口518的直径为0.0028”。
光经由导管930进入准直仪912,导管930具有数个光纤932而形成光纤束。准直仪912的特征在于光学器件(未图示),例如透镜和镜子,以将光从光纤束932重新引导到准直仪912的开口948。光学器件对准从准直仪912通过开口948的光和开口950、586、518,及聚焦或塑造光形状,使光配适通过最小尺寸的开口950、586、518。沿着垂直平面的路径引导光,该平面由基板的两个直径构成,使光实质垂直基板表面。光学器件亦塑造光形状,使自基板表面反射的光亦实质通过开口518、586、950而至准直仪912,且经由光纤束932离开而至光谱光分析仪。
光纤束可用于将光从单个广谱源传递至多个腔室,如在图6和7的实施例中。单个光源可以将光传递至光纤束内,该光纤束中的一些光纤被路由至各腔室,使得测量光在不同腔室中尽可能地均匀和类似。因此使测量误差或可变性的可能源最小化。在一情况下,具有七个光纤的光束由一个光源照亮,三个光纤被路由至两个不同处理腔室的每一个上的三个准直仪。第七光纤被直接路由至光谱仪以便提供可以补偿光源随时间变化的参考。以此方式,单个光源促进在两个不同腔室中的晶圆上多个位置的测量,而能够监控在两个腔室中同时进行的沉积过程。这种能力允许控制两个腔室,使得两个腔室中同时执行的过程间的变化最小化。
引导至基板的光可未极化,波长范围可为约200nm至约800nm。光纤将光部分准直,使光行经气体分配器的气流开口,同时让气体流过开口,并且照射晶圆上可为任何方便尺寸的点,例如直径从约0.1mm至约5mm,诸如直径约为2mm。
可以在使用此处所述的任一装置执行的沉积过程期间形成等离子体。来自等离子体的光可由与此处所述的原位监控装置耦接的光谱仪来检测,而来自等离子体的光可模糊反射计结果。这种效果可以通过在激活测量光源之前取得来自等离子体的光的光谱来补偿。然后,等离子体光谱可以从自所反射的测量光接收到的光谱中减去。与光谱仪耦接或集成的控制器或计算器可以从所检测的反射光谱中减去所检测的等离子体光谱以得到纠正的反射光谱。然后,纠正的反射光谱可用于执行此处所述的算法。等离子体光谱可以在测量光源每次闪烁之前被收集,以依所需补偿两次闪烁之间的等离子体光谱中的漂移。
处理时,诸如第一区板552、第二区板558、导电气体分配器514和选择性座板942等各种腔室部件将经历热膨胀及收缩。故除非准直仪912适当设置在腔室内,否则准直仪912与开口586、518很容易失准。为确保准直仪912适当对准开口586、518,准直仪912具有锥形延伸部926,锥形延伸部926具有倾斜侧壁908,例如截头锥形延伸部,以啮合凹部922与对应座板942的倾斜壁面906,凹部922可为锥形孔。在无选择性座板942的实施例中,凹部可形成在第一区板552中。第二区板558亦可具有倾斜壁面910,以容纳延伸部926。壁面908、910和锥形延伸部926可与座板942的顶表面920夹一角度“α”。准直仪912延伸到座板942的凹部922内,故当座板942因热膨胀/收缩而侧向移动时,准直仪912可相应移动并保持适当对准开口586、518。角度“α”可为任何角度,只要能使座板942中的热膨胀侧向力量有效传输到准直仪912的延伸部926,又不致产生轴向力量造成准直仪912脱离凹部922即可。角度“α”通常为约100度至约145度,例如120度。
导电气体分配器514和第一区板552通常暴露于比第二区板高的温度。在典型操作中,导电气体分配器514可加热达约300℃至约600℃,第二区板558遭受的温度比导电气体分配器514低50℃至100℃。在第一区板552和导电气体分配器514为铝的实施例中,第一区板552与导电气体分配器514的膨胀差异为10nm至100nm,导电气体分配器514与第二区板558的膨胀差异为200nm至500nm,以致准直仪912与开口940间有些微的对准变化。
第一开口934和第二开口936按尺寸制作以当座板942移动时,准直仪912可侧向移动,又不会碰到第二区板558。在一典型实施例中,若热循环造成座板942膨胀及收缩,则准直仪912可侧向移动达约0.03英寸的距离,例如约0.02英寸。第一与第二间隙934、936通常按尺寸制作以在准直仪912不接触第二区板558的情况下移动。第一间隙934的尺寸可达约0.15英寸,例如约0.04英寸至约0.12英寸之间,例如约0.10英寸。第二间隙936的尺寸可和第一间隙934一样高达约0.15英寸,例如约0.04英寸至约0.12英寸之间。第二间隙936可小于或大于第一间隙934。在图9A及图9B的实施例中,第二间隙936小于第一间隙934,例如约0.08英寸。
准直仪912的锥形延伸部926具有边缘952,边缘952配准凹部922。边缘952通常会磨圆或去角,以降低准直仪912随凹部922移动时产生微粒的机会。磨圆边缘952在准直仪912与壁面908、910间提供滑面,以减少介面处的磨擦力引起的微粒形成。磨圆边缘的曲率半径通常小于0.1”,例如约0.05”至约0.09”之间,例如约0.07”。
依此配置,图9A及图9B的光学量测装置582可于处理期间进行基板的原位光学分析。出自准直仪的光通过开口518,同时处理气体流过开口518而至腔室。可按尺寸制作供处理气体流过的开口518,以最佳化气流通过喷淋头的均匀性,不需考虑量测所需尺寸,量测装置可配适所得开口尺寸,而不会干扰气流均匀性。
图10A及图10C是用以将准直仪912装设于第二区板558的机构的等角视图。图10B是穿过装设机构的准直仪912的截面示意图。如图10A所示,四个扣件1002(例如螺栓)延伸穿过准直仪912,且可拆式固定于第二区板558。在一实施例中,扣件1002包含螺栓。弹性构件1004(可为弹簧)耦接各扣件1002前端与准直仪912,如此当热膨胀造成准直仪912移动时,准直仪912仍保持附接至第二区板558,并可朝箭头“A”所指方向移动。弹性构件1004迫使准直仪912仍安座在座板942的凹部922内,如此座板942受热应力作用而侧向移动时,将促使准直仪912侧向移动。导管1006收纳光纤束1008且于入口1010处耦接至准直仪912。光纤束1008从光产生器(未图示)承载光至准直仪912而投射到基板上,及将自基板反射的光经由准直仪912返回光分析仪(未图示)。
图3B图示穿过准直仪912一侧上的扣件1002绘制的准直仪912的截面透视图。图10B截面平行于图9A及图9B的截面,但为透视观察。扣件1002安座于第二区板558,弹性构件1004由凸耳1022接触准直仪912,以提供轴向力至准直仪912上而协助安置准直仪。扣件1002通过准直仪912中的开口1024。各扣件1002与各自开口1024间的间隙1026容许准直仪912相对扣件1002和相对第二区板558侧向移动。间隙1026按尺寸制作以供侧向移动,又不会让准直仪912接触扣件1002,间隙尺寸通常高达约0.15英寸,例如约0.04英寸至约0.12英寸之间,例如约0.10英寸。
提供球轴承1012,各球轴承1012安座于插座1014,插座1014形成在表面1016处的准直仪912中,表面1016与第二区板558的接收表面1018相接。各球轴承1012在各自的插座1014内旋转,以容许准直仪912侧向移动,同时最小化准直仪与第二区板558间的摩擦。插座1014具有具锥形部1020的侧壁,锥形部1020朝球轴承1012逐渐变细而与表面1016间形成角度“β”,以使球轴承1012保持在插座1014内,同时容许球轴承平顺转动。角度“β”可为约40度至约80度之间,例如约50度至约70度之间,例如约60度。
操作时,第一区板552、座板942、导电气体分配器514和第二区板558皆以RF功率电偏压达实质相同电位。故RF板条1022用于电气连接准直仪912与第二区板558,使准直仪912仍保持与第二区板558实质相同的电位。
本文所述原位量测实施例可利用折射效应来确定层厚度,折射效应取决于层厚度。出自原位量测装置的光照射基板、穿透层,及依据菲涅尔(Fresnel)方程式自层间介面差别反射。反射光与入射光和自其他层反射的光作用产生干涉图案。干涉图案受控于层的厚度和组成。生成一个层时,干涉图案会以可预期的方式随生成层厚度改变,故可检测终点。生成层期间辨识的最终干涉图案将变成特征图案,该特征图案于沉积后续层期间用来观察图案随后续层形成时的变化。
利用准直仪与阻断板间的倾斜介面,即使阻断板发生热膨胀/收缩时,准直仪仍可保持实质对准气体通道,气体通道形成穿过气体分配器。准直仪设在阻断板内且为环形基板,即使气体通道正在使用(即有处理/清洗气体流贯),准直仪仍可经由气体通道引导光及接收反射光。故可在不负面影响工艺均匀性的情况下进行量测。提供不供气体流贯的量测用通道将导致工艺不均匀,此是因为通道会位于部分基板上,通道附近的气流则因缺少气体流过通道而不均匀。
本文所述实施例的特征在于一个原位量测装置或构造。应注意一些实施例的特征在于多个原位量测装置,以实时监测基板表面数个位置的膜形成。图11是图5A及图5B利用原位量测的导电气体分配器514的仰视图。三个开口1102环绕图11的实施例,图表示开口除供气体流动外,还用于原位光学量测。分析位置可依任何预定图案分配。在图11的实施例中,三个原位量测装置是分散配置。三个原位量测装置可沿着与基板支撑件同心的圆设置,也就是说三个装置可设置以监测三个位置,三个位置离置于基板支撑件上的基板中心实质相同距离。或者,三个原位量测装置可设置在离中心不同距离处。多个监测装置可用于监测基板表面不同位置的沉积均匀性、厚度与组成两者,及在一个腔室中沉积一层或数个层的同时达到闭环控制沉积速率和均匀性的目的。
上述图9A至图10C的光学量测装置将产生光来表示基板上的层结构。已知入射光谱的光经引导垂直基板,并自基板表面反射。一些光穿过形成于基板上的层,及自层介面反射而产生反射光谱,反射光谱不同于入射光谱。沉积层期间,反射光谱会随沉积层厚度改变而变化。比较反射光谱与入射光谱和同一层的先前反射光谱可准确确定沉积层厚度。可在基板上多个位置进行测量,以确定层厚度均匀性,及启用校正动作来控制均匀性。此测量方式亦可用于检测层厚度何时达目标值,从而中断沉积。
自层的两个表面反射的入射光相对于入射光将呈现相移,该层厚度可与光波长相当。相移与膜厚有关,且会在入射光与反射光间产生特征干涉图案。故反射光的光谱强度取决于层厚度。若已知毗邻下层或材料的光学性质,则单一脉冲或闪光可自顶层反射,及利用菲涅尔方程式来比较反射光与入射光,以确定顶层厚度。
若不知毗邻下层的光学性质,则可在沉积顶层时,使用多个闪光与光脉冲,以确定反射率随顶层厚度变化是如何。沉积速率和时间可用于计算每次光脉冲时的层厚度。反射率通常依层厚度的正弦函数变化。可用曲线拟合反射率数据,以计算毗邻下层的反射率。若使用广谱光源和光谱光分析仪,则亦可比较多个波长,以提高毗邻下层的光学性质周围的收敛性。毗邻下层的反射率可表示为顶层反射率的函数,毗邻下层的光学性质可利用菲涅尔方程式计算而得。
若毗邻下层经图案化,则自毗邻下层反射的光可具有极化分量,极化分量是按根均方关系贡献总反射强度。自基板反射的各光波长具有与其他波长无关的反射强度,各反射光波长将依据顶层和毗邻下层的光学性质作用。故可使用计算而得的顶层厚度与已知顶层光学性质来进行多个反射波长的光谱分析,以拟合毗邻下层反射率的极化分量和引起该等分量的毗邻下层光学性质。
一旦确定毗邻下层的光学性质,即可建构基板反射率的完整模型,及利用菲涅尔方程式,从单一反射光脉冲获知沉积层厚度。若沉积多层,则可使用单一光脉冲,从已知待沉积层的光学性质和已知毗邻下层的光学性质计算各层的反射率。或者,如上所述,可分析进行沉积时的多个脉冲,以重新建构毗邻下层的光学性质。
若已知毗邻下层的光学性质,则可根据下列方程式,通过拟合广义正弦曲线与数据,而从反射率数据集R(t,λ)计算顶层厚度:
R ( t , λ ) = A ( λ ) sin ( 2 π t T ( λ ) + φ ( λ ) ) + B ( λ ) .
接着利用已知的层厚度与相移关系,从相移参数φ(λ)计算层厚度:
d=φλ/4πn。
或者,周期T(λ)可用于计算沉积速率:
Dr=λ/2n(λ)T(λ)。
反射率数据的相位和周期取决于波长,故数据的多个波长可用于收敛厚度及/或沉积速率。
亦可利用菲涅尔方程式的变体,使待沉积层的反射率与毗邻下层的反射率相关联如下:
R j ( λ , t ) = r j ( 1 - r j R j - 1 ) + ( R j - 1 - r j ) e - i 2 β j 1 - r j R j - 1 + r j ( R j - 1 - r j ) e - i 2 β j ,
其中Rj是“j”层的反射率,Rj-1是“j-1”层的反射率,“j-1”层是毗邻下层,其他参数定义如下:
r j = 1 - N j 1 + N j ,
β j ( λ ) = 2 π N j ( λ ) D R t λ ,
Nj(λ)=nj(λ)-ikj(λ)。
依此使顶层反射率与毗邻下层相关联,可从观察的顶层反射率和已知的顶层光学性质与沉积速率计算毗邻下层的光学性质。
当基板的性质未知时,例如当试图确定被沉积在基板上的第一层的厚度时,以上公式不可能直接应用。然而,在第一层沉积期间收集的时序数据可用于回归基板参数。因为在第一层沉积期间自生长膜得到的任意波长处的相移是与基板不相关的,故时序数据可以用以上膜模型动态拟合,以便为具有任意图案的任何底层基板求解4个基板参数(基板所发射的s和p极化的幅度和相位)以及膜的沉积速率。如果第一层不足够厚以提供使基板性质收敛的足够数据,则可以在第二层沉积期间收集进一步的时序数据。
以下为使用基于计算机的处理方案来施行此一般方式的示例性实现方式的说明。
1.输入数据:
实验反射率数据R(λ,t)由L个波长(λ)和M个时间点(t)组成,以表示在M个时间点时,L个波长自基板的反射率。
在计算机存储器中,反射率R依据下式相继储存成一维阵列:
2.数据的建模:
使用模型拟合实验数据,模型以下列由菲涅尔方程式推导的式子表示,菲涅尔方程式控制光反射与折射性质,包括计及形成于基板上的结构的极化效应的极化率。
f ( λ , t ) = w | F 1 s ( λ , t ) | 2 + ( 1 - w ) | F 1 p ( λ , t ) | 2 - - - ( 1 ) ,
F 1 s ( λ , t ) = r 1 ( 1 - r 1 F sub s ) + ( F sub s - r 1 ) e - i 2 β 1 1 - r 1 F sub s + r 1 ( F sub s - r 1 ) e - i 2 β 1 - - - ( 2 ) ,
F 1 p ( λ , t ) = r 1 ( 1 - r 1 F sub p ) + ( F sub p - r 1 ) e - i 2 β 1 1 - r 1 F sub p + r 1 ( F sub p - r 1 ) e - i 2 β 1 - - - ( 3 ) ,
β 1 ( λ , t ) = 2 π ( n 1 - i k 1 ) D R , 1 t λ - - - ( 4 ) ,
r 1 ( λ ) = ( 1 - n 1 ) + i k 1 ( 1 + n 1 ) - ik 1 - - - ( 5 ) , F sub s ( λ ) = A sub s ( λ ) e iφ sub s ( λ ) - - - ( 6 ) ,
F sub p ( λ ) = A sub p ( λ ) e iφ sub p ( λ ) - - - ( 7 ) ,
其中w是单一常数(非λ或t的函数),n1和k1是波长相依常数。
该等式子采用上述方式使顶层的反射率与毗邻下层相关联。
3.拟合参数:
在以上方程式中,DR,1是单一变量(非λ或t的函数), 是波长相依变量。
上述变量为拟合参数,这些拟合参数可调整以最小化实验数据R(λ,t)与模型f(λ,t)间的差的平方,此由以下差分方程式表示:
χ 2 + Σ λ , t L , M | R ( λ , t ) - f ( λ , t ) | 2 - - - ( 8 ) .
就DR,1而言,拟合参数总数(N)等于波长数量(L)乘以4、再加1,即:
N=(L×4)+1          (9)。
4.最小化算法:
χ2的最小化是利用迭代雷文柏格-马括特(LM)演算法,求得线性方程式集的解:
Σ k = 1 N A jk δP k = B j - - - ( 10 ) ,
(A·δP=B呈矩阵格式)
将增量值δPk加到拟合参数的当前近似值Pk(k=1,2,…,N),以得下一近似值。元素Ajk和Bj定义如下:
A j , j = Σ λ , t L , M ∂ f ( λ , t ) ∂ P j ∂ f ( λ , t ) ∂ P j ( 1 + Λ ) - - - ( 11 a ) ,
A j , k = Σ λ , t L , M ∂ f ( λ , t ) ∂ P j ∂ f ( λ , t ) ∂ P k ( j ≠ k ) - - - ( 11 b ) ,
B j = Σ λ , t L , M ( R ( λ , t ) - f ( λ , t ) ) ∂ f ( λ , t ) ∂ P j - - - ( 12 ) .
偏导数可以差分公式估算:
∂ f ( λ , t ) ∂ P j = f ( λ , t , P j + h j ) - f ( λ , t , P j ) h j - - - ( 13 ) ,
其中hj是各拟合参数Pj的预定增量值。
LM算法接着概述如下:
a.就拟合参数P,从一组初始猜测值开始。
b.计算χ2(P)。
c.选择Λ的起始值,例如Λ=0.001。
d.利用高斯-约旦(Gauss-Jordan)消去法,解得δP的线性方程式(10)。
e.计算χ2(P+δP)。
f.若χ2(P+δP)≥χ2(P),则使Λ增加10倍及返回步骤d。
g.若χ2(P+δP)<χ2(P),则使Λ减少10倍及更新拟合参数(P=P+δP)。
h.重复步骤d至h,直到符合任一停止准则为止:
i.χ22 min
ii.△χ2<△χ2 min
iii.L>Lmax
iv.L<Lmin
5.伪代码:
以下以类计算机代码语言说明上述算法,类计算机代码语言的特征在于大部分高级计算机编程语言常见的类属函数规格。
6.分析工具数据:
拟合模型与具58个波长的实验数据集时,编程以进行上述算法的适当配置的计算机预期呈现表1所列的CPU时间分配。
表1-58个波长的分析工具数据
# 函数 %CPU时间
1 TargetFunction 90
2 GaussJordan 8
7.多步执行:
可使用数据子集,反复进行上述算法,以有效收敛模型的准确拟合度。在一实例中,实验数据由L=60个波长组成。在此实例中,可多次调用算法如下,每次使用不同数量的拟合参数:
1.经过一段预定时间间隔(例如M=100)后,第一次执行只涉及有限的波长数量(例如L=15),故拟合参数的数量N=15×4+1=61,包括DR,1
2.第二步骤为就步骤1未包括的其余波长,计算拟合参数 然DR,1在此步骤是固定的,此表示可就各波长个别解得拟合参数。拟合参数的数量N为4,并可平行计算其余45个波长集。
3.在后续时间步骤至另一预定义时间间隔的实验数据中(例如100<M<200),只有单一拟合参数DR,2(≠DR,1),且一次进行一个时间步骤计算,而每次解得新的DR,2。此步骤亦需新的一组n2(λ)与k2(λ)常数,以新增计算r2(λ)和β2(λ,t)。
4.可就预定义时间块的新数据,多次相继重复步骤3。
以上步骤将总结于图12的流程图。
5.第二实现方式选项为同时就多个时间间隔(时间块)的数据,执行上述步骤1的计算。然此不需等到收集完所有数据;可在经过第一时间块内的一段预定时间间隔(例如M=100)后开始计算,且在得到新数据时持续更新拟合参数。由于n(λ)和k(λ)常数跨各时间块有所不同,故时间块2和以上中的数据需要模型函数f(λ,t)、Fs(λ,t)和Fp(λ,t)的嵌套公式表示,例如:
F 2 s ( &lambda; , t ) = r 2 ( 1 - r 2 F 1 s ) + ( F 1 s - r 2 ) e - i 2 &beta; 2 1 - r 2 F 1 s + r 2 ( F 1 s - r 2 ) e - i 2 &beta; 2 - - - ( 14 ) ,
F 2 p ( &lambda; , t ) = r 2 ( 1 - r 2 F 1 p ) + ( F 1 p - r 2 ) e - i 2 &beta; 2 1 - r 2 F 1 p + r 2 ( F 1 p - r 2 ) e - i 2 &beta; 2 - - - ( 15 ) ,
f ( &lambda; , t ) = w ( | F 1 s ( &lambda; , t 1 ) | 2 + F 2 s ( &lambda; , t 2 ) 2 + . . . ) + ( 1 - w ) ( | F 1 p ( &lambda; , t 1 ) | 2 + | F 2 p ( &lambda; , t 2 ) | 2 + . . . ) - - - ( 16 ) .
例如,可先预定此步骤涉及的时间块数量。
6.完成步骤5后,继续以上述步骤2至步骤4来计算。
此过程总结于图13的流程图。
可利用所述任何设备,形成示例性氧化硅层如下。把基板放到处理腔室的基板支撑件上,处理腔室具有本文所述特征结构。经由蒸发器流入1000mgm的TEOS,并与5000sccm的氦气和6000sccm的N2O混合,以形成前驱物气体混合物。使气体混合物流入处理腔室。应注意若使用分区喷淋头,则可使TEOS流过某一区及使N2O流过另一区。氦气流可分成两份,第一份用于稀释蒸发的TEOS或承载至第一区,第二份用于稀释N2O或承载至第二区。
处理腔室内的压力设为4.0托耳,腔室面板与基板的间距设为400密耳。面板温度设为200℃。侧壁调谐电极电流目标设为6A,基板支撑件调谐电极电流目标设为1A。基板温度设为500℃,基板支撑件的温度区偏差设为5℃,且外部区的温度高于内部区的温度。
使功率耦合至前驱物气体,以形成等离子体。以500W的功率施加频率为13.56MHz的高频RF功率,以100W的功率施加频率为300kHz的低频RF功率。该等条件可持续一段期望时间,以沉积具期望厚度的层,厚度通常为所沉积的氧化硅层的厚度标准差不超过约1%。故沉积层的厚度均匀性不糟于约1%。
可由本文所述另一工艺实施例,使用所述设备,以硅烷做为前驱物来形成氧化硅层。硅烷流量为100sccm,氦气流量为3000sccm,N2O流量为6000sccm。间距为300密耳,压力为3托耳,高频功率为400W,低频功率为100W,面板温度为200℃,基板温度为500℃,温度区偏差为5℃(外部高于内部),侧壁调谐电极电流目标为1A,基板支撑件调谐电极电流目标为3A。氧化硅层的形成厚度均匀性不糟于约1%。
可由本文所述又一工艺实施例,使用所述设备来形成氮化硅层。硅烷流量为30sccm,氮气流量为3000sccm,氨气流量为6000sccm,氩气流量为1000sccm。间距为700密耳,压力为3托耳,高频功率为600W,低频功率为200W,面板温度为200℃,基板温度为500℃,温度区偏差为5℃(外部高于内部),侧壁调谐电极电流目标为7安培(A),基板支撑件调谐电极电流目标为1A。氮化硅层的形成厚度均匀性不糟于约1%。
可由本文所述再一工艺实施例,使用所述设备来形成氮化硅层。硅烷流量为150sccm,氮气流量为6000sccm,氨气流量为1000sccm。间距为700密耳,压力为4托耳,高频功率为600W,低频功率为200W,面板温度为200℃,基板温度为500℃,温度区偏差为5℃(外部高于内部),侧壁调谐电极电流目标为6A,基板支撑件调谐电极电流目标为1A。氮化硅层的形成厚度均匀性不糟于约1%。
可由本文所述另一工艺实施例,使用所述设备来形成掺杂的非晶硅层。硅烷流量为500sccm,氦气流量为10000sccm,掺质前驱物(例如TMB、硼烷及/或三氢化磷,稀释成在氦气中的浓度为95%)的流量为500sccm。间距为300密耳,压力为10托耳,高频功率为300W,无施加低频功率,面板温度为175℃,基板温度为500℃,温度区偏差为0℃,侧壁调谐电极电流目标为6A,基板支撑件调谐电极电流目标为3A。掺杂的非晶硅层的形成厚度均匀性不糟于约1%。
可从某一配方至下一配方调整腔室条件,以相继制作诸如上述层的层堆迭。若期产生鲜明介面,则可中断气流及在各配方间净化腔室。或者,可从某一配方至下一配方使腔室条件斜线上升(ramp),同时持续沉积以制作渐变介面。
上述原位监测算法可用于监测堆迭中各层的厚度,例如氧化硅与氮化硅交替堆迭或多晶硅与氧化硅交替层。在各情况下,于堆迭开始沉积层时,即施行采用毗邻下方材料反射率的算法,以追踪下层反射率随层沉积时的变化。
兹发现包括多晶硅层的层堆迭可能因多晶硅在较短波长下具高度吸收本质而遵循一简化方式。例如,当形成交替的多晶硅与氧化硅层的堆迭时,毗邻下层于波长小于约600nm时的反射率影响明显达到表面以下三层,但不会超过。在此结构中,观察到波长小于约600nm时,取自10个交替多晶硅/氧化硅层的堆迭的反射率光谱与取自在第四对层之后形成的相同堆迭的光谱重迭。此相同行为与第一层无关(即无论第一层为多晶硅还是氧化物)。故一有效方式包括在沉积前三对层(前六层)期间,拟合反射率数据与时序模型,然后假定毗邻下层反射率在较短波长下为非时变,及采用波长序列拟合过程。
上述方法可用于确定形成于基板上的膜厚,基板具有未知性质。在习知反射仪中,基板乃完全知悉,已知的基板性质可用于折射建模,以确定膜厚。然在许多情况下,无法预先知道基板性质,故不能使用习知反射仪。利用本文所述设备和方法,可由膜形成期间收集的时序数据推断基板性质。在膜沉积期间按100毫秒(msec)时间间隔收集反射光谱而提供数据,拟合该数据与所述模型,以从中提取基板性质。通过拟合所述时序数据与菲涅尔方程式模型,可确定基板性质、实部与虚部折射率、基板的s与p反射率和膜沉积速率,该等性质接着可用于确定后续沉积的膜的厚度。
图14A-14D是在图案化基板上沉积的氮化硅层期间、在少数选定波长下的时序折射数据的图表。图14A图示反射部分1402相对于以秒为单位的时间1404的图表1400。反射率数据1406处于波长230nm,数据的膜模型拟合位于1408。拟合是使用此处所述的用时序光谱反射率数据来确定未知基板性质的算法来计算的。图14B图示具有在波长350nm下所收集的类似数据的图表1410。图14C图示具有在波长500nm下所收集的类似数据的图表1420。图14D图示具有在波长700nm下所收集的类似数据的图表1430。
若第一沉积层不是很厚,则在一些情况下,时序数据中的相移信息可能不够充分来准确确定基板反射率,以致确定后续层厚度时产生误差。在此情况下,通过收集超过一沉积膜的时序数据,可改善准确度。例如,收集第一层膜的时序数据后,可收集第二层沉积膜的时序数据,以提供更多数据来提升模型拟合的品质。图15是图示在三个相继层的沉积期间、在210nm波长下收集的反射部分1502相对于时间1504的时序拟合的图表1500。用于沉积第一氮化物层的数据在1506。用于沉积第一氧化物层的数据在1508。用于沉积第二氮化物层的数据在1510。数据显示出多个层之间的介面1512和1514的特定异常特性。这种异常可以在执行多层拟合时通过任何方便手段移除,例如通过统计或手动手段。
图16A是图示对于在基板表面上有未知图案的基板、反射部分1602相对于以纳米为单位的波长1604的图表1600。通过使用时序分析的结果来执行拟合以拟合未知基板参数。实际反射率数据在1606示出,拟合数据在1608示出。图16B图示在基板上沉积第一氮化物层期间、来自相同堆迭的类似数据。图16C图示在沉积第十二个氧化物层期间、来自相同堆迭的类似数据,图16D图示在沉积第二十四个氮化物层期间、来自相同堆迭的类似数据。
拟合如图16A-16D的光谱与膜模型方程式可确定膜厚度。图17A是示出与使用透射电子显微镜的厚度测量1708相比,对于沉积在具氧化硅层的交替堆迭中的二十四个氮化硅层而言,利用反射1706所测量以为单位的层厚度1702相对于层数量1704的图表1700。图17B图示在相同堆迭中氧化物层的类似数据。如图17A与17B所示,当堆迭成长为厚的,一致性是相当優良。然而,应注意随堆迭成长为厚时,TEM测量与反射测量之间的一致性会因为在此所述的递归方法的误差復合而减少。
建模及解得基板性质涉及的计算可能很密集。如上所述,管理计算时间使计算不会严重落后层形成的方法包括在拟合相对较慢的时序数据时,缓冲计算机存储器中的数据,接着在使用静态模型来计算后续层时,让计算机“赶上”。在其他方面中,可平行使用多个处理单元,例如将数据依据时间切面或频率分组,及同时在不同处理器上处理不同组。依此可指派数据组给负载较少的处理器,以均衡负载。
在一些实施例中,若容许较不准确的基板性质确定,则可只用选定光谱波长或选定时间切面来进行时序拟合。若某些波长受到光谱仪解析度的负面影响,则分析时可排除该等波长。
在沉积高度吸收膜的实施例中,可利用随波长函数变化的不透明性,以其他手段再次复核厚度确定。由于材料的吸收度通常是已知波长函数,故可于膜生成时,通过注意选定波长从反射光谱消失而标记膜厚。在此类实施例中,可选择达目标膜厚时会从反射光谱消失的光波长,及当该波长从反射光谱消失时,标记时间,以确定沉积终点。当接收此信号时,可中断沉积。
在涉及吸收膜的一些情况下,由于底层基板产生的反射率可以在该基板上沉积了几个层之后消失。在这种情况下,可以重复时序过程以重新表征要在基板上沉积相继膜的基板。基板性质可以在整个沉积过程期间的任意方便时间通过重复时序数据拟合来更新。
在一些方面中,在一些波长下基板反射率随膜厚变化较激烈,在其他波长下则没那么激烈。在一些情况下,可确定反射光谱的敏感函数及集中计算对膜厚最敏感的波长,以有效使用计算资源。例如,若收集时序,则可快速分析前十个抽点(snapshot)或任何适当数量的初始抽点,以确定反射光谱的哪些波长随膜厚变化最强烈。该等波长接着可用于计算膜厚,及拟合基板参数,同时排除传达信息很少的波长。或者,可以基于反射率随厚度变化程度将敏感度参数指派为波长的函数。如此可节省计算资源,并且改进结果的准确度。
可以一些方式来管理时序反射率数据中的噪声。在一方面中,计算光谱跨越时间的移动平均。若有需要,可按时间切面施以加权,例如对较近的时间切面施以较重加权,对较远的时间切面施以较轻加权,或按波长施以加权,例如对较敏感的波长施以较重加权。若有需要,亦可依据数据中的噪声量,调整移动平均窗口。在另一方面中,使时序中各时间切面的厚度结果拟合直线,以消除噪声。亦可根据选定波长,标准化所记录的光谱,以移除如来自等离子体放电的环境噪声。最后,可利用信号处理技术,减少数据中的噪声。例如,FTT分析可用于隔离数据中的高频噪声并将其减为零。
图18是示出由反射率测得的厚度1802相对于时间1804的图表。实际数据1806示出个别的测量结果,波动拟合1808和线性拟合1810被示出作为产生具有减少的噪声的厚度数据的替代方法。
尽管以上内容针对本发明的实施例,但是可以设计本发明的其他及进一步的实施例,而不背离本发明的基本范围,本发明的范围由以上权利要求书所确定。

Claims (20)

1.一种用于处理基板的设备,该设备包含:
腔室,该腔室包含侧壁和地板;
盖子,该盖子耦接至该腔室的该侧壁,该侧壁、该地板和该盖子定义该腔室的内部容积,该盖子包含:
气体分配器,该气体分配器具有数个贯穿的气流开口;及
量测装置,该量测装置引导光通过该等气流开口中的一个气流开口,并记录反射通过该气流开口的光;以及
基板支撑件,该基板支撑件设在该腔室的该内部容积内。
2.如权利要求1所述的设备,其中该量测装置包含具光纤光源的准直仪。
3.如权利要求2所述的设备,其中该盖子进一步包含第一平板,该第一平板耦接至该气体分配板,且该准直仪设置穿过该第一平板。
4.如权利要求3所述的设备,其中该第一平板包含开口,该准直仪经由该开口设置,且该开口提供让该准直仪侧向移动的间隙。
5.如权利要求4所述的设备,进一步包含座板,该座板位于该第一平板与该气体分配板之间,该座板包含凹部,该凹部对准该气流开口,其中该准直仪安置在该凹部。
6.如权利要求5所述的设备,进一步包含RF板条,该RF板条耦接至该准直仪。
7.如权利要求5所述的设备,进一步包含数个扣件,该等扣件耦接该准直仪与该第一平板,每一扣件包含弹性构件。
8.如权利要求3所述的设备,其中该盖子进一步包含电极,该电极位于该气体分配器与该侧壁之间,该电极耦接至第一调谐电路。
9.如权利要求8所述的设备,其中该盖子进一步包含加热器,用以加热该气体分配器。
10.如权利要求9所述的设备,其中该基板支撑件包含数个区域,每一区域具有加热器。
11.如权利要求10所述的设备,其中该基板支撑件进一步包含电极,该电极耦接至第二调谐电路。
12.一种用于处理基板的设备,该设备包含:
腔室,该腔室包含侧壁和地板;
盖子,该盖子耦接至该腔室的该侧壁,该侧壁、该地板和该盖子定义该腔室的内部容积,该盖子包含:
气体分配器,该气体分配器具有数个贯穿的气流开口;及
量测装置,该量测装置包含光纤,以引导光通过该等气流开口中的一个气流开口,及接收反射通过该气流开口的光;以及
基板支撑件,该基板支撑件设在该腔室的该内部容积内,该基板支撑件具有数个热区域。
13.如权利要求12所述的设备,其中该盖子进一步包含第一区板,该量测装置包含准直仪,以容纳该光纤,该准直仪设置穿过该第一区板的开口。
14.如权利要求13所述的设备,其中该盖子进一步包含座板,该座板位于该第一区板与该气体分配器之间,且该准直仪的延伸部安置在该座板。
15.如权利要求14所述的设备,其中该盖子进一步包含第二区板,该第二区板位于该座板与该气体分配器之间,该第二区板具有数个开口,其中该第二区板的各开口对准该气体分配器的气流开口。
16.如权利要求15所述的设备,其中该延伸部安置在该座板的凹部,该凹部具有斜墙。
17.如权利要求16所述的设备,其中该盖子进一步包含电极,该电极设在该气体分配器与该侧壁之间,该电极耦接至具第一可调整部件的第一调谐电路。
18.如权利要求17所述的设备,其中该基板支撑件的每一热区域包含加热器和热传感器。
19.如权利要求18所述的设备,其中该基板支撑件进一步包含电极,该电极耦接至具第二可调整部件的第二调谐电路。
20.一种在沉积层至基板上期间确定该层的厚度的方法,该方法包含:
自该基板反射广谱辐射光;
利用光谱仪分析所反射的光的光谱;
依据被沉积的层的折射率和消光系数,就所分析的光谱拟合菲涅尔模型;以及
通过最小化所分析的光谱与该模型的差的平方,来确定该层厚度。
CN201380050065.0A 2012-10-26 2013-10-23 Pecvd设备与工艺 Pending CN104737274A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261719319P 2012-10-26 2012-10-26
US61/719,319 2012-10-26
US201261738247P 2012-12-17 2012-12-17
US61/738,247 2012-12-17
US201361761515P 2013-02-06 2013-02-06
US61/761,515 2013-02-06
PCT/US2013/066443 WO2014066541A1 (en) 2012-10-26 2013-10-23 Pecvd apparatus and process

Publications (1)

Publication Number Publication Date
CN104737274A true CN104737274A (zh) 2015-06-24

Family

ID=50545223

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380050065.0A Pending CN104737274A (zh) 2012-10-26 2013-10-23 Pecvd设备与工艺

Country Status (6)

Country Link
US (8) US9157730B2 (zh)
JP (1) JP2016503578A (zh)
KR (9) KR102258020B1 (zh)
CN (1) CN104737274A (zh)
TW (8) TWI632250B (zh)
WO (1) WO2014066541A1 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106935530A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 一种等离子体刻蚀光刻胶装置
CN107667418A (zh) * 2015-06-05 2018-02-06 应用材料公司 用于降低基板温度非均匀性的改良式装置
CN108962722A (zh) * 2017-05-26 2018-12-07 应用材料公司 用于提高ald均匀性的设备和方法
CN110690134A (zh) * 2019-09-12 2020-01-14 长江存储科技有限责任公司 多站式沉积工艺的串气检测方法、设备及可读存储介质
CN111446179A (zh) * 2020-03-31 2020-07-24 中国科学院微电子研究所 一种晶圆测试方法及装置
CN111566792A (zh) * 2017-12-29 2020-08-21 瓦里安半导体设备公司 化学沉积制程的前驱物控制技术
CN112074941A (zh) * 2018-05-24 2020-12-11 应用材料公司 用于空间分辨晶片温度控制的虚拟传感器
TWI722330B (zh) * 2018-09-20 2021-03-21 南亞科技股份有限公司 半導體製造設備
CN112663026A (zh) * 2020-11-25 2021-04-16 北京北方华创微电子装备有限公司 工艺腔室、半导体工艺设备及加热控制方法
CN113113283A (zh) * 2021-04-08 2021-07-13 中国科学院光电技术研究所 一种基于进气分布控制的等离子体密度分布调控方法
CN113166943A (zh) * 2018-12-17 2021-07-23 应用材料公司 用于透明基板的背侧涂层
CN114256046A (zh) * 2020-09-22 2022-03-29 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015536043A (ja) * 2012-09-26 2015-12-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理システムにおける温度制御
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10249509B2 (en) * 2012-11-09 2019-04-02 Tokyo Electron Limited Substrate cleaning method and system using atmospheric pressure atomic oxygen
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US10047438B2 (en) * 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US9613828B2 (en) * 2014-06-24 2017-04-04 Ultratech, Inc. Method of laser annealing a semiconductor wafer with localized control of ambient oxygen
EP3221897A1 (en) 2014-09-08 2017-09-27 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9870935B2 (en) * 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US9953887B2 (en) 2015-04-16 2018-04-24 Lam Research Corporation Measuring individual layer thickness during multi-layer deposition semiconductor processing
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
WO2016204920A1 (en) 2015-06-18 2016-12-22 Applied Materials, Inc. In-situ metrology method for thickness measurement during pecvd processes
JP2018531324A (ja) * 2015-10-09 2018-10-25 北京北方華創微電子装備有限公司Beijing Naura Microelectronics Equipment Co., Ltd. 加熱装置および加熱チャンバ
US9899210B2 (en) * 2015-10-20 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus and method for manufacturing semiconductor device using the same
JP6606403B2 (ja) 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
CN108292589B (zh) 2015-11-23 2023-05-16 应用材料公司 在处理工具中的板载计量(obm)设计与影响
US10741428B2 (en) 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
WO2018038892A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Self-healing semiconductor wafer processing
US9978618B2 (en) * 2016-10-07 2018-05-22 Tokyo Electron Limited Hot plate with programmable array of lift devices for multi-bake process optimization
CN110114853A (zh) * 2016-12-21 2019-08-09 应用材料公司 通过化学气相沉积的保形密封膜沉积
US10689757B2 (en) 2017-01-03 2020-06-23 Applied Materials, Inc. Gas injection apparatus with heating channels
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US11022877B2 (en) * 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP6800800B2 (ja) * 2017-04-06 2020-12-16 株式会社ニューフレアテクノロジー 成長速度測定装置および成長速度検出方法
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US10204807B2 (en) * 2017-04-25 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for processing wafer
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP2018206847A (ja) * 2017-05-31 2018-12-27 株式会社Kokusai Electric 半導体装置の製造方法、プログラムおよび基板処理装置
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
CN107227446A (zh) * 2017-07-04 2017-10-03 北京北方华创微电子装备有限公司 半导体设备及其阻抗调节方法
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
KR102420164B1 (ko) 2017-09-14 2022-07-12 삼성전자주식회사 기체의 유동 시뮬레이션을 수행하기 위한 컴퓨팅 시스템 및 시뮬레이션 방법
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
KR101999692B1 (ko) * 2017-10-27 2019-07-12 광운대학교 산학협력단 플라즈마 모니터링이 가능한 플라즈마 발생 장치 및 플라즈마 모니터링 방법
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936417A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
CN111557040A (zh) * 2017-12-04 2020-08-18 应用材料公司 部分阳极化的喷头
DE102017130551A1 (de) * 2017-12-19 2019-06-19 Aixtron Se Vorrichtung und Verfahren zur Gewinnnung von Informationen über in einem CVD-Verfahren abgeschiedener Schichten
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
CN108315720A (zh) * 2018-01-31 2018-07-24 上海集成电路研发中心有限公司 一种提高膜厚均匀性的装置及方法
KR101994036B1 (ko) * 2018-02-21 2019-06-27 한양대학교 산학협력단 플라즈마 측정 장치
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US11434568B2 (en) * 2018-04-17 2022-09-06 Applied Materials, Inc. Heated ceramic faceplate
US10840086B2 (en) 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200013588A1 (en) * 2018-07-03 2020-01-09 Applied Materials, Inc. Tilted interferometric endpoint (iep) window for sensitivity improvement
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11009455B2 (en) * 2018-07-31 2021-05-18 Applied Materials, Inc. Precursor delivery system and methods related thereto
SG11202010548YA (en) 2018-07-31 2021-02-25 Applied Materials Inc On stack overlay improvement for 3d nand
JP7249744B2 (ja) * 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP6931343B2 (ja) * 2018-09-25 2021-09-01 長野計器株式会社 物理量測定装置
US11421977B2 (en) * 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
JP7283901B2 (ja) * 2018-12-27 2023-05-30 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP7518835B2 (ja) 2019-01-02 2024-07-18 アプライド マテリアルズ インコーポレイテッド 漏れ電流が少ない、ケイ素ホウ素を含む膜の形成方法
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
CN110044286B (zh) * 2019-04-15 2021-03-05 清华大学深圳研究生院 一种光谱共焦轴向距离检测方法、装置及设备
JP7274347B2 (ja) * 2019-05-21 2023-05-16 東京エレクトロン株式会社 プラズマ処理装置
CN110453201A (zh) * 2019-09-12 2019-11-15 深圳市捷佳伟创新能源装备股份有限公司 一个腔体内对多个石墨舟同时镀膜的工艺方法及镀膜装置
KR20220065843A (ko) * 2019-09-23 2022-05-20 램 리써치 코포레이션 예열된 샤워헤드를 포함하는 저온 플라즈마 강화된 화학적 기상 증착 (pecvd) 프로세스
US11099002B2 (en) * 2019-12-09 2021-08-24 General Electric Company Systems and methods of assessing a coating microstructure
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法
CN111599717B (zh) * 2020-05-09 2024-03-26 北京北方华创微电子装备有限公司 一种半导体反应腔室及原子层等离子体刻蚀机
US11449026B2 (en) 2020-05-27 2022-09-20 Applied Materials, Inc. Variable loop control feature
KR20210147429A (ko) * 2020-05-29 2021-12-07 주성엔지니어링(주) 기판 처리 장치
US12009191B2 (en) * 2020-06-12 2024-06-11 Applied Materials, Inc. Thin film, in-situ measurement through transparent crystal and transparent substrate within processing chamber wall
US11708635B2 (en) 2020-06-12 2023-07-25 Applied Materials, Inc. Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
US20220076922A1 (en) 2020-09-08 2022-03-10 Applied Materials, Inc. Single chamber flowable film formation and treatments
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
US20220130713A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Semiconductor processing chamber to accommodate parasitic plasma formation
TWI748774B (zh) * 2020-12-01 2021-12-01 天虹科技股份有限公司 晶圓承載盤及應用晶圓承載盤的薄膜沉積裝置
US12031910B2 (en) 2021-09-15 2024-07-09 Applied Materials, Inc. Transmission corrected plasma emission using in-situ optical reflectometry
CN113972154A (zh) * 2021-10-20 2022-01-25 北京北方华创微电子装备有限公司 工艺腔室、半导体工艺设备和半导体工艺方法
WO2024091408A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Cupped baffle plates for showerheads of substrate processing systems
TWI842543B (zh) * 2023-05-25 2024-05-11 力晶積成電子製造股份有限公司 加熱器的檢測方法
CN118497722B (zh) * 2024-07-17 2024-10-15 成都晶柱科技有限公司 Mpcvd金刚石培育过程中的高温电离控制系统

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
JPH03199198A (ja) * 1989-12-28 1991-08-30 Shin Etsu Chem Co Ltd ランタンガレート単結晶およびその製造方法
US5452091A (en) * 1993-03-22 1995-09-19 Nanometrics Incorporated Scatter correction in reflectivity measurements
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JPH0922795A (ja) * 1995-07-04 1997-01-21 Sony Corp プラズマcvd装置およびプラズマcvd方法
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6055053A (en) * 1997-06-02 2000-04-25 Stress Photonics, Inc. Full field photoelastic stress analysis
KR200211258Y1 (ko) * 1997-12-31 2001-03-02 김영환 반도체 웨이퍼 증착두께측정장치
US6256100B1 (en) * 1998-04-27 2001-07-03 Active Impulse Systems, Inc. Method and device for measuring the thickness of thin films near a sample's edge and in a damascene-type structure
JP2000082661A (ja) * 1998-07-02 2000-03-21 Toshiba Corp 加熱装置,加熱装置の評価法及びパタ―ン形成方法
IL125964A (en) * 1998-08-27 2003-10-31 Tevet Process Control Technolo Method and apparatus for measuring the thickness of a transparent film, particularly of a photoresist film on a semiconductor substrate
JP2000193424A (ja) 1998-12-24 2000-07-14 Sharp Corp 薄膜の膜厚測定装置およびその方法
JP4055880B2 (ja) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
JP2001032078A (ja) * 1999-07-21 2001-02-06 Mitsubishi Heavy Ind Ltd プラズマcvd製膜方法
US6091485A (en) * 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
US6621459B2 (en) 2001-02-02 2003-09-16 Raytheon Company Plasma controlled antenna
JP2004531856A (ja) * 2001-04-13 2004-10-14 アプライド マテリアルズ インコーポレイテッド 制御可能な電力分配を伴う誘導結合されたプラズマ源
US6962732B2 (en) * 2001-08-23 2005-11-08 Applied Materials, Inc. Process for controlling thin film uniformity and products produced thereby
JP2003188150A (ja) 2001-12-17 2003-07-04 Matsushita Electric Works Ltd 半導体プロセスモニタリング方法及び半導体プロセスモニタリング装置
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
US6806948B2 (en) 2002-03-29 2004-10-19 Lam Research Corporation System and method of broad band optical end point detection for film change indication
JP2003313664A (ja) 2002-04-23 2003-11-06 Toppan Printing Co Ltd プラズマを用いた成膜装置
US6642066B1 (en) * 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6979578B2 (en) 2002-08-13 2005-12-27 Lam Research Corporation Process endpoint detection method using broadband reflectometry
US7019844B2 (en) 2002-08-13 2006-03-28 Lam Research Corporation Method for in-situ monitoring of patterned substrate processing using reflectometry.
US7349155B2 (en) * 2002-10-28 2008-03-25 Hewlett-Packard Development Company, L.P. Screen having a layer of reflectors
US7306696B2 (en) 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6967715B2 (en) * 2002-12-06 2005-11-22 International Business Machines Corporation Method and apparatus for optical film measurements in a controlled environment
US7016039B2 (en) * 2003-02-10 2006-03-21 Hinds Instruments, Inc. Purging light beam paths in optical equipment
US6800852B2 (en) 2002-12-27 2004-10-05 Revera Incorporated Nondestructive characterization of thin films using measured basis spectra
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US6970255B1 (en) * 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
JP4500510B2 (ja) * 2003-06-05 2010-07-14 東京エレクトロン株式会社 エッチング量検出方法,エッチング方法,およびエッチング装置
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
JP2005085917A (ja) * 2003-09-08 2005-03-31 Sharp Corp プラズマプロセス装置
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
WO2005035806A1 (ja) * 2003-10-10 2005-04-21 Sumitomo Titanium Corporation Ca還元によるTi又はTi合金の製造方法
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
TW200612512A (en) * 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
CN1989596A (zh) * 2004-07-23 2007-06-27 株式会社Ipb 硅晶片基板固定台和硅晶片基板温度测量方法
US7375946B2 (en) * 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
TW200622226A (en) 2004-12-28 2006-07-01 Grace Semiconductor Mfg Corp Optical method for detecting the surface structure on thin film of nano crystal
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100627187B1 (ko) * 2005-01-28 2006-09-25 케이맥(주) 코팅 막의 두께를 측정하는 방법들 및 그 장치들
US20060186110A1 (en) * 2005-02-22 2006-08-24 Mark Campello Electric heater with resistive carbon heating elements
US8173036B2 (en) * 2005-03-02 2012-05-08 Tokyo Electron Limited Plasma processing method and apparatus
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
KR100804169B1 (ko) 2005-12-31 2008-02-18 주식회사 아이피에스 박막증착챔버용 서셉터
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR100855463B1 (ko) 2006-07-18 2008-09-01 주식회사 아토 가스분리형 샤워헤드를 이용한 챔버 세정 장치
KR100744528B1 (ko) 2006-04-14 2007-08-01 주식회사 아토 알에프 파워가 인가되는 가스 분리형 샤워헤드를 이용한플라즈마 원자층 증착장치 및 방법
KR100802382B1 (ko) 2006-03-21 2008-02-13 주식회사 아토 가스분리형 샤워헤드를 이용한 원자층 증착 장치
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
KR100782291B1 (ko) 2006-05-11 2007-12-05 주식회사 아토 가스분리형 샤워헤드 및 이를 이용한 펄스 cvd 장치
KR100765128B1 (ko) 2006-05-30 2007-10-11 주식회사 아토 Cvd 챔버의 세정 장치 및 방법
KR20070119169A (ko) 2006-06-14 2007-12-20 주식회사 아토 Cvd 챔버의 세정 장치 및 방법
KR100716266B1 (ko) 2006-06-20 2007-05-09 주식회사 아토 가스분리형 샤워헤드를 이용한 cvd 챔버 세정 장치
KR100782292B1 (ko) 2006-08-10 2007-12-05 주식회사 아토 가스분리형 샤워헤드를 이용한 pecvd 장치 및 이를이용한 실리콘 산화막 증착 방법
KR20080041886A (ko) 2006-11-08 2008-05-14 기아자동차주식회사 차량의 브레이크 페달 어셈블리
KR20080041893A (ko) 2006-11-08 2008-05-14 주식회사 아토 히팅 및 쿨링이 가능한 척
KR101250356B1 (ko) 2006-11-08 2013-04-05 주식회사 원익아이피에스 반도체 제조 장치
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
CN101652843B (zh) * 2007-03-26 2011-07-20 东京毅力科创株式会社 氮化硅膜的形成方法、非易失性半导体存储装置的制造方法、非易失性半导体存储装置和等离子体处理装置
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
KR100942235B1 (ko) * 2007-07-13 2010-02-16 충북대학교 산학협력단 판유리 두께측정방법
ITBO20070504A1 (it) * 2007-07-20 2009-01-21 Marposs Spa Apparecchiatura e metodo per il controllo dello spessore di un elemento in lavorazione
KR101447162B1 (ko) * 2007-08-10 2014-10-07 주성엔지니어링(주) 박막증착을 위한 플라즈마 공정장치 및 이를 이용한미세결정질 실리콘 박막의 증착방법
JP5012318B2 (ja) * 2007-08-21 2012-08-29 パナソニック株式会社 プラズマ処理装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
JP4940122B2 (ja) * 2007-12-21 2012-05-30 株式会社日立製作所 ハードディスクメディア上のパターンの検査方法及び検査装置
TWI386617B (zh) * 2007-12-31 2013-02-21 Ind Tech Res Inst 反射式膜厚量測方法
KR101003382B1 (ko) * 2008-02-13 2010-12-22 주식회사 유진테크 플라즈마 처리장치 및 방법
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
CN102661791B (zh) 2008-04-03 2015-02-11 朗姆研究公司 用于归一化光学发射光谱的方法和装置
KR100947031B1 (ko) * 2008-04-03 2010-03-11 한국과학기술원 3파장 광원을 이용한 위상물체의 굴절률과 두께 측정장치및 그 방법
JP2011525719A (ja) * 2008-06-24 2011-09-22 アプライド マテリアルズ インコーポレイテッド 低温pecvd用途用のペデスタルヒータ
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
WO2010013325A1 (ja) * 2008-07-30 2010-02-04 株式会社ニレコ 分光測光装置
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
KR100953736B1 (ko) 2009-07-27 2010-04-19 주식회사 아토 증착 장치 및 반도체 소자의 제조 방법
KR101030997B1 (ko) 2009-10-16 2011-04-25 주식회사 아토 증착 장치 및 이를 이용한 갭필 방법
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
KR101063753B1 (ko) * 2009-11-11 2011-09-14 한양대학교 산학협력단 텍스처가 형성된 시편에 증착된 박막의 특성 측정방법 및 이를 이용한 분광반사측정기
KR101600552B1 (ko) 2010-01-06 2016-03-07 주식회사 원익아이피에스 박막 증착장치 및 오존 플라즈마를 이용한 박막 증착방법
TWI408363B (zh) * 2010-01-08 2013-09-11 Ind Tech Res Inst 通孔結構之測量系統和方法
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
CN102884610A (zh) * 2010-05-12 2013-01-16 应用材料公司 局限工艺空间的pecvd腔室
KR101601665B1 (ko) 2010-08-31 2016-03-21 주식회사 원익아이피에스 기판식각장치 및 기판식각방법
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
KR101172274B1 (ko) 2010-12-27 2012-08-08 주식회사 원익아이피에스 가스 분사 장치 및 이를 구비하는 기판 처리 장치
KR101689015B1 (ko) 2011-01-06 2016-12-26 주식회사 원익아이피에스 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US20120211484A1 (en) * 2011-02-23 2012-08-23 Applied Materials, Inc. Methods and apparatus for a multi-zone pedestal heater
JP6000676B2 (ja) * 2011-06-21 2016-10-05 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
KR101925580B1 (ko) 2011-11-15 2019-02-28 주식회사 원익아이피에스 기판처리장치 및 그 동작 방법
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8900469B2 (en) * 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
JP2015536043A (ja) * 2012-09-26 2015-12-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理システムにおける温度制御
WO2014052228A1 (en) * 2012-09-26 2014-04-03 Applied Materials, Inc. Bottom and side plasma tuning having closed loop control
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9556507B2 (en) * 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
WO2014149336A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Apparatus and methods for pulsed photo-excited deposition and etch
JP6145342B2 (ja) * 2013-07-12 2017-06-07 株式会社荏原製作所 膜厚測定装置、膜厚測定方法、および膜厚測定装置を備えた研磨装置
US9677177B2 (en) * 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
KR20160108555A (ko) * 2014-01-21 2016-09-19 어플라이드 머티어리얼스, 인코포레이티드 임의의 기판 상의 막 두께의 측정
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9433973B1 (en) * 2015-06-15 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing apparatus
US10276364B2 (en) * 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107667418A (zh) * 2015-06-05 2018-02-06 应用材料公司 用于降低基板温度非均匀性的改良式装置
CN106935530A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 一种等离子体刻蚀光刻胶装置
CN106935530B (zh) * 2015-12-31 2020-04-17 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀光刻胶装置
CN108962722A (zh) * 2017-05-26 2018-12-07 应用材料公司 用于提高ald均匀性的设备和方法
CN108962722B (zh) * 2017-05-26 2023-09-22 应用材料公司 用于提高ald均匀性的设备和方法
CN111566792B (zh) * 2017-12-29 2023-11-03 瓦里安半导体设备公司 用于控制前驱物流的设备和方法
CN111566792A (zh) * 2017-12-29 2020-08-21 瓦里安半导体设备公司 化学沉积制程的前驱物控制技术
CN112074941A (zh) * 2018-05-24 2020-12-11 应用材料公司 用于空间分辨晶片温度控制的虚拟传感器
TWI722330B (zh) * 2018-09-20 2021-03-21 南亞科技股份有限公司 半導體製造設備
CN113166943A (zh) * 2018-12-17 2021-07-23 应用材料公司 用于透明基板的背侧涂层
CN110690134B (zh) * 2019-09-12 2022-07-01 长江存储科技有限责任公司 多站式沉积工艺的串气检测方法、设备及可读存储介质
CN110690134A (zh) * 2019-09-12 2020-01-14 长江存储科技有限责任公司 多站式沉积工艺的串气检测方法、设备及可读存储介质
CN111446179B (zh) * 2020-03-31 2022-11-01 中国科学院微电子研究所 一种晶圆测试方法及装置
CN111446179A (zh) * 2020-03-31 2020-07-24 中国科学院微电子研究所 一种晶圆测试方法及装置
CN114256046A (zh) * 2020-09-22 2022-03-29 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
CN114256046B (zh) * 2020-09-22 2024-07-05 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
CN112663026A (zh) * 2020-11-25 2021-04-16 北京北方华创微电子装备有限公司 工艺腔室、半导体工艺设备及加热控制方法
CN112663026B (zh) * 2020-11-25 2022-10-21 北京北方华创微电子装备有限公司 工艺腔室、半导体工艺设备及加热控制方法
CN113113283A (zh) * 2021-04-08 2021-07-13 中国科学院光电技术研究所 一种基于进气分布控制的等离子体密度分布调控方法

Also Published As

Publication number Publication date
KR20210149208A (ko) 2021-12-08
TW201730371A (zh) 2017-09-01
TWI785890B (zh) 2022-12-01
US20150226540A1 (en) 2015-08-13
US20230193466A1 (en) 2023-06-22
TWI748889B (zh) 2021-12-01
US10030306B2 (en) 2018-07-24
TWI724796B (zh) 2021-04-11
TW202314782A (zh) 2023-04-01
TWI600788B (zh) 2017-10-01
US10793954B2 (en) 2020-10-06
US9458537B2 (en) 2016-10-04
US20200399756A1 (en) 2020-12-24
KR102258020B1 (ko) 2021-05-28
TW201418510A (zh) 2014-05-16
KR102243959B1 (ko) 2021-04-23
KR20150074008A (ko) 2015-07-01
TW202134472A (zh) 2021-09-16
US20180066364A1 (en) 2018-03-08
US20160017497A1 (en) 2016-01-21
KR102149434B1 (ko) 2020-08-31
US20140118751A1 (en) 2014-05-01
WO2014066541A1 (en) 2014-05-01
US9157730B2 (en) 2015-10-13
KR102009955B1 (ko) 2019-08-12
KR20170101318A (ko) 2017-09-05
US20170016118A1 (en) 2017-01-19
US9816187B2 (en) 2017-11-14
KR20190095546A (ko) 2019-08-14
KR20210064397A (ko) 2021-06-02
KR101773117B1 (ko) 2017-08-30
US11898249B2 (en) 2024-02-13
TWI632250B (zh) 2018-08-11
KR20200104422A (ko) 2020-09-03
US10060032B2 (en) 2018-08-28
JP2016503578A (ja) 2016-02-04
KR20210047362A (ko) 2021-04-29
KR20200103128A (ko) 2020-09-01
TW201742949A (zh) 2017-12-16
KR102333219B1 (ko) 2021-12-01
US20180258535A1 (en) 2018-09-13
US11613812B2 (en) 2023-03-28
TW201418513A (zh) 2014-05-16
TWI822439B (zh) 2023-11-11
KR102460527B1 (ko) 2022-10-27
TW202030362A (zh) 2020-08-16
KR102275022B1 (ko) 2021-07-09
TWI628309B (zh) 2018-07-01
TW202209410A (zh) 2022-03-01
KR102616154B1 (ko) 2023-12-20
KR20220151000A (ko) 2022-11-11
TWI688670B (zh) 2020-03-21

Similar Documents

Publication Publication Date Title
CN104737274A (zh) Pecvd设备与工艺

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20150624