TW201742949A - Pecvd設備與製程 - Google Patents

Pecvd設備與製程 Download PDF

Info

Publication number
TW201742949A
TW201742949A TW106122239A TW106122239A TW201742949A TW 201742949 A TW201742949 A TW 201742949A TW 106122239 A TW106122239 A TW 106122239A TW 106122239 A TW106122239 A TW 106122239A TW 201742949 A TW201742949 A TW 201742949A
Authority
TW
Taiwan
Prior art keywords
substrate
layer
chamber
plasma
substrate support
Prior art date
Application number
TW106122239A
Other languages
English (en)
Other versions
TWI688670B (zh
Inventor
拉札高帕藍納卡拉詹
韓新海
蔣文揚
尾方正樹
蔣志鈞
羅莎亞凡利斯君卡洛斯
諾瓦克湯瑪斯
周建華
山卡克里西南蘭普拉卡西
巴拉蘇拔馬尼安葛尼斯
班莎阿米
李正敏
伊根陶德
布迪亞多愛德華
潘納斯尤克狄米崔
李泰倫斯Y
陳建
亞尤伯摩哈德
朴興來
瑞李派翠克
夏可夏西
金秉憲
史塔利科瑟吉
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201742949A publication Critical patent/TW201742949A/zh
Application granted granted Critical
Publication of TWI688670B publication Critical patent/TWI688670B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/65Raman scattering
    • G01N21/658Raman scattering enhancement Raman, e.g. surface plasmons
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • G01N2201/122Kinetic analysis; determining reaction rate
    • G01N2201/1222Endpoint determination; reaction time determination
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

茲描述根據PECVD製程來處理基材的設備和方法。調整基材的溫度分布,以改變基材各處的沉積速率分布。調整電漿密度分布,以改變基材各處的沉積速率分布。加熱接觸電漿的腔室表面,以改善電漿密度均勻性及減少在腔室表面形成低品質沉積物。原位量測技術可用於監測沉積製程的進行,及觸發涉及基材溫度分布、電漿密度分布、壓力、溫度與反應物流量的控制動作。

Description

PECVD設備與製程
本文所述實施例係關於在基材上進行電漿沉積的製程和設備。更特定言之,本文所述實施例係關於電漿沉積製程和設備,用以形成組成與厚度極均勻的層。
過去五十年來,半導體產業已根據摩耳定律(Moore’s Law)成長。摩耳定律粗略認為積體電路上的電晶體數量約每兩年會增加一倍。此公式的固有限制為,電晶體密度係二維拓展,且在某些時候,物理上將限制裝置可多小。
近來,製造業者已開發製程使裝置結構擴展成第三維,以提升處理能力。此類裝置的特色通常係有大量材料層相繼沉積於基材上。在一些情況下,會形成超過100層。相繼形成這麼多層時,各層的不均勻性將加乘,以致產生無法使用的結構。目前的層形成製程和設備通常會造成不適合三維結構的不均勻性。故需要新製程和設備來形成極均勻層至基材上。
本文所述實施例提供處理基材的方法,方法包括把基材放到電漿處理腔室內的基材支撐件上、提供沉積前驅物至處理腔室、由沉積前驅物形成電漿、由電漿沉積層至基材上、利用包含改變接地路徑阻抗的製程,調整電漿的密度分布,及藉由施加第一能通量至基材的第一部分和第二能通量至基材的第二部分,以調整基材的溫度分布,其中第一部分不同於第二部分,第一能通量不同於第二能通量。
本文亦描述處理基材的方法,方法包括把基材放到電漿處理腔室內的基材支撐件上、提供沉積前驅物至處理腔室、由沉積前驅物形成電漿、由電漿沉積層至基材上、分析自基材上複數個位置反射的光,以監測基材上複數個位置的層沉積速率,及依據反射光分析結果,利用包含改變第一接地路徑阻抗的製程,調整電漿的密度分布。
本文尚描述處理基材的方法,方法包括把基材放到電漿處理腔室內的基材支撐件上、提供沉積前驅物至處理腔室、由沉積前驅物形成電漿、由電漿沉積層至基材上、分析自基材上複數個位置反射的光,以監測基材上複數個位置的層沉積速率,及依據反射光分析結果,施加第一能通量至基材的第一部分和第二能通量至基材的第二部分,以調整基材的溫度分布,其中第一部分不同於第二部分,第一能通量不同於第二能通量。
藉由控制氣流均勻性、處理腔室表面間的溫度均勻性、基材的溫度分布和不同基材表面位置的電漿密度分布,可在電漿製程中形成極均勻、高品質的裝置層至基材上。可一起調整電漿密度分布和溫度分布,使基材表面各處達到預定沉積速率分布。可調整腔室表面的溫度均勻性,以提供均勻的反應物種濃度,及控制及/或減少在腔室表面沉積。
第1圖流程圖概述於基材上形成厚度與組成均勻的層的方法100。在步驟102中,把基材放到化學氣相沉積(CVD)腔室內的基材支撐件上。
在步驟104中,建立基材內溫度分布。此達成方式為以不同速率加熱基材的不同部分,例如使用分區加熱器。可使用二區加熱器,各區間的溫度偏差為約-50℃至約+50℃。視待沉積材料而定,基材溫度可為約300℃至約800℃,例如約400℃至約650℃。
在步驟106中,選擇及控制面板溫度。面板係室蓋的表面,該表面接觸處理環境且面向基材支撐件。控制面板溫度可提升面板附近的腔室處理區的溫度均勻性,進而於反應氣體混合物離開面板而進入處理區時,改善反應氣體混合物的組成均勻性。使加熱元件熱耦合至面板,以控制面板溫度。此達成方式為讓加熱元件直接接觸面板或經由另一構件傳導。面板溫度可為約100℃至約300℃。
在步驟108中,經由溫度控制面板提供前驅物氣體混合物至腔室。氣體混合物可為任何適合的CVD前驅物混合物,例如矽(多晶矽或無定形矽)、氧化矽、氮化矽或氮氧化矽前驅物混合物。可包括摻質前驅物,例如硼化合物、磷化合物及/或砷化合物。以下流率範圍係應用到300毫米(mm)基材尺寸用的腔室。適當尺度化後可用於其他基材尺寸用的腔室。可以約20 sccm(標準公升每分鐘)至約2000 sccm的流率提供矽前驅物(例如矽烷)。可以約20 mgm(毫克每分鐘)至約5000 mgm的流率提供四乙氧基矽烷(TEOS)。可以約1000 sccm至約20000 sccm的流率提供氧前驅物,例如N2 O、O2 、O3 、H2 O、CO或CO2 。可以約200 sccm至約50000 sccm的流率提供氮前驅物,例如N2 、N2 O、NH3 或H2 N2 或上述物質的取代變體或前述氮物種的任一混合物。可包括碳前驅物,例如烴(例如甲烷),以將碳加入層中。可以約20 sccm至約3000 sccm的流率提供摻質前驅物,例如三甲基硼烷(TMB)、二硼烷(B2 H6 )、膦(PH3 )、胂(AsH3 )和取代膦與胂或上述物質混合物。摻質前驅物可由載氣承載或以稀釋氣體稀釋,例如氦氣、氬氣、氮氣或氫氣或任一上述物質混合物,氣體流率可為約500 sccm至約30000 sccm。在腔室內建立約0.5托耳至約10托耳的操作壓力。面板與基材的間距為約200密耳(千分之一吋)至1100密耳。
在步驟110中,在腔室中,由前驅物氣體混合物形成電漿。電漿可由電容或感應手段形成,且可藉由使射頻(RF)功率耦合至前驅物氣體混合物而激發。RF功率可為具高頻分量與低頻分量的雙頻RF功率。RF功率通常係以約50瓦(W)至約1500 W的功率位準施加,此可為全高頻RF功率,例如頻率為約13.56兆赫(MHz),或可為高頻功率與低頻功率混合物,例如頻率為約300千赫(kHz)。
在步驟112中,偏壓耦接至腔室側壁的電極及/或耦接至基材支撐件的電極,以調整電漿密度分布。各電極一般經控制以提供選定的電流阻抗流過電極。共振調諧電路通常耦接至各電極及接地,共振調諧電路的部件經選定而具至少一可變部件,如此可動態調整阻抗,以維持目標電流。流過各電極的電流可控制為約0安培(A)至約30 A之間的值或約1 A至約30 A之間的值。
在步驟114中,由電漿形成層至基材上。視前驅物組成而定,層可為矽層(例如多晶矽、微晶矽或無定形矽層且可經摻雜)、氧化矽層(可經摻雜)、氮氧化矽層(可經摻雜)、碳化矽層(可經摻雜)、碳氧化矽層(可經摻雜)、氮碳化矽層(可經摻雜)、氮氧碳化矽層(可經摻雜)或氮化矽層(可經摻雜)。亦可選擇適當前驅物和流率來沉積其他層,例如不含矽層。
形成層的厚度均勻性通常為2%或更佳。在一態樣中,沉積層的厚度不會偏離平均值2%以上。在另一態樣中,層厚度的標準差不超過約2%。此厚度均勻性能在單一腔室中以單一連續製程形成多層,例如高達150層,同時保持實質平面、層狀且平行的堆疊結構。
藉由控制接觸電漿的腔室表面溫度,可進一步加強均勻性。當腔室表面容許熱浮動時,將會產生熱點與冷點,以致不受控制地影響電漿密度和反應性。如上所述,可利用電阻式加熱器或熱流體,加熱噴淋頭的面板,熱流體可置於導管內而通過部分面板或係直接接觸或熱接觸面板。導管可設置穿過面板的邊緣部分,以免干擾面板的氣流功能。加熱面板邊緣部分有助於降低面板邊緣部分變成腔室內的散熱體的傾向。
亦可加熱室壁達類似效果。加熱接觸電漿的腔室表面亦可減少在腔室表面沉積、凝結及/或逆向昇華、減低腔室清洗頻率,及增加每次清洗的平均週期。高溫表面亦促進密集沉積,因而較不會產生微粒掉到基材上。具電阻式加熱器及/或熱流體的熱控制導管可設置穿過室壁,以達成熱控制室壁的目的。所有表面的溫度可由控制器控制。
第2圖流程圖概述於基材上形成厚度與組成均勻的層的方法200。除量測部件外,方法200在許多方面類似第1圖方法100。在步驟202中,把基材放到CVD腔室內的基材支撐件上。
在步驟204中,偵測基材的基線反射率。用光照射腔室內的基材,及測量基材反射光譜。用於原位測量基材反射率的示例性設備將描述如下。從入射光的光譜分析取得做為波長函數且導向基材的光強度。從反射光的光譜分析取得做為波長函數且自基材反射的光強度。計算做為波長函數的反射光強度與入射光強度的比率,並儲存供後續處理。具電子記憶體的電子計算裝置可用於分析光譜資料。
在步驟206中,建立基材的溫度分布,此實質如同上述步驟104。在步驟208中,設定面板的溫度,此如同上述步驟106。在步驟210中,使前驅物流入CVD腔室,此如同上述步驟108。在步驟212中,在CVD腔室中形成電漿,此如同上述步驟110。在步驟214中,調整及選擇電漿密度分布,此如同上述步驟112。在步驟216中,由電漿沉積層至基材上,此實質如同上述步驟114。
在步驟218中,偵測沉積層的厚度均勻性,同時沉積層來進行調整,以控制厚度均勻性。進行沉積時,監測自基材反射的光,反射光變化用於測定厚度。一般會監測基材上的多個位置,以測定不同位置的厚度變化。進行沉積時,比較不同位置的厚度資料,以測定厚度均勻性。由反射光測定厚度的設備和演算法將進一步詳述於後。
在步驟220中,依據在步驟218中從反射光分析測定的厚度均勻性,調整腔室參數,腔室參數會影響基材各處的沉積分布。進行沉積層時,調整電漿密度分布、基材溫度分布和氣體流率的至少一者,以控制厚度均勻性。用於調整基材溫度分布和電漿密度分布的設備將進一步詳述於後。藉由改變基材上不同位置的局部能通量,以調整基材溫度分布,此通常係利用具分區能通量的基材支撐件。藉由施加腔室的電漿產生區周圍、基材支撐件上方的電極,及使用可變電子部件來個別調整電極阻抗而改變使電漿中的電荷載子接地的不同路徑阻抗,以調整電漿密度分布。幾何控制阻抗可直接控制基材支撐件上方的電漿密度的幾何形狀。依此,視預定調整而定,可有更多電漿被吸引到基材的邊緣區域或被推向基材的中心區域。
在步驟222中,分析反射光,以依據整體沉積膜厚與目標厚度的比較結果,測定沉積終點。
方法100、200可用於形成不同組成且具再現性厚度與極佳厚度均勻性的層堆疊。在一實施例中,在單一處理腔室中,於矽基材上形成交替的氧化矽層與氮化矽層,各層厚度為約300 Å至約3000 Å,各層的厚度均勻性以基材各處厚度的標準差表示,標準差小於約3%,在一些情況下為低至1%。可在單一處理腔室中相繼形成任何層數量,例如超過40層,在一些情況下為多達125層。
第3圖係本發明設備300的側視圖,用以實踐本文所述製程。處理腔室300的特徵在於腔室主體302、設於腔室主體302內的基材支撐件304和蓋組件306,蓋組件306耦接至腔室主體302並圍住處理容積320內的基材支撐件304。經由開口326提供基材至處理容積320,開口326傳統上由門板密封以進行處理。
電極308設置鄰接腔室主體302,且隔開腔室主體302與蓋組件306的其他部件。電極308可為蓋組件306的一部分,或為分離的側壁電極。電極308可為環狀或環形構件,且可為環形電極。電極308可為連續迴路環繞處理腔室300周圍而圍繞處理容積320,或可依需求在選定位置係不連續的。電極308亦可為穿孔電極,例如穿孔環或網狀電極。電極308亦可為平板電極,例如次要氣體分配器。
隔離器310可為介電材料,例如陶瓷或金屬氧化物,例如氧化鋁及/或氮化鋁,隔離器310接觸電極308,並電氣與熱隔開電極308和氣體分配器312與腔室主體302。氣體分配器312的特徵在於開口318,以供製程氣體進入處理容積320。氣體分配器312可耦接至電源342,例如RF產生器。亦可採用DC功率、脈衝式DC功率和脈衝式RF功率。
氣體分配器312可為導電氣體分配器或非導電氣體分配器。氣體分配器312亦可由導電與非導電部件組成。例如,氣體分配器312的主體可具導電性,氣體分配器312的面板則不導電。在電漿處理腔室中,如第3圖所示,可供電給氣體分配器312,或者氣體分配器312可接地。
電極308可耦接至調諧電路328,以控制處理腔室300的接地途徑。調諧電路328包含電子感測器330和電子控制器334,電子控制器334可為可變電容。調諧電路328可為包含一或更多電感332的LLC電路。調諧電路328可為任一電路,電路特徵為在處理期間,可在處理容積320存有電漿條件下改變或控制阻抗。在第3圖實施例中,調諧電路328的特徵在於與電子控制器334串聯的第一電感332A和與電子控制器334並聯的第二電感332B。電子感測器330可為電壓或電流感測器,且可耦接至電子控制器334,以提供一定程度的閉環控制處理容積320內的電漿條件。
第二電極322可耦接至基材支撐件304。第二電極322可埋設在基材支撐件304內或耦接至基材支撐件304的表面。第二電極322可為平板、穿孔平板、網格、絲網或任何其他分散配置。第二電極322可為調諧電極,且可由導管346耦接至第二調諧電路136,例如具選定電阻(例如50歐姆(Ω))的電纜,導管346置於基材支撐件304的軸桿344。第二調諧電路336具有第二電子感測器338和第二電子控制器340,電子控制器340可為第二可變電容。第二電子感測器338可為電壓或電流感測器,且可耦接至第二電子控制器340,以進一步控制處理容積320內的電漿條件。
第三電極324可為偏壓電極及/或靜電夾持電極,第三電極324可耦接至基材支撐件304。第三電極可經由濾波器348耦接至第二電源350,濾波器348可為阻抗匹配電路。第二電源350可為DC功率、脈衝式DC功率、RF功率、脈衝式RF功率或上述功率組合物。
第3圖的蓋組件306和基材支撐件304可配合任一處理腔室使用,以進行電漿或熱處理。有益使用蓋組件306和基材支撐件304的電漿處理腔室一例為取自位於美國加州聖大克勞拉市的應用材料公司(Applied Materials, Inc.)的PRODUCER®平臺與腔室。取自其他製造業者的腔室亦可配合上述部件使用。
操作時,處理腔室300即時控制處理容積320內的電漿條件。基材放在基材支撐件304上,製程氣體依任一預定流動規劃由進口314流過蓋組件306。氣體由出口352離開腔室300。電源耦接至氣體分配器312,以於處理容積320內產生電漿。若有需要,可利用第三電極324,使基材遭受電偏壓。
在處理容積320中激發電漿後,電漿與第一電極308間即產生電位差。電漿與第二電極322間亦會產生電位差。電子控制器334、340接著用於調整接地路徑的流動性,接地路徑係以二調諧電路328、336表示。將設定點傳遞到第一調諧電路328和第二調諧電路336,以個別控制從中心到邊緣的沉積速率和電漿密度均勻性。在電子控制器均為可變電容的實施例中,電子感測器可調整可變電容,以個別最大化沉積速率及最小化厚度不均勻性。
調諧電路328、336各具可變阻抗,阻抗可分別利用電子控制器334、340調整。若電子控制器334、340為可變電容,則視電漿的頻率與電壓特性而定,各可變電容的電容範圍和電感332A、332B的電感乃選擇以提供使各可變電容有最小電容範圍的阻抗範圍。故當電子控制器334的電容為最小值或最大值時,電路328的阻抗很高,導致電漿形狀以最小面積覆蓋基材支撐件。當電子控制器334的電容近似使電路328有最小阻抗的值時,電漿將有最大覆蓋面積來有效覆蓋基材支撐件304的整個工作面積。當電子控制器334的電容偏離最小阻抗設定時,電漿形狀會從室壁縮小,造成覆蓋基材支撐件的面積減少。電子控制器340具有類似作用,電漿覆蓋基材支撐件的面積將隨電子控制器340的電容改變而增加及減少。
電子感測器330、338可用於調整封閉迴路的各電路328、336。視所用感測器類型而定,電流或電壓設定點可安裝於各感測器,感測器可裝配控制軟體,以決定各電子控制器334、340的調整值,從而最小化設定點偏差。依此,可於處理期間選擇及動態控制電漿形狀。應注意雖然前述電子控制器334、340係可變電容,但任何具可調特性的電子部件皆可用於提供具可調阻抗的調諧電路328、336。
第4圖係本發明另一設備400的側視圖,用以實踐本文所述製程。第4圖的處理腔室400在許多方面類似第3圖的處理腔室300,二圖中相同的元件以相同的元件符號表示。處理腔室400的特徵在於不同的調諧電路402,調諧電路402耦接至基材支撐件304。調諧電路402具有和調諧電路328一樣的部件,即電子控制器340、電子感測器338、與電子控制器340串聯的第一電感404和與電子控制器340並聯的第二電感406。
第4圖調諧電路402的運作方式類似第3圖的調諧電路336,但調整可變部件340時,有不同的阻抗特性。視選定電感404、406的電感而定,調諧電路402的阻抗不同於調諧電路336。故施加至基材支撐件的調諧電路特性不僅可藉由選擇可變電容來調整,可變電容的電容範圍會產生有益電漿特性的電阻範圍,還可藉由選擇電感來修改使用可變電容可獲得的阻抗範圍而調整。因具調諧電路336,可變電容340可調整通過基材支撐件的接地路徑阻抗、改變電極322的電位,及改變處理容積320內的電漿形狀。
第5A圖係本發明又一設備500的側視圖,用以實踐本文所述製程。處理腔室500的特徵在於腔室主體502、設於腔室主體502內的基材支撐件504和蓋組件506,蓋組件506耦接至腔室主體502並圍住處理容積520內的基材支撐件504。經由開口526提供基材至處理容積520,開口526傳統上由門板密封以進行處理。
蓋組件506包含電極508,電極508設置鄰接腔室主體502,且隔開腔室主體502與蓋組件506的其他部件。電極508可為環狀或環形構件,且可為環形電極。電極508可為連續迴路環繞處理腔室500周圍而圍繞處理容積520,或可依需求在選定位置係不連續的。一對隔離器510、512接觸電極508,並電氣與熱隔開電極508和導電氣體分配器514與腔室主體502,隔離器510、512可為介電材料,例如陶瓷或金屬氧化物,例如氧化鋁及/或氮化鋁。
隔離器510可為內部隔離器,隔離器510接觸處理容積520的處理環境,隔離器512可為外部隔離器,隔離器512不接觸處理容積520的處理環境。在此實施例中,內部隔離器的材料耐熱性或熱穩定性比外部隔離器更佳。內部隔離器可包含複數個和介面一起裝配的部件,以減緩內部隔離器中的熱應力。例如,三個陶瓷環可構成內部隔離器。若內部隔離器具耐熱性,則外部隔離器可為較不耐熱的材料,例如塑膠。若隔離器510係內部隔離器而在處理環境中提供穩定性,則隔離器510可依需要往下延伸到隔離器512的下面旁而阻隔處理環境。或者,隔離器512的下面可由和隔離器510的材料一樣或類似的隔離器取代。
在導電氣體分配器514係導電面板的實施例中,導電面板可為厚度實質均勻的平面導電類平板構件,導電面板的表面實質平行基材支撐件504的上表面。導電面板可為金屬,例如鋁或不銹鋼,在一些實施例中,可塗覆上介電材料,例如氧化鋁或氮化鋁。
導電氣體分配器514可為導電面板,並熱接觸且可物理接觸加熱器516。加熱器516包括加熱元件576,加熱元件576可為電阻元件(例如散熱用電導體)或導電元件(例如加熱流體用導管)。導電氣體分配器514的特徵在於開口518,以供製程氣體進入處理容積520。導電氣體分配器514的邊緣部分580可沿處理腔室500的側邊進入,以將導電氣體分配器514耦接至電源542,例如RF產生器。亦可採用DC功率、脈衝式DC功率和脈衝式RF功率。
分區阻斷板包含第一區板552和第二區板558,分區阻斷板接觸導電氣體分配器514,及提供多個氣體途徑通過蓋組件506。雖然第5A圖所示實施例係圖示分區阻斷板構造一例,但也可採用其他分區阻斷板構造,包括具有超過兩個區板的構造。第一區板552具有一或更多氣室554,供製程氣體循環通過第一途徑,而經由第一區板552的開口556分配到處理容積520,開口556流體連通導電氣體分配器514的開口518。第二區板558亦具有一或更多氣室560,供製程氣體循環通過第二途徑,而經由第二區板的開口578分配到處理容積520,開口578流體連通第一區板552的貫穿開口562和導電氣體分配器514的開口518。
氣帽564設置接觸第二區板558,及提供入口讓製程氣體分別流入第一區板552的氣室554和第二區板558的氣室560,以於抵達處理容積520前,在不互相接觸的情況下,使製程氣體流到處理容積520。氣帽564的特徵為亦包括入口566,入口566流體連通第二區板558和第一區板552的貫穿開口568,且入口566與其中一個開口518流體連通,可依需求供製程氣體經由第三氣體途徑直接流入處理容積520。氣帽564的特徵為亦包括導管570讓流體循環通過氣帽564。流體可為熱控制流體,例如冷卻流體。水為可用冷卻流體一例,但也可使用其他流體、液體和固體。熱控制流體經由進口572提供至導管570並經由出口574抽出導管570。氣帽564熱連通第一與第二區板552、558和導電氣體分配器514。加熱器516和熱控制氣帽564一起熱控制導電氣體分配器514,以提供從邊緣到中心和基材到基材間的溫度均勻性。氣體經由入口578排出處理容積520,入口578可耦接至真空源(未圖示),真空源可沿著腔室主體設在任一便利位置且可依需求連接泵抽氣室。
電極508可耦接至調諧電路528,以控制處理腔室500的接地途徑。調諧電路528包含電子感測器530和電子控制器534,電子控制器534可為可變電容。調諧電路528可為包含一或更多電感532的LLC電路。電子感測器530可為電壓或電流感測器,且可耦接至電子控制器534,以提供一定程度的閉環控制處理容積520內的電漿條件。
第二電極522可耦接至基材支撐件504。第二電極522可埋設在基材支撐件504內或耦接至基材支撐件504的表面。第二電極522可為平板、穿孔平板、網格、絲網或任何其他分散配置。第二電極522可為調諧電極,且可由導管546耦接至第二調諧電路536,例如具選定電阻(例如50 Ω)的電纜,導管546置於基材支撐件504的軸桿544。第二調諧電路536具有第二電子感測器538和第二電子控制器540,電子控制器540可為第二可變電容。第二電子感測器538可為電壓或電流感測器,且可耦接至第二電子控制器540,以進一步控制處理容積520內的電漿條件。
第三電極524可為偏壓電極,且可耦接至基材支撐件504。第三電極可耦接至包含第二電源550的偏壓單元599和濾波器548,濾波器548可為阻抗匹配電路。第二電源550可為DC功率、脈衝式DC功率、RF功率、脈衝式RF功率或上述功率組合物。
第5A圖的蓋組件506和基材支撐件504可配合任一處理腔室使用,以進行電漿或熱處理。有益使用蓋組件506和基材支撐件504的電漿處理腔室一例為取自位於美國加州聖大克勞拉市的應用材料公司的PRODUCER®平臺與腔室。取自其他製造業者的腔室亦可配合上述部件使用。
操作時,處理腔室500即時控制蓋組件506的溫度和處理容積520內的電漿條件。基材放在基材支撐件504上,製程氣體依任一預定流動規劃流過蓋組件506。可於導電氣體分配器建立溫度設定點,並可藉由操作加熱器516及使冷卻流體循環通過導管570而控制。電力可耦合至導電氣體分配器514,以在處理容積520內產生電漿。由於導電氣體分配器514的溫度受到控制,較少電力因加熱導電氣體分配器514和蓋組件506的其他部件而耗散,故由處理腔室500處理第一基材開始,導電氣體分配器514從中心到邊緣與基材到基材間的溫度係穩定的。若有需要,可利用第三電極524,使基材遭受電偏壓。
在處理容積520中激發電漿後,電漿與第一電極508間即產生電位差。電漿與第二電極522間亦會產生電位差。電子控制器534、540接著用於調整接地路徑的流動性,接地路徑係以二調諧電路528、536表示。將設定點傳遞到第一調諧電路528和第二調諧電路536,以個別控制從中心到邊緣的電漿密度均勻性和沉積速率。在電子控制器均為可變電容的實施例中,電子感測器可調整可變電容,以個別最大化沉積速率及最小化厚度不均勻性。電漿處理腔室可具有第一及/或第二電極。同樣地,電漿處理腔室可具有第一及/或第二調諧電路。
調諧電路528、536各具可變阻抗,阻抗可分別利用電子控制器534、540調整。若電子控制器534、540為可變電容,則視電漿的頻率與電壓特性而定,各可變電容的電容範圍和電感532A、532B的電感乃選擇以提供使各可變電容有最小電容範圍的阻抗範圍。故當電子控制器534的電容為最小值或最大值時,電路528的阻抗很高,導致電漿形狀以最小面積覆蓋基材支撐件。當電子控制器534的電容近似使電路528有最小阻抗的值時,電漿將有最大覆蓋面積來有效覆蓋基材支撐件504的整個工作面積。當電子控制器534的電容偏離最小阻抗設定時,電漿形狀會從室壁縮小,造成覆蓋基材支撐件的面積減少。電子控制器540具有類似作用,電漿覆蓋基材支撐件的面積將隨電子控制器540的電容改變而增加及減少。
電子感測器530、538可用於調整封閉迴路的各電路528、536。視所用感測器類型而定,電流或電壓設定點可安裝於各感測器,感測器可裝配控制軟體,以決定各電子控制器534、540的調整值,從而最小化設定點偏差。依此,可於處理期間選擇及動態控制電漿形狀。應注意雖然前述電子控制器534、540係可變電容,但任何具可調特性的電子部件皆可用於提供具可調阻抗的調諧電路528、536。
第5A圖腔室500亦具有光學量測裝置582,光學量測裝置582設於蓋組件506。光學量測裝置582通常放置在第二區板558及安座於第一區板552。蓋組件506可依需求採用不同數量的平板。光學量測裝置582通常放置在氣帽564底下的第一平板,以便於使用。光學量測裝置582光學對準穿過第一區板552的開口586。開口586對準且光學配準導電氣體分配器514的氣流開口518。通常,此類開口係設置穿過光學量測裝置582與導電氣體分配器514間的所有平板。導電氣體分配器514的開口518按尺寸製作以得氣流均勻性。光學量測裝置582產生光束,光束按尺寸產生以行經開口518,而不需重新調整開口518的尺寸。光學量測裝置582發射的光經由開口584、586、518朝基材支撐件504行進。光自置於基材支撐件504上的基材反射,及經由開口518、586、584返回光學量測裝置582。光學量測裝置582的細節將參照第9A圖至第10C圖進一步說明於後。氣帽564的凹部容納光學量測裝置582的上部,上部突出第二區板558。
第5B圖係根據另一實施例,處理腔室590的截面示意圖。第5B圖的處理腔室590在許多方面類似第5A圖的處理腔室500,二圖中相同的元件以相同的元件符號表示。處理腔室590的特徵在於不同的調諧電路592,調諧電路592耦接至基材支撐件504。調諧電路592具有和調諧電路528一樣的部件,即電子控制器540、電子感測器538、與電子控制器540串聯的第一電感594和與電子控制器540並聯的第二電感596。
調諧電路592的操作方式類似第5A圖的調諧電路536。調諧電路592具有可變阻抗,阻抗範圍由電路的電子部件性質、電極522和電漿設定。調諧電路592的至少一電子部件係可變的,以提供可控制的可變阻抗。改變調諧電路592的阻抗可控制處理容積520內的電漿密度分布。
第6圖係根據再一實施例,設備600的截面示意圖。設備600係二處理腔室602A、602B的串接單元。各處理腔室602A、602B可為任一腔室300、400、500、590。通常,腔室602A、602B係實質相同,但未必如此。在第6圖中,處理腔室602A、602B係相同的且類似第5B圖的腔室590。腔室602A、602B具有各自出口650A、650B,出口650A、650B設在各自的基材支撐件軸桿544A、544B周圍。腔室602A、602B經由共用真空管線652排空,管線652耦接至共用真空源630。設備600包含氣體輸送系統604,氣體輸送系統604輸送製程氣體至腔室602A、602B的蓋上的各氣體歧管606A、606B。氣體輸送系統604包含至少一氣源608,氣源608利用共用輸送導管610耦接共用流量控制裝置612與氣體歧管606A、606B。氣體輸送系統亦包含至少一氣源614,氣源614經由個別輸送導管618耦接個別流量控制裝置616A、616B與氣體歧管。共同控制分離氣流流動及個別控制流動可依需求更嚴密控制流到各腔室的製程氣體流量,同時維持流到各腔室的共同周遭氣體流量控制。
控制器620耦接至設備600的各種控制特徵結構,包括個別控制裝置614、共用控制裝置612、各腔室的加熱器516、調諧電路的電子感測器530、538,藉以控制各調諧電路阻抗、各腔室的光學量測裝置582和各腔室的偏壓產生電路599。控制器620利用光學量測裝置582來監測沉積進行,及調整氣流、電漿密度分布和各導電氣體分配器的溫度,以達預定沉積均勻性。
第7圖係根據另一實施例,設備700的上視圖。設備700係處理腔室集合,所有腔室可為第5A圖處理腔室500的實施例,並且耦接至移送室708和負載鎖定組件704。亦可採用第5B圖處理腔室590、第3圖處理腔室300和第4圖處理腔室400。處理腔室500通常群集成串接單元702,例如第6圖串接單元600,每一處理腔室500具有單一製程氣體供應器712。如第6圖所述,製程氣體可共同控制流入設備700的串接單元600的二腔室,及/或個別控制流入串接單元600的各腔室。串接單元702設置環繞移送室708,移送室708通常具有機器人710,用以操縱基材。負載鎖定組件704的特徵在於二負載鎖定室706,二負載鎖定室706亦串接排列。設備700通常適合在高產量的製造環境中實踐本文所述方法。應注意所述任一腔室實施例、設備300、400、500或590皆可以任何組合方式用於設備700。
第8A圖係具多區加熱器的基材支撐件802的截面示意圖,基材支撐件802可配合本文所述其他設備和方法使用,以控制置於基材支撐件802上的基材溫度分布。基材支撐件802具有埋設熱耦804。基材支撐件802的一實施例可由熱壓燒結製程製作,其中粉狀氮化鋁(AlN)經壓製成模及加熱。在示例性實施例中,基材支撐件802的形成為將AlN粉末層疊成模而形成第一AlN層、把第一加熱元件814放置到第一AlN層上,加熱元件814可為電阻式加熱元件、沉積第二AlN粉末層至第一加熱元件814上、把第二加熱元件816放置到第二AlN粉末層上、增設第三AlN粉末層至第二加熱元件816上、把熱耦804放置到第三AlN層上,接著沉積第四AlN粉末層至熱耦804上。注意此程序會以與第8A圖顛倒的位置形成基材支撐件802。
若包括上述電極,則上述層疊製程可於加熱元件814、816和熱耦804前或後擴展,以提供偏壓電極和調諧電極。一旦AlN粉末層、加熱元件814、816、熱耦804和任何預定電極置於適當位置,即可施加高壓和高溫(此領域所熟知)至結構而進行燒結。如第8A圖所示,依此可形成實心基材支撐件802。注意以上實例係描述形成具二區之基材支撐件的步驟。在其他實施例中,可以適當對應層疊步驟和附加加熱元件與熱耦來製作具3、4、5、6或更多區之基材支撐件。
在一些實施例中,熱耦804包括第一材料長條片806和第二材料長條片808。第一材料和第二材料的熔點通常夠高,以免在上述製造製程期間損壞,西白克(Seebeck)係數差異為足以產生對應小溫度變化的電壓訊號,熱膨脹係數則接近基材支撐件材料,故熱耦804或基材支撐件802不會在溫度循環時遭熱應力損壞。
第一材料806和第二材料808可塑形成棒、線、帶或任何其他可行形狀,以從基材支撐件802的中心徑向延伸到基材支撐件802的外部加熱區,並且兩端有足夠的表面積來形成可靠的電氣連接。於長條片806、808的接面端810,長條片806、808可焊接在一起及/或利用導電填料連接。
在焊接形成熱耦接面810的實施例中,焊接應選擇能讓接面810保持完整無缺,又可忍受燒結製程期間施加的熱。例如,鎢極鈍氣(TIG)焊接或類似技術可用於焊接一片W5Re、W26Re或其他導電材料與W5Re、W26Re長條片806、808,以形成焊接接面,燒結時,焊接接面不會熔化。
故在一些實施例中,形成熱耦接面810的方法為將填料夾設在W5Re與W26Re帶之間,W5Re與W26Re帶用作長條片806、808。填料可為電阻不比W5Re或W26Re高且熔點高於燒結溫度的金屬。適合配合做為長條片806、808的W5Re與W26Re帶使用的填料實例包括W5Re、W26Re、鎢(W)、鉬(Mo)和類似材料。在一些實施例中,熱壓燒結製程可用於接合填料和W5Re與W26Re長條片806、808。
絕緣材料可插入長條片806、808間的空間812,或可將AlN粉末推進片806、808間的空間812。若AlN用於互相隔絕熱耦片806、808,則至少0.5 mm的AlN厚度通常已足夠。可採用附加厚度。注意雖然第7圖所示長條片806、808係互相堆疊於上,但在其他實施例中,長條片806、808彼此係側向隔開而置於基材支撐件802內的相同垂直位置。此配置有助於在製造期間,沉積絕緣AlN粉末至片806、808間的空間812。
第8B圖係具附加特徵結構的多區基材支撐件800的截面示意圖。燒結第8A圖的基材支撐件802後,在基材支撐件802的下表面824中心開孔818、820。孔818、820延長而露出長條片806、808。可採用任何可行的方法在基材支撐件802中開孔(例如鑽孔)。孔818、820的直徑乃製作成足以讓連接器(例如導線)連接至長條片806、808。在一些實施例中,分別用於長條片806、808的材料同樣可用於連接器。在一些實施例中,連接器的材料不同於長條片806、808。在此情況下,測量溫度將以熱耦接面810位置與基材支撐件802中心的連接器連接點間的溫差為基礎。就雙區支撐件而言,連接器連接點靠近習知用於測量內區溫度的熱耦826,熱耦826設在基材支撐件802的中心。假設連接器連接點溫度和內區溫度一樣,則可計算熱耦接面810位置的溫度。
在一些實施例中,連接器經硬焊、焊接或軟焊至長條片806、808。硬焊製程可在無氧環境中進行,以免材料氧化。此外,可開孔824使習用熱耦826插入內部加熱區的基材支撐件802。注意雖未圖示,但亦可開連接器至加熱元件814、816的附加孔,以連接元件814、816。
軸桿822接著附接至基材支撐件802的下表面828中心。在一些實施例中,軸桿822收納長條片806、808的連接器、習用熱耦826的連接器和加熱元件814、816的連接器,軸桿822可於各種連接器附接至各熱耦826、804和加熱元件814、816前,附接至基材支撐件802。
第8C圖圖示第8B圖的多區基材支撐件800,基材支撐件800設於處理腔室,例如處理腔室502。熱耦826、804和加熱元件814、816的連接器耦接至控制器832,控制器832包括處理器和適當電路,電路適於接收及記錄來自熱耦826、804的訊號,及施加電流至加熱元件814、816。第8B圖的多區基材支撐件800可設於任一腔室300、400、500及/或590,如上所述,基材支撐件800亦可包括偏壓電極和調諧電極。
第9A圖係根據一實施例,穿過光學量測裝置582中心的截面示意圖。第9B圖係穿過第9A圖光學量測裝置582中心的截面特寫圖。如圖所示,量測裝置582包括準直儀912,準直儀912安置在第二區板558的開口940內。在第9A圖實施例中,量測裝置582延伸到選擇性座板942中並置於第一區板552與第二區板558之間。座板942亦可為區板,或者,座板942可只讓氣體在第一區板552與第二區板558間流動。第一區板552內設有窗口902,以防止製程氣體流入準直儀912而損壞準直儀912內的光學元件。窗口902可為任何能使準直儀912的光穿過第一區板552的材料,例如藍寶石、石英或具任何適當組成的玻璃。
開口940和準直儀912一同定義第一間隙934和第二間隙936。第一間隙934圍繞準直儀912的第一部分944,第二間隙936圍繞準直儀912的第二部分946。準直儀912的第一部分944可呈圓柱形,第二部分946可呈箱形。第一間隙934可大於第二間隙936,以供準直儀912傾斜或轉動,此將進一步詳述於後。
窗口902由窗口托架904托在座板942的凸耳914上。處理時,窗口902設置與基材夾一角度。操作時,光源(未圖示)經由光纖束(未圖示)提供光(通常係廣譜光,例如氙燈光)至準直儀912。光通過準直儀912,準直儀912含有光學器件,光學器件對準光和開口586、518,及把光直徑壓縮成實質為開口586、518的直徑內。光接著通過窗口902、座板942的開口950、開口586和穿過導電氣體分配器514的開口518,以照射基材。光接著自基材反射且經由開口518、586、950返回準直儀912。窗口902乃傾斜設置,以免光從準直儀912直接向外反射回準直儀912。視情況而定,使窗口902傾斜,故角度可為介於約0度至約25度的任何角度,例如約1度至約10度,例如約3度。自基材反射的光從準直儀經過光纖束(未圖示)而至光譜儀或其他光譜光分析儀(例如光電二極體、光電二極體陣列或CCD陣列)。
利用上述結構,可於基材處理期間進行量測。出自準直儀912的光(和自基材反射的光)通過開口518時,可使氣體流過開口518,及處理基材。開口518按尺寸製作以得通過導電氣體分配器514的氣流均勻性,故尺寸通常類似導電氣體分配器514未用於原位量測的開口518。在一實施例中,開口518的直徑為0.0028”。
光經由導管930進入準直儀912,導管930具有複數個光纖932而形成光纖束。準直儀912的特徵在於光學器件(未圖示),例如透鏡和鏡子,以將光從光纖束932重新引導到準直儀912的開口948。光學器件對準從準直儀912通過開口948的光和開口950、586、518,及聚焦或塑造光形狀,使光配適通過最小尺寸的開口950、586、518。沿著垂直平面的路徑引導光,該平面由基材的二直徑構成,使光實質垂直基材表面。光學器件亦塑造光形狀,使自基材表面反射的光亦實質通過開口518、586、950而至準直儀912,且經由光纖束932離開而至光譜光分析儀。
光纖束可用於從單一寬頻源傳遞光到如第6圖及第7圖實施例所示多個腔室。單一光源可傳遞光至光纖束內,束中一些光纖繞徑至各腔室,使不同腔室中的測量光係均勻且盡可能相同。如此可減少潛在測量誤差來源或變異。在一情況下,七個一束的光纖由一個光源照射,三個光纖則繞徑至二不同處理腔室各自的三個準直儀。七個光纖直接繞徑至光譜儀來提供參考值,藉以補償光源隨時間的變化。依此,單一光源有助於測量二不同處理腔室中晶圓上的一些位置,以提供監測二腔室同時進行的沉積製程的能力。此能力容許控制二腔室,以減少二腔室同時進行的製程變異。
引導至基材的光可未極化,波長範圍可為約200 nm至約800 nm。光纖將光部分準直,使光行經氣體分配器的氣流開口,同時讓氣體流過開口,及照射晶圓上定點,定點可為任何合宜尺寸,例如直徑約0.1 mm至約5 mm,例如直徑約2 mm。
可在上述任何設備進行沉積製程期間,形成電漿。出自電漿的光可由光譜儀偵測,光譜儀耦接至本文所述原位監測設備,且可能隱蔽反射結果。此作用可藉由在啟動測量光源前取得電漿光譜來補償。接著從自反射測量光接收的光譜減去電漿光譜。耦接或整合於光譜儀的控制器或電腦可從偵測反射光譜減去偵測電漿光譜,以產生校正反射光譜。校正反射光譜接著用於執行本文所述演算法。可依需求在測量光源每次閃爍前,校正電漿光譜,以補償各閃光間的電漿光譜漂移。
處理時,諸如第一區板552、第二區板558、導電氣體分配器514和選擇性座板942等各種腔室部件將經歷熱膨脹及收縮。故除非準直儀912適當設置在腔室內,否則準直儀912與開口586、518很容易失準。為確保準直儀912適當對準開口586、518,準直儀912具有錐形延伸部926,錐形延伸部926具有傾斜側壁908,例如截頭錐形延伸部,以嚙合凹部922與對應座板942的傾斜壁面906,凹部922可為錐形孔。在無選擇性座板942的實施例中,凹部可形成在第一區板552中。第二區板558亦可具有傾斜壁面910,以容納延伸部926。壁面908、910和錐形延伸部926可與座板942的頂表面920夾一角度「α」。準直儀912延伸到座板942的凹部922內,故當座板942因熱膨脹/收縮而側向移動時,準直儀912可相應移動並保持適當對準開口586、518。角度「α」可為任何角度,只要能使座板942中的熱膨脹側向力量有效傳輸到準直儀912的延伸部926,又不致產生軸向力量造成準直儀912脫離凹部922即可。角度「α」通常為約100度至約145度,例如120度。
導電氣體分配器514和第一區板552通常接觸到比第二區板高的溫度。在典型操作中,導電氣體分配器514可加熱達約300℃至約600℃,第二區板558遭受的溫度比導電氣體分配器514低50℃至100℃。在第一區板552和導電氣體分配器514為鋁的實施例中,第一區板552與導電氣體分配器514的膨脹差異為10 nm至100 nm,導電氣體分配器514與第二區板558的膨脹差異為200 nm至500 nm,以致準直儀912與開口940間有些微的對準變化。
第一開口934和第二開口936按尺寸製作以當座板942移動時,準直儀912可側向移動,又不會碰到第二區板558。在一典型實施例中,若熱循環造成座板942膨脹及收縮,則準直儀912可側向移動達約0.03吋的距離,例如約0.02吋。第一與第二間隙934、936通常按尺寸製作以在準直儀912不接觸第二區板558的情況下移動。第一間隙934的尺寸可達約0.15吋,例如約0.04吋至約0.12吋,例如約0.10吋。第二間隙936的尺寸可和第一間隙934一樣高達約0.15吋,例如約0.04吋至約0.12吋。第二間隙936可小於或大於第一間隙934。在第9A圖及第9B圖實施例中,第二間隙936小於第一間隙934,例如約0.08吋。
準直儀912的錐形延伸部926具有邊緣952,邊緣952配準凹部922。邊緣952通常會磨圓或去角,以降低準直儀912隨凹部922移動時產生微粒的機會。磨圓邊緣952在準直儀912與壁面908、910間提供滑面,以減少介面磨擦力引起微粒形成。磨圓邊緣的曲率半徑通常小於0.1”,例如約0.05”至約0.09”,例如約0.07”。
依此配置,第9A圖及第9B圖的光學量測裝置582可於處理期間進行原位光學分析基材。出自準直儀的光通過開口518,同時製程氣體流過開口518而至腔室。可按尺寸製作供製程氣體流過的開口518,以最佳化氣流通過噴淋頭的均勻性,不需考慮量測所需尺寸,量測裝置可配適所得開口尺寸,而不會干擾氣流均勻性。
第10A圖及第10C圖係用以將準直儀912裝設於第二區板558的機構等角視圖。第10B圖係穿過裝設機構的準直儀912的截面示意圖。如第10A圖所示,四個扣件1002(例如螺栓)延伸穿過準直儀912,且可拆式固定於第二區板558。在一實施例中,扣件1002包含螺栓。彈性構件1004(可為彈簧)耦接各扣件1002前端與準直儀912,如此當熱膨脹造成準直儀912移動時,準直儀912仍附接至第二區板558,並可朝箭頭「A」所指方向移動。彈性構件1004迫使準直儀912仍安座在座板942的凹部922內,如此座板942受熱應力作用而側向移動時,將促使準直儀912側向移動。導管1006收納光纖束1008且於入口1010處耦接至準直儀912。光纖束1008從光產生器(未圖示)承載光至準直儀912而投射到基材上,及自基材反射光而經由準直儀912返回光分析儀(未圖示)。
第3B圖圖示穿過準直儀912一側扣件1002繪製的準直儀912的截面透視圖。第10B圖截面平行於第9A圖及第9B圖截面,但為透視觀察。扣件1002安座於第二區板558,彈性構件1004由凸耳1022接觸準直儀912,以提供軸向力至準直儀912上而協助安置準直儀。扣件1002通過準直儀912中的開口1024。各扣件1002與各自開口1024間的間隙1026容許準直儀912相對扣件1002和相對第二區板558側向移動。間隙1026按尺寸製作以供側向移動,又不會讓準直儀912接觸扣件1002,間隙尺寸通常高達約0.15吋,例如約0.04吋至約0.12吋,例如約0.10吋。
提供球軸承1012,各球軸承1012安座於插座1014,插座1014形成在表面1016的準直儀912中,表面1016接合第二區板558的接收表面1018。各球軸承1012在各自的插座1014內旋轉,以容許準直儀912側向移動,同時最小化準直儀與第二區板558間的摩擦。插座1014具有具錐形部1020的側壁,錐形部1020朝球軸承1012逐漸變細而與表面1016間形成角度「b」,以使球軸承1012保持在插座1014內,同時容許球軸承平順轉動。角度「b」可為約40度至約80度,例如約50度至約70度,例如約60度。
操作時,第一區板552、座板942、導電氣體分配器514和第二區板558皆以RF功率電偏壓達實質相同電位。故RF板條1022用於電氣連接準直儀912與第二區板558,使準直儀912仍保持與第二區板558實質相同的電位。
本文所述原位量測實施例可利用折射效應來測定層厚度,折射效應取決於層厚度。出自原位量測裝置的光照射基材、穿透層,及依據菲涅爾(Fresnel)方程式自層間介面差別反射。反射光與入射光和自其他層反射的光作用產生干涉圖案。干涉圖案受控於層的厚度和組成。生成層時,干涉圖案會以可預期的方式隨生成層厚度改變,故可偵測終點。生成層期間辨識的最終干涉圖案將變成特徵圖案,用以於沉積後續層期間觀察圖案隨後續層形成時的變化。
利用準直儀與阻斷板間的傾斜介面,即使阻斷板發生熱膨脹/收縮時,準直儀仍可保持實質對準氣體通道,氣體通道形成穿過氣體分配器。準直儀設在阻斷板內且為環形基板,即使氣體通道正在使用(即有處理/清洗氣體流貫),準直儀仍可經由氣體通道引導光及接收反射光。故可在不負面影響製程均勻性的情況下進行量測。提供不供氣體流貫的量測用通道將導致製程不均勻,此係因為通道會位於部分基材上,通道附近的氣流則因缺少氣體流過通道而不均勻。
本文所述實施例的特徵在於一個原位量測裝置或構造。應注意一些實施例的特徵在於多個原位量測裝置,以即時監測基材表面複數個位置的膜形成。第11圖係第5A圖及第5B圖利用原位量測的導電氣體分配器514的底部視圖。三個開口1102環繞第11圖實施例,圖表示開口除供氣體流動外,還用於原位光學量測。分析位置可依任何預定圖案分配。在第11圖實施例中,三個原位量測裝置係分散配置。三個原位量測裝置可沿著與基材支撐件同心的圓設置,也就是說三個裝置可設置以監測三個位置,三個位置離置於基材支撐件上的基材中心實質相同距離。或者,三個原位量測裝置可設置離中心不同距離處。多個監測裝置可用於監測基材表面不同位置的沉積均勻性、厚度與組成,及達到閉環控制沉積速率和均勻性的目的,同時在腔室中沉積一層或複數個層。
上述第9A圖至第10C圖的光學量測裝置將產生光來表示基材上的層結構。已知入射光譜的光經引導垂直基材,並自基材表面反射。一些光穿過形成於基材上的層,及自層介面反射而產生反射光譜,反射光譜不同於入射光譜。沉積層期間,反射光譜會隨沉積層厚度改變而變化。比較反射光譜與入射光譜和同一層的先前反射光譜可準確測定沉積層厚度。可在基材上多個位置進行測量,以測定層厚度均勻性,及啟用校正動作來控制均勻性。此測量方式亦可用於偵測層厚度何時達目標值,從而中斷沉積。
自層的二表面反射的入射光相對於入射光將呈現相位移,該層厚度可與光波長相當。相位移與膜厚有關,且會在入射光與反射光間產生特徵干涉圖案。故反射光的光譜強度取決於層厚度。若已知毗鄰下層或材料的光學性質,則單一脈衝或閃光可自頂層反射,及利用菲涅爾方程式來比較反射光與入射光,以測定頂層厚度。
若不知毗鄰下層的光學性質,則可在沉積頂層時,使用多個閃光與脈衝光,以測定反射率隨頂層厚度的變化。沉積速率和時間可用於計算每次脈衝光時的層厚度。反射率通常係依層厚度的正弦函數變化。可用曲線擬合反射率資料,以計算毗鄰下層的反射率。若使用廣譜光源和光譜光分析儀,則亦可比較多個波長,以提高毗鄰下層的光學性質周圍的收斂性。毗鄰下層的反射率可表示為頂層反射率的函數,毗鄰下層的光學性質可利用菲涅爾方程式計算而得。
若毗鄰下層經圖案化,則自毗鄰下層反射的光具有極化分量,極化分量係按根均方關係貢獻總反射強度。自基材反射的各光波長具有與其他波長無關的反射強度,各反射光波長將依據頂層和毗鄰下層的光學性質作用。故可使用計算而得的頂層厚度與已知頂層光學性質來進行多個反射波長的光譜分析,以擬合毗鄰下層反射率的極化分量和引起該等分量的毗鄰下層光學性質。
一旦測定毗鄰下層的光學性質,即可建構基材反射率的完整模型,及利用菲涅爾方程式,從單一脈衝反射光獲知沉積層厚度。若沉積多層,則可使用單一脈衝光,從已知待沉積層的光學性質和已知毗鄰下層的光學性質計算各層的反射率。或者,如上所述,可分析進行沉積時的多個脈衝,以重新建構毗鄰下層的光學性質。
若已知毗鄰下層的光學性質,則可根據下列方程式,擬合廣義正弦曲線與資料,而從反射率資料集R(t,l)計算頂層厚度:。 接著利用已知的層厚度與相位移關係,從相位移參數f(l)計算層厚度: d = fλ/4πn。 或者,週期T(l)可用於計算沉積速率: Dr = λ/2n(λ)T(λ)。 反射率資料的相位和週期取決於波長,故資料的多個波長可用於收斂厚度及/或沉積速率。
亦可利用菲涅爾方程式的差異,使待沉積層的反射率與毗鄰下層的反射率相關聯如下:, 其中Rj 係「j」層的反射率,Rj-1 係「j-1」層的反射率,「j-1」層係毗鄰下層,其他參數定義如下:。 依此使頂層反射率與毗鄰下層相關聯,可從觀察的頂層反射率和已知的頂層光學性質與沉積速率計算毗鄰下層的光學性質。 若不知基材的性質,例如試圖測定待沉積至基材上的第一層厚度時,則無法直接應用上述方程式。然第一層沉積期間收集的時序資料可用於迴歸基材參數。由於第一層沉積期間長膜引起的任何波長相位移與基材無關,故可利用上述膜模型,動態擬合時序資料,以就任何具任意圖案的底下基材,解得4個基材參數(基材反射的s與p極化振幅和相位)和膜沉積速率。若第一層不夠厚而無法提供充足資料來收斂基材性質,則可於沉積第二層時,進一步收集時序資料。
以下為使用電腦應用處理方案來施行此一般方式的示例性說明。1. 輸入資料:
實驗反射率資料R(l,t)由L個波長(l)和M個時間點(t)組成,以表示在M個時間點時,L個波長自基材的反射率。在電腦記憶體中,反射率R係依據下式相繼儲存成一維陣列: 2. 模型化資料:
使用模型擬合實驗資料,模型係以下列由菲涅爾方程式推導的式子表示,菲涅爾方程式控制光反射與折射性質,包括計及形成於基材上的結構極化效應的極化率。(1),(2),(3),(4),(5),(6),(7)。 其中w係單一常數(非l或t的函數),n1 和k1 係波長相依常數。該等式子採用上述方式使頂層的反射率與毗鄰下層相關聯。3. 擬合參數:
在以上方程式中,DR,1 係單一變數(非l或t的函數),係波長相依變數。上述變數為擬合參數,變數可調整以最小化實驗資料R(l,t)與模型f(l,t)間的平方差,此由以下差分方程式表示:(8)。 就DR,1 而言,擬合參數總數(N)等於波長數量(L)乘以4、再加1,即: N = (L×4)+1 (9)。4. 最小化演算法:
c2 的最小化係利用迭代雷文柏格-馬括特(LM)演算法,求得線性方程式集的解:(10), (呈矩陣格式) 將增量值加到擬合參數的當前近似值Pk (k=1, 2, …, N),以得下一近似值。元素Ajk 和Bj 定義如下:(11a),(j ≠ k) (11b),(12)。 偏導數可以差分公式估算:(13), 其中hj 係各擬合參數Pj 的預定增量值。 LM演算法接著概述如下: a. 就擬合參數P,從一組初始猜測值開始。 b. 計算c2 (P)。 c. 選擇L的起始值,例如L=0.001。 d. 利用高斯-約旦(Gauss-Jordan)消去法,解得dP的線性方程式(10)。 e. 計算c2 (P+dP)。 f. 若c2 (P+dP)³c2 (P),則使L增加10倍及返回步驟d。 g. 若c2 (P+dP)<c2 (P),則使L減少10倍及更新擬合參數(P=P+dP)。 h. 重覆步驟d至h,直到符合任一停止準則為止: i. c2 <c2 最小值 , ii. Dc2 <Dc2 最小值 , iii. L>L最大值 , iv. L<L最小值5. 虛擬碼:
以下以類電腦碼語言說明上述演算法,類電腦碼語言的特徵在於大部分高階電腦程式語言常見的類屬函數規格。 TargetFunction(out A, out B, out χ2 ) { Foreach p P , λ and t { Calc} χ2 = 0 ForEach λ and t {Foreach j P { wt =For(k = 0; k <= j; ++k) { A[j*P + k] += wt *} B[j] += dy*wt; } χ2 += dy*dy*w; } } TargetFunction(A, B, pχ2 ) Λ = 0.001 While (StopCriteria == false) { Foreach pj P { A[i, i] *= (1.0 +Λ) } GaussJordan(A, B) Foreach pj P { pj = pj + bj } TargetFunction(A, B, nχ2 ) If(nχ2 < pχ2 ) {  Λ =Λ/10 } Else { Λ=Λ*10   }6. 分析工具資料:
擬合模型與具58個波長的實驗資料集時,程式化以進行上述演算法的適當配置電腦期呈現表1所列的CPU時間分配。 1- 58 個波長的分析工具資料 7. 多步執行
可使用資料子集,反覆進行上述演算法,以有效收斂模型的準確擬合度。在一實例中,實驗資料由L=60個波長組成。在此實例中,可多次引用演算法如下,每次使用不同數量的擬合參數: 1. 經過一段預定時距(例如M=100)後,第一次執行只涉及有限的波長數量(例如L=15),故擬合參數的數量N=15×4+1=61,包括DR,1 。 2. 第二步驟為就步驟1未包括的其餘波長,計算擬合參數。然DR,1 在此步驟係固定的,此表示可就各波長個別解得擬合參數。擬合參數的數量N為4,並可平行計算其餘45個波長。 3. 在後續時間步驟至另一預定時距的實驗資料中(例如100<M<200),只有單一擬合參數DR,2 (¹DR,1 ),且一次進行一個時間步驟計算,而每次解得新的DR,2 。此步驟亦需新的一組n2 (l)與k2 (l)常數,以新增計算r2 (l)和b2 (l,t)。 4. 可就預定時區的新資料,多次相繼重覆步驟3。 以上步驟將總結於第12圖的流程圖。 5. 第二施行選項為同時就多個時距(時區)的資料,執行上述步驟1的計算。然此不需等到收集完所有資料;可在經過第一時區內的一段預定時距(例如M=100)後開始計算,且以所得新資料持續更新擬合參數。由於n(l)和k(l)常數在各時區有所不同,故時區2和以上的資料需巢套立式模型函數f(l,t)、Fs (l,t)和Fp (l,t),例如:(14),(15),(16)。 例如,可先預定此步驟涉及的時區數量。 6. 完成步驟5後,繼續以上述步驟2至步驟4來計算。 此過程總結於第13圖的流程圖。
可利用所述任何設備,形成示例性氧化矽層如下。把基材放到處理腔室的基材支撐件上,處理腔室具有本文所述特徵結構。經由蒸發器流入1000 mgm的TEOS,並與5000 sccm的氦氣和6000 sccm的N2 O混合,以形成前驅物氣體混合物。使氣體混合物流入處理腔室。應注意若使用分區噴淋頭,則可使TEOS流過某一區及使N2 O流過另一區。氦氣流可分成兩份,第一份用於稀釋蒸發的TEOS或承載至第一區,第二份用於稀釋N2 O或承載至第二區。
處理腔室內的壓力設為4.0托耳,腔室面板與基材的間距設為400密耳。面板溫度設為200℃。側壁調諧電極電流目標設為6 A,基材支撐件調諧電極電流目標設為1 A。基材溫度設為500℃,基材支撐件的溫度區偏差設為5℃,且外部的溫度高於內部。
使功率耦合至前驅物氣體,以形成電漿。以500 W的功率施加頻率為13.56 MHz的高頻RF功率,以100 W的功率施加頻率為300 kHz的低頻RF功率。該等條件可持續一段預定時間,以沉積具預定厚度的層,厚度通常為200 Å至2000 Å。沉積氧化矽層的厚度標準差不超過約1%。故沉積層的厚度均勻性不糟於約1%。
可由本文所述另一製程實施例,使用所述設備,以矽烷做為前驅物來形成氧化矽層。矽烷流量為100 sccm,氦氣流量為3000 sccm,N2 O流量為6000 sccm。間距為300密耳,壓力為3托耳,高頻功率為400 W,低頻功率為100 W,面板溫度為200℃,基材溫度為500℃,溫度區偏差為5℃(外部高於內部),側壁調諧電極電流目標為1 A,基材支撐件調諧電極電流目標為3 A。氧化矽層的形成厚度均勻性不糟於約1%。
可由本文所述又一製程實施例,使用所述設備來形成氮化矽層。矽烷流量為30 sccm,氮氣流量為3000 sccm,氨氣流量為6000 sccm,氬氣流量為1000 sccm。間距為700密耳,壓力為3托耳,高頻功率為600 W,低頻功率為200 W,面板溫度為200℃,基材溫度為500℃,溫度區偏差為5℃(外部高於內部),側壁調諧電極電流目標為7安培(A),基材支撐件調諧電極電流目標為1 A。氮化矽層的形成厚度均勻性不糟於約1%。
可由本文所述再一製程實施例,使用所述設備來形成氮化矽層。矽烷流量為150 sccm,氮氣流量為6000 sccm,氨氣流量為1000 sccm。間距為700密耳,壓力為4托耳,高頻功率為600 W,低頻功率為200 W,面板溫度為200℃,基材溫度為500℃,溫度區偏差為5℃(外部高於內部),側壁調諧電極電流目標為6 A,基材支撐件調諧電極電流目標為1 A。氮化矽層的形成厚度均勻性不糟於約1%。
可由本文所述另一製程實施例,使用所述設備來形成摻雜的無定形矽層。矽烷流量為500 sccm,氦氣流量為10000 sccm,摻質前驅物(例如TMB、硼烷及/或膦,稀釋成在氦氣中的濃度為95%)的流量為500 sccm。間距為300密耳,壓力為10托耳,高頻功率為300 W,無施加低頻功率,面板溫度為175℃,基材溫度為500℃,溫度區偏差為0℃,側壁調諧電極電流目標為6 A,基材支撐件調諧電極電流目標為3 A。摻雜的無定形矽層的形成厚度均勻性不糟於約1%。
可調整某一配方至下一配方的腔室條件,以相繼製作諸如上述層的層堆疊。若期產生鮮明介面,則可中斷氣流及在各配方間淨化腔室。或者,可線性改變某一配方至下一配方的腔室條件,同時持續沉積以製作漸變介面。
上述原位監測演算法可用於監測堆疊中的各層厚度,例如氧化矽與氮化矽交替堆疊或多晶矽與氧化矽交替層。在各情況下,於堆疊開始沉積層時,即施行採用毗鄰下方材料反射率的演算法,以追蹤下層反射率隨層沉積時的變化。
茲發現包括多晶矽層的層堆疊可能因多晶矽在較短波長下具高度吸收本質而遵循一簡化方式。例如,當形成多晶矽與氧化矽層交替堆疊時,毗鄰下層於波長小於約600 nm時的反射率影響明顯達到表面以下三層,但不會超過。在此結構中,觀察到波長小於約600 nm時,取自10個多晶矽/氧化矽層交替堆疊的反射光譜與取自形成第四對層後的相同堆疊光譜重疊。此相同行為與第一層無關(即無論第一層為多晶矽或氧化物)。故一有效方式包括在沉積前三對層(前六層)期間,擬合反射率資料與時序模型,然後假定毗鄰下層反射率在較短波長下為非時變,及採用波長序列擬合程序。
上述方法可用於測定形成於基材上的膜厚,基材具有未知性質。在習知反射儀中,基材乃完全知悉,已知的基材性質用於折射模型化,以測定膜厚。然在許多情況下,無法預先知道基材性質,故不能使用習知反射儀。利用本文所述設備和方法,可由膜形成期間收集的時序資料推斷基材性質。在膜沉積期間按100微秒時距收集反射光譜而提供資料,擬合該資料與所述模型,以從中抽出基材性質。藉由擬合所述時序資料與菲涅爾方程式模型,可測定基材性質、實部與虛部折射率、基材的s與p反射率和膜沉積速率,該等性質接著可用於測定後續沉積的膜厚。
第14A圖至第14D圖係在圖案化基材上沉積500 Å的氮化矽層期間,一些選定波長下的時序反射比資料曲線圖。第14A圖係反射分率1402對時間1404(單位:秒)的曲線圖1400。反射比資料1406係在波長230 nm下獲得,膜的模型擬合資料標為1408。可由所述演算法計算擬合,以利用時序光譜反射比資料來測定未知的基材性質。第14B圖係在波長350 nm下收集的類似資料曲線圖1410。第14C圖係在波長500 nm下收集的類似資料曲線圖1420。第14D圖係在波長700 nm下收集的類似資料曲線圖1430。
若第一沉積層不是很厚,則在一些情況下,時序資料中的相位移資訊可能不夠充分來準確測定基材反射率,以致測定後續層厚度時產生誤差。在此情況下,藉由收集超過一層沉積膜的時序資料,可改善準確度。例如,收集第一層膜的時序資料後,可收集第二層沉積膜的時序資料,以提供更多資料來提升模型擬合品質。第15圖係在沉積三個連續層期間,在210 nm下收集的反射分率1502對時間1504的時序擬合曲線圖1500。第一氮化物層的沉積資料標為1506。第一氧化物層的沉積資料標為1508。第二氮化物層的沉積資料標為1510。資料顯示層間介面1512、1514的某些異常特性。進行多層擬合時,此類異常現象可由任何合宜手段移除,例如統計或手動方式。
第16A圖係表面具未知圖案的基材的反射分率1602對波長1604(單位:奈米)的曲線圖1600。利用時序分析結果來進行擬合,以擬合未知的基材參數。實際反射比資料標為1606,擬合資料標為1608。第16B圖圖示沉積第一層氮化物層至基材上後的類似相同堆疊資料。第16C圖圖示沉積第十二層氧化物層後的類似相同堆疊資料,第16D圖圖示沉積第24層氮化物層後的類似相同堆疊資料。
擬合諸如第16A圖至第16D圖所示光譜與膜模型方程式,可測定膜厚。第17A圖係與氧化矽層交替堆疊沉積24層氮化矽層後,相較於以穿透式電子顯微鏡所得厚度測量值1708,由反射比1706測量的層厚度1702(單位:Å)對層數量1704的曲線圖1700。第17B圖圖示相同堆疊中的氧化物層的類似資料。如第17A圖及第17B圖所示,即使堆疊變厚,二者仍相當一致。然應注意隨著堆疊變厚,由於所述遞迴方法的複合誤差,TEM測量值與反射比測量值間的一致性將下降。
模型化及解得基材性質涉及的計算可能很密集。如上所述,管理計算時間使計算不會嚴重落後層形成的方法包括在擬合較慢的時序資料時,緩衝電腦記憶體中的資料,接著在使用靜態模型來計算後續層時,讓電腦「趕上」。在其他態樣中,可平行使用多個處理單元,例如將資料依據時間切面或頻率分組,及同時以不同處理器處理不同組。依此可指派資料組給負載較少的處理器,以均衡負載。
在一些實施例中,若容許較不準確的基材性質測定,則可只用選定光譜波長或選定時間切面來進行時序擬合。若某些波長受到光譜儀解析度的不當影響,則分析時可排除該等波長。
在沉積高度吸收膜的實施例中,可利用隨波長變化的不透明性,以其他手段交叉檢核厚度測定。由於材料的吸收度通常係已知波長函數,故可於膜生成時,藉由注意選定波長從反射光譜消失而標記膜厚。在此類實施例中,可選擇達目標膜厚時會從反射光譜消失的光波長,及當波長從反射光譜消失時,標記時間,以測定沉積終點。當接收此訊號時,可中斷沉積。
在一些涉及吸收膜的情況下,一些層沉積至基材上後,底下基板的反射比可能會消失。在此情況下,可反覆進行時序製程,以重新描繪相繼沉積膜於上的基材特徵。可在整體沉積製程的任何合宜時刻,反覆進行時序資料擬合,以更新基材性質。
在一些態樣中,基材反射比隨膜厚變化在一些波長下較激烈,在其他波長下則沒那麼激烈。在一些情況下,可測定反射光譜的敏感函數及集中計算對膜厚最敏感的波長,以有效使用計算資源。例如,若收集時序,則可快速分析前十個抽點或任何適當的初始抽點數量,以決定反射光譜的哪個波長隨膜厚變化最強烈。該等波長接著可用於計算膜厚,及擬合基材參數,同時排除傳達資訊很少的波長。或者,可依據反射比隨厚度變化的程度,將敏感參數指定成波長函數。如此可節省計算資源及改善結果準確度。
可以一些方式來管理時序反射比資料中的雜訊。在一態樣中,計算光譜跨越時間移動平均。若有需要,可由時間切面施以加權,例如對較近的時間切面施以較重加權,對較遠的時間切面施以較輕加權,或由波長施以加權,例如對較敏感的波長施以較重加權。若有需要,亦可依據資料中的雜訊量,調整移動平均視窗。在另一態樣中,使時序中各時間切面的厚度結果擬合直線,以消除雜訊。亦可根據選定波長,標準化記錄光譜,以移除如來自電漿放電的周遭雜訊。最後,可利用訊號處理技術,減少資料中的雜訊。例如,FTT分析可用於隔離及將資料中的高頻雜訊減為零。
第18圖係由反射比測量的厚度1802對時間1804的曲線圖1800。實際資料1806圖示個別測量結果,搖擺擬合1808和線性擬合1810表示為產生較少雜訊的厚度資料的替代方法。
雖然以上係針對本發明實施例說明,但在不脫離本發明基本範圍的情況下,當可策劃本發明的其他和進一步實施例,因此本發明範圍視後附申請專利範圍所界定者為準。
100、200‧‧‧方法
102、104、106、108、110、112、114、202、204、206、208、210、212、214、216、218、220、222‧‧‧步驟
300‧‧‧處理腔室
302‧‧‧主體
304‧‧‧基材支撐件
306‧‧‧蓋組件
308、322、324‧‧‧電極
310‧‧‧隔離器
312‧‧‧氣體分配器
314‧‧‧進口
318、326‧‧‧開口
320‧‧‧處理容積
328、336‧‧‧調諧電路
330、338‧‧‧感測器
332、332A-B‧‧‧電感
334、340‧‧‧控制器
342、350‧‧‧電源
344‧‧‧軸桿
346‧‧‧導管
348‧‧‧濾波器
352‧‧‧出口 ‧‧‧400‧‧‧處理腔室
402‧‧‧調諧電路
404、406‧‧‧電感
500‧‧‧處理腔室
502‧‧‧主體
504‧‧‧基材支撐件
506‧‧‧蓋組件
508、522、524‧‧‧電極
510、512‧‧‧隔離器
514‧‧‧氣體分配器
516‧‧‧加熱器
518、526‧‧‧開口
520‧‧‧處理容積
528、536‧‧‧調諧電路
530、538‧‧‧感測器
532、532A-B‧‧‧電感
534、540‧‧‧控制器
542、550‧‧‧電源
544、544A-B‧‧‧軸桿
546、570‧‧‧導管
548‧‧‧濾波器
552、558‧‧‧區板
554、560‧‧‧氣室
556、562、568‧‧‧開口
564‧‧‧氣帽
566‧‧‧入口
572‧‧‧進口
574‧‧‧出口
576‧‧‧加熱元件
578‧‧‧開口/入口
580‧‧‧邊緣部分
582‧‧‧光學量測裝置
584、586‧‧‧開口
590‧‧‧處理腔室
592‧‧‧調諧電路
594、596‧‧‧電感
599‧‧‧偏壓產生電路/偏壓單元
600‧‧‧設備
602A-B‧‧‧處理腔室
604‧‧‧氣體輸送系統
606A-B‧‧‧歧管
608、614‧‧‧氣源
610、618‧‧‧輸送導管
612‧‧‧共用控制裝置
616A-B‧‧‧流量控制裝置
620‧‧‧控制器
630‧‧‧真空源
650A-B‧‧‧出口
652‧‧‧真空管線
700‧‧‧設備
702‧‧‧串接單元
704‧‧‧負載鎖定組件
706‧‧‧負載鎖定室
708‧‧‧移送室
710‧‧‧機器人
712‧‧‧製程氣體
800‧‧‧多區基材支撐件
802‧‧‧基材支撐件
804、826‧‧‧熱耦
806、808‧‧‧長條片
810‧‧‧接面
812‧‧‧空間
814、816‧‧‧加熱元件
818、820‧‧‧孔
822‧‧‧軸桿
824‧‧‧下表面/孔
828‧‧‧下表面
832‧‧‧控制器
902‧‧‧窗口
904‧‧‧托架
906、910‧‧‧傾斜壁面
908‧‧‧傾斜側壁
912‧‧‧準直儀
914‧‧‧凸耳
920‧‧‧頂表面
922‧‧‧凹部
926‧‧‧延伸部
930‧‧‧導管
932‧‧‧光纖束
934、936‧‧‧間隙
940、948、950‧‧‧開口
942‧‧‧座板
944、946‧‧‧部分
952‧‧‧邊緣
1002‧‧‧扣件
1004‧‧‧彈性構件
1006‧‧‧導管
1008‧‧‧光纖束
1010‧‧‧入口
1012‧‧‧球軸承
1014‧‧‧插座
1016‧‧‧表面
1018‧‧‧接收表面
1020‧‧‧錐形部
1022‧‧‧凸耳/RF板條
1024、1102‧‧‧開口
1026‧‧‧間隙
1400、1410、1420、1430‧‧‧曲線圖
1402‧‧‧反射分率
1404‧‧‧時間
1406‧‧‧反射比資料
1408‧‧‧擬合資料
1500‧‧‧曲線圖
1502‧‧‧反射分率
1504‧‧‧時間
1506、1508、1510‧‧‧沉積資料
1512、1514‧‧‧介面
1600‧‧‧曲線圖
1602‧‧‧反射分率
1604‧‧‧波長
1606‧‧‧反射比資料
1608‧‧‧擬合資料
1700‧‧‧曲線圖
1702‧‧‧厚度
1704‧‧‧層數
1706‧‧‧反射比
1708‧‧‧厚度測量值
1800‧‧‧曲線圖
1802‧‧‧厚度
1804‧‧‧時間
1806‧‧‧實際資料
1808‧‧‧搖擺擬合
1810‧‧‧線性擬合
第1圖係根據一實施例的方法流程圖。
第2圖係根據另一實施例的方法流程圖。
第3圖係根據一實施例的設備截面示意圖。
第4圖係根據另一實施例的設備截面示意圖。
第5A圖係根據又一實施例的設備截面示意圖。
第5B圖係根據再一實施例的設備截面示意圖。
第6圖係根據另一實施例的設備截面示意圖。
第7圖係根據另一實施例的設備上視圖。
第8A圖係具多區加熱器的基材支撐件截面示意圖,基材支撐件可配合本文所述其他設備和方法使用。
第8B圖係具附加特徵結構的基材支撐件截面示意圖。
第8C圖係腔室的截面示意圖,腔室具有第8B圖所述多區基材支撐件。
第9A圖係根據一實施例的室蓋組件截面示意圖,室蓋組件具有光學量測裝置。
第9B圖係第9A圖光學量測裝置的細部圖。
第10A圖係根據一實施例,具準直儀的蓋組件的等角視圖。
第10B圖係根據一實施例的準直儀截面示意圖。
第10C圖係根據另一實施例,具準直儀的蓋組件的等角視圖。
第11圖係根據一實施例,利用原位量測的導電氣體分配器的底部視圖。
第12圖係根據一實施例,測定層厚度的方法流程圖。
第13圖係根據另一實施例,測定層厚度的方法流程圖。
第14A圖至第14D圖係在圖案化基材上沉積500埃(Å)的氮化矽層期間,一些選定波長下的時序反射比資料曲線圖。
第15圖係在沉積三個連續層期間,在210 nm下收集反射比資料的時序擬合曲線圖。
第16A圖至第16D圖係堆疊沉積選定層的反射比資料的光譜擬合曲線圖。
第17A圖及第17B圖係與以穿透式電子顯微鏡所得厚度測量值相比的層厚度測量值曲線圖。
第18圖係厚度資料對時間的擬合曲線圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
302‧‧‧主體
304‧‧‧基材支撐件
306‧‧‧蓋組件
308、322、324‧‧‧電極
310‧‧‧隔離器
312‧‧‧氣體分配器
314‧‧‧進口
318、326‧‧‧開口
320‧‧‧處理容積
328、402‧‧‧調諧電路
330、338‧‧‧感測器
332A-B、404、406‧‧‧電感
334、340‧‧‧控制器
342、350‧‧‧電源
344‧‧‧軸桿
346‧‧‧導管
348‧‧‧濾波器
352‧‧‧出口
400‧‧‧處理腔室

Claims (1)

  1. 一種用於處理一基材的設備,該設備包括: 一腔室,該腔室包括一側壁及一地板;一蓋子,該蓋子耦接至該腔室之該側壁,該側壁、該地板及該蓋子界定該腔室之一內部容積,該蓋子包括:一氣體分配器,該氣體分配器具有貫穿該氣體分配器所形成的複數個氣流開口;及一量測裝置,該量測裝置引導光通過該等氣流開口中之一個氣流開口,並記錄反射通過該氣流開口的光;以及一基材支撐件,該基材支撐件設置在該腔室之該內部容積中。
TW106122239A 2012-10-26 2013-10-23 Pecvd設備與製程 TWI688670B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201261719319P 2012-10-26 2012-10-26
US61/719,319 2012-10-26
US201261738247P 2012-12-17 2012-12-17
US61/738,247 2012-12-17
US201361761515P 2013-02-06 2013-02-06
US61/761,515 2013-02-06

Publications (2)

Publication Number Publication Date
TW201742949A true TW201742949A (zh) 2017-12-16
TWI688670B TWI688670B (zh) 2020-03-21

Family

ID=50545223

Family Applications (8)

Application Number Title Priority Date Filing Date
TW106118781A TWI632250B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程
TW110107635A TWI748889B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程
TW102138291A TWI628309B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程
TW102138302A TWI600788B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程
TW106122239A TWI688670B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程
TW110142487A TWI785890B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程
TW111141929A TWI822439B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程
TW109105303A TWI724796B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程

Family Applications Before (4)

Application Number Title Priority Date Filing Date
TW106118781A TWI632250B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程
TW110107635A TWI748889B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程
TW102138291A TWI628309B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程
TW102138302A TWI600788B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW110142487A TWI785890B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程
TW111141929A TWI822439B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程
TW109105303A TWI724796B (zh) 2012-10-26 2013-10-23 Pecvd設備與製程

Country Status (6)

Country Link
US (8) US9157730B2 (zh)
JP (1) JP2016503578A (zh)
KR (9) KR102243959B1 (zh)
CN (1) CN104737274A (zh)
TW (8) TWI632250B (zh)
WO (1) WO2014066541A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI771550B (zh) * 2017-12-29 2022-07-21 美商應用材料股份有限公司 化學沉積製程的前驅物控制技術
TWI771577B (zh) * 2018-04-27 2022-07-21 美商應用材料股份有限公司 具有週期性高電壓偏壓之電漿輔助化學氣相沉積

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104813440A (zh) * 2012-09-26 2015-07-29 应用材料公司 于基板处理系统中控制温度
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10249509B2 (en) * 2012-11-09 2019-04-02 Tokyo Electron Limited Substrate cleaning method and system using atmospheric pressure atomic oxygen
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US10047438B2 (en) * 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US9613828B2 (en) * 2014-06-24 2017-04-04 Ultratech, Inc. Method of laser annealing a semiconductor wafer with localized control of ambient oxygen
KR20170092522A (ko) 2014-09-08 2017-08-11 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 금속 격자 및 이의 측정 방법
US9966240B2 (en) * 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9870935B2 (en) 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US9953887B2 (en) 2015-04-16 2018-04-24 Lam Research Corporation Measuring individual layer thickness during multi-layer deposition semiconductor processing
CN107667418B (zh) * 2015-06-05 2022-03-01 应用材料公司 用于降低基板温度非均匀性的改良式装置
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
WO2016204920A1 (en) * 2015-06-18 2016-12-22 Applied Materials, Inc. In-situ metrology method for thickness measurement during pecvd processes
WO2017059645A1 (zh) * 2015-10-09 2017-04-13 北京北方微电子基地设备工艺研究中心有限责任公司 加热装置以及加热腔室
US9899210B2 (en) 2015-10-20 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus and method for manufacturing semiconductor device using the same
JP6606403B2 (ja) 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
CN108292589B (zh) 2015-11-23 2023-05-16 应用材料公司 在处理工具中的板载计量(obm)设计与影响
CN106935530B (zh) * 2015-12-31 2020-04-17 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀光刻胶装置
US10741428B2 (en) 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
CN109643671B (zh) * 2016-08-26 2023-06-06 应用材料公司 自我修复式半导体晶片处理
US9978618B2 (en) * 2016-10-07 2018-05-22 Tokyo Electron Limited Hot plate with programmable array of lift devices for multi-bake process optimization
CN110114853A (zh) * 2016-12-21 2019-08-09 应用材料公司 通过化学气相沉积的保形密封膜沉积
WO2018128945A1 (en) 2017-01-03 2018-07-12 Applied Materials, Inc. Gas injection apparatus with heating channels
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US11022877B2 (en) * 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP6800800B2 (ja) * 2017-04-06 2020-12-16 株式会社ニューフレアテクノロジー 成長速度測定装置および成長速度検出方法
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US10204807B2 (en) * 2017-04-25 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for processing wafer
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10655226B2 (en) * 2017-05-26 2020-05-19 Applied Materials, Inc. Apparatus and methods to improve ALD uniformity
JP2018206847A (ja) * 2017-05-31 2018-12-27 株式会社Kokusai Electric 半導体装置の製造方法、プログラムおよび基板処理装置
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
CN107227446A (zh) * 2017-07-04 2017-10-03 北京北方华创微电子装备有限公司 半导体设备及其阻抗调节方法
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
KR102420164B1 (ko) 2017-09-14 2022-07-12 삼성전자주식회사 기체의 유동 시뮬레이션을 수행하기 위한 컴퓨팅 시스템 및 시뮬레이션 방법
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
KR101999692B1 (ko) * 2017-10-27 2019-07-12 광운대학교 산학협력단 플라즈마 모니터링이 가능한 플라즈마 발생 장치 및 플라즈마 모니터링 방법
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
WO2019109207A1 (en) * 2017-12-04 2019-06-13 Applied Materials, Inc. Partially anodized showerhead
DE102017130551A1 (de) * 2017-12-19 2019-06-19 Aixtron Se Vorrichtung und Verfahren zur Gewinnnung von Informationen über in einem CVD-Verfahren abgeschiedener Schichten
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
CN108315720A (zh) * 2018-01-31 2018-07-24 上海集成电路研发中心有限公司 一种提高膜厚均匀性的装置及方法
KR101994036B1 (ko) * 2018-02-21 2019-06-27 한양대학교 산학협력단 플라즈마 측정 장치
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
SG11202009888RA (en) * 2018-04-17 2020-11-27 Applied Materials Inc Heated ceramic faceplate
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
JP7326344B2 (ja) * 2018-05-24 2023-08-15 アプライド マテリアルズ インコーポレイテッド 空間分解ウエハ温度制御のための仮想センサ
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200013588A1 (en) * 2018-07-03 2020-01-09 Applied Materials, Inc. Tilted interferometric endpoint (iep) window for sensitivity improvement
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11009455B2 (en) * 2018-07-31 2021-05-18 Applied Materials, Inc. Precursor delivery system and methods related thereto
JP7461926B2 (ja) 2018-07-31 2024-04-04 アプライド マテリアルズ インコーポレイテッド 3d nandのためのon積層体オーバレイの改善
JP7249744B2 (ja) * 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US20200098595A1 (en) * 2018-09-20 2020-03-26 Nanya Technology Corporation Semiconductor manufacturing apparatus and method for operating the same
JP6931343B2 (ja) * 2018-09-25 2021-09-01 長野計器株式会社 物理量測定装置
US11421977B2 (en) * 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
EP3899087A4 (en) * 2018-12-17 2022-09-14 Applied Materials, Inc. BACK COATING FOR TRANSPARENT SUBSTRATE
JP7283901B2 (ja) * 2018-12-27 2023-05-30 株式会社Screenホールディングス 熱処理方法および熱処理装置
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
CN110044286B (zh) * 2019-04-15 2021-03-05 清华大学深圳研究生院 一种光谱共焦轴向距离检测方法、装置及设备
JP7274347B2 (ja) * 2019-05-21 2023-05-16 東京エレクトロン株式会社 プラズマ処理装置
CN110690134B (zh) * 2019-09-12 2022-07-01 长江存储科技有限责任公司 多站式沉积工艺的串气检测方法、设备及可读存储介质
CN110453201A (zh) * 2019-09-12 2019-11-15 深圳市捷佳伟创新能源装备股份有限公司 一个腔体内对多个石墨舟同时镀膜的工艺方法及镀膜装置
US20220336191A1 (en) * 2019-09-23 2022-10-20 Lam Research Corporation Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US11099002B2 (en) * 2019-12-09 2021-08-24 General Electric Company Systems and methods of assessing a coating microstructure
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法
CN111446179B (zh) * 2020-03-31 2022-11-01 中国科学院微电子研究所 一种晶圆测试方法及装置
KR20210147429A (ko) * 2020-05-29 2021-12-07 주성엔지니어링(주) 기판 처리 장치
US20220076922A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Single chamber flowable film formation and treatments
CN114256046A (zh) * 2020-09-22 2022-03-29 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
US20220130713A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Semiconductor processing chamber to accommodate parasitic plasma formation
CN112663026B (zh) * 2020-11-25 2022-10-21 北京北方华创微电子装备有限公司 工艺腔室、半导体工艺设备及加热控制方法
TWI748774B (zh) * 2020-12-01 2021-12-01 天虹科技股份有限公司 晶圓承載盤及應用晶圓承載盤的薄膜沉積裝置
CN113113283A (zh) * 2021-04-08 2021-07-13 中国科学院光电技术研究所 一种基于进气分布控制的等离子体密度分布调控方法
CN113972154A (zh) * 2021-10-20 2022-01-25 北京北方华创微电子装备有限公司 工艺腔室、半导体工艺设备和半导体工艺方法
WO2024091408A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Cupped baffle plates for showerheads of substrate processing systems

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
JPH03199198A (ja) * 1989-12-28 1991-08-30 Shin Etsu Chem Co Ltd ランタンガレート単結晶およびその製造方法
US5452091A (en) * 1993-03-22 1995-09-19 Nanometrics Incorporated Scatter correction in reflectivity measurements
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JPH0922795A (ja) * 1995-07-04 1997-01-21 Sony Corp プラズマcvd装置およびプラズマcvd方法
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6055053A (en) * 1997-06-02 2000-04-25 Stress Photonics, Inc. Full field photoelastic stress analysis
KR200211258Y1 (ko) * 1997-12-31 2001-03-02 김영환 반도체 웨이퍼 증착두께측정장치
US6256100B1 (en) * 1998-04-27 2001-07-03 Active Impulse Systems, Inc. Method and device for measuring the thickness of thin films near a sample's edge and in a damascene-type structure
JP2000082661A (ja) * 1998-07-02 2000-03-21 Toshiba Corp 加熱装置,加熱装置の評価法及びパタ―ン形成方法
IL125964A (en) * 1998-08-27 2003-10-31 Tevet Process Control Technolo Method and apparatus for measuring the thickness of a transparent film, particularly of a photoresist film on a semiconductor substrate
JP2000193424A (ja) 1998-12-24 2000-07-14 Sharp Corp 薄膜の膜厚測定装置およびその方法
JP4055880B2 (ja) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
JP2001032078A (ja) * 1999-07-21 2001-02-06 Mitsubishi Heavy Ind Ltd プラズマcvd製膜方法
US6091485A (en) * 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
US6621459B2 (en) 2001-02-02 2003-09-16 Raytheon Company Plasma controlled antenna
JP2004531856A (ja) * 2001-04-13 2004-10-14 アプライド マテリアルズ インコーポレイテッド 制御可能な電力分配を伴う誘導結合されたプラズマ源
US6962732B2 (en) * 2001-08-23 2005-11-08 Applied Materials, Inc. Process for controlling thin film uniformity and products produced thereby
JP2003188150A (ja) 2001-12-17 2003-07-04 Matsushita Electric Works Ltd 半導体プロセスモニタリング方法及び半導体プロセスモニタリング装置
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
US6806948B2 (en) 2002-03-29 2004-10-19 Lam Research Corporation System and method of broad band optical end point detection for film change indication
JP2003313664A (ja) 2002-04-23 2003-11-06 Toppan Printing Co Ltd プラズマを用いた成膜装置
US6642066B1 (en) * 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7019844B2 (en) 2002-08-13 2006-03-28 Lam Research Corporation Method for in-situ monitoring of patterned substrate processing using reflectometry.
US6979578B2 (en) 2002-08-13 2005-12-27 Lam Research Corporation Process endpoint detection method using broadband reflectometry
US7349155B2 (en) * 2002-10-28 2008-03-25 Hewlett-Packard Development Company, L.P. Screen having a layer of reflectors
US7306696B2 (en) * 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6967715B2 (en) * 2002-12-06 2005-11-22 International Business Machines Corporation Method and apparatus for optical film measurements in a controlled environment
US7016039B2 (en) * 2003-02-10 2006-03-21 Hinds Instruments, Inc. Purging light beam paths in optical equipment
US6800852B2 (en) 2002-12-27 2004-10-05 Revera Incorporated Nondestructive characterization of thin films using measured basis spectra
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US6970255B1 (en) * 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
JP4500510B2 (ja) * 2003-06-05 2010-07-14 東京エレクトロン株式会社 エッチング量検出方法,エッチング方法,およびエッチング装置
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
JP2005085917A (ja) * 2003-09-08 2005-03-31 Sharp Corp プラズマプロセス装置
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
WO2005035806A1 (ja) 2003-10-10 2005-04-21 Sumitomo Titanium Corporation Ca還元によるTi又はTi合金の製造方法
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
TW200612512A (en) * 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
JP4099511B2 (ja) * 2004-07-23 2008-06-11 株式会社アイ・ピー・ビー ステージおよびシリコンウエハ基板の温度計測法
US7375946B2 (en) * 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
TW200622226A (en) 2004-12-28 2006-07-01 Grace Semiconductor Mfg Corp Optical method for detecting the surface structure on thin film of nano crystal
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100627187B1 (ko) * 2005-01-28 2006-09-25 케이맥(주) 코팅 막의 두께를 측정하는 방법들 및 그 장치들
US20060186110A1 (en) * 2005-02-22 2006-08-24 Mark Campello Electric heater with resistive carbon heating elements
US8173036B2 (en) * 2005-03-02 2012-05-08 Tokyo Electron Limited Plasma processing method and apparatus
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
KR100804169B1 (ko) 2005-12-31 2008-02-18 주식회사 아이피에스 박막증착챔버용 서셉터
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR100855463B1 (ko) 2006-07-18 2008-09-01 주식회사 아토 가스분리형 샤워헤드를 이용한 챔버 세정 장치
KR100802382B1 (ko) 2006-03-21 2008-02-13 주식회사 아토 가스분리형 샤워헤드를 이용한 원자층 증착 장치
KR100744528B1 (ko) 2006-04-14 2007-08-01 주식회사 아토 알에프 파워가 인가되는 가스 분리형 샤워헤드를 이용한플라즈마 원자층 증착장치 및 방법
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
KR100782291B1 (ko) 2006-05-11 2007-12-05 주식회사 아토 가스분리형 샤워헤드 및 이를 이용한 펄스 cvd 장치
KR100765128B1 (ko) 2006-05-30 2007-10-11 주식회사 아토 Cvd 챔버의 세정 장치 및 방법
KR20070119169A (ko) 2006-06-14 2007-12-20 주식회사 아토 Cvd 챔버의 세정 장치 및 방법
KR100716266B1 (ko) 2006-06-20 2007-05-09 주식회사 아토 가스분리형 샤워헤드를 이용한 cvd 챔버 세정 장치
KR100782292B1 (ko) 2006-08-10 2007-12-05 주식회사 아토 가스분리형 샤워헤드를 이용한 pecvd 장치 및 이를이용한 실리콘 산화막 증착 방법
KR20080041886A (ko) 2006-11-08 2008-05-14 기아자동차주식회사 차량의 브레이크 페달 어셈블리
KR101250356B1 (ko) 2006-11-08 2013-04-05 주식회사 원익아이피에스 반도체 제조 장치
KR20080041893A (ko) 2006-11-08 2008-05-14 주식회사 아토 히팅 및 쿨링이 가능한 척
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JPWO2008117798A1 (ja) * 2007-03-26 2010-07-15 東京エレクトロン株式会社 窒化珪素膜の形成方法、不揮発性半導体メモリ装置の製造方法、不揮発性半導体メモリ装置およびプラズマ処理装置
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
KR100942235B1 (ko) * 2007-07-13 2010-02-16 충북대학교 산학협력단 판유리 두께측정방법
ITBO20070504A1 (it) * 2007-07-20 2009-01-21 Marposs Spa Apparecchiatura e metodo per il controllo dello spessore di un elemento in lavorazione
KR101447162B1 (ko) * 2007-08-10 2014-10-07 주성엔지니어링(주) 박막증착을 위한 플라즈마 공정장치 및 이를 이용한미세결정질 실리콘 박막의 증착방법
JP5012318B2 (ja) 2007-08-21 2012-08-29 パナソニック株式会社 プラズマ処理装置
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP4940122B2 (ja) * 2007-12-21 2012-05-30 株式会社日立製作所 ハードディスクメディア上のパターンの検査方法及び検査装置
TWI386617B (zh) * 2007-12-31 2013-02-21 Ind Tech Res Inst 反射式膜厚量測方法
KR101003382B1 (ko) * 2008-02-13 2010-12-22 주식회사 유진테크 플라즈마 처리장치 및 방법
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
KR100947031B1 (ko) * 2008-04-03 2010-03-11 한국과학기술원 3파장 광원을 이용한 위상물체의 굴절률과 두께 측정장치및 그 방법
CN101990704B (zh) 2008-04-03 2012-06-20 朗姆研究公司 用于归一化光学发射光谱的方法和装置
KR101560138B1 (ko) * 2008-06-24 2015-10-14 어플라이드 머티어리얼스, 인코포레이티드 저온 pecvd 애플리케이션을 위한 받침대 히터
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
WO2010013325A1 (ja) * 2008-07-30 2010-02-04 株式会社ニレコ 分光測光装置
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
KR100953736B1 (ko) 2009-07-27 2010-04-19 주식회사 아토 증착 장치 및 반도체 소자의 제조 방법
KR101030997B1 (ko) 2009-10-16 2011-04-25 주식회사 아토 증착 장치 및 이를 이용한 갭필 방법
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
KR101063753B1 (ko) * 2009-11-11 2011-09-14 한양대학교 산학협력단 텍스처가 형성된 시편에 증착된 박막의 특성 측정방법 및 이를 이용한 분광반사측정기
KR101600552B1 (ko) 2010-01-06 2016-03-07 주식회사 원익아이피에스 박막 증착장치 및 오존 플라즈마를 이용한 박막 증착방법
TWI408363B (zh) * 2010-01-08 2013-09-11 Ind Tech Res Inst 通孔結構之測量系統和方法
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8778813B2 (en) * 2010-05-12 2014-07-15 Applied Materials, Inc. Confined process volume PECVD chamber
KR101601665B1 (ko) 2010-08-31 2016-03-21 주식회사 원익아이피에스 기판식각장치 및 기판식각방법
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
KR101172274B1 (ko) 2010-12-27 2012-08-08 주식회사 원익아이피에스 가스 분사 장치 및 이를 구비하는 기판 처리 장치
KR101689015B1 (ko) 2011-01-06 2016-12-26 주식회사 원익아이피에스 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US20120211484A1 (en) * 2011-02-23 2012-08-23 Applied Materials, Inc. Methods and apparatus for a multi-zone pedestal heater
JP6000676B2 (ja) * 2011-06-21 2016-10-05 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
KR101925580B1 (ko) 2011-11-15 2019-02-28 주식회사 원익아이피에스 기판처리장치 및 그 동작 방법
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8900469B2 (en) * 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
CN104685608A (zh) * 2012-09-26 2015-06-03 应用材料公司 具有闭环控制的底部和侧边等离子体调节
CN104813440A (zh) * 2012-09-26 2015-07-29 应用材料公司 于基板处理系统中控制温度
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9556507B2 (en) * 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
SG11201506367TA (en) * 2013-03-15 2015-09-29 Applied Materials Inc Apparatus and methods for pulsed photo-excited deposition and etch
JP6145342B2 (ja) * 2013-07-12 2017-06-07 株式会社荏原製作所 膜厚測定装置、膜厚測定方法、および膜厚測定装置を備えた研磨装置
US9677177B2 (en) * 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
CN105917456A (zh) * 2014-01-21 2016-08-31 应用材料公司 任意基板上的膜厚度的测量
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9433973B1 (en) * 2015-06-15 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing apparatus
US10276364B2 (en) * 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI771550B (zh) * 2017-12-29 2022-07-21 美商應用材料股份有限公司 化學沉積製程的前驅物控制技術
US11718914B2 (en) 2017-12-29 2023-08-08 Varian Semiconductor Equipment Associates, Inc. Techniques for controlling precursors in chemical deposition processes
TWI771577B (zh) * 2018-04-27 2022-07-21 美商應用材料股份有限公司 具有週期性高電壓偏壓之電漿輔助化學氣相沉積

Also Published As

Publication number Publication date
US20140118751A1 (en) 2014-05-01
KR20210149208A (ko) 2021-12-08
US10030306B2 (en) 2018-07-24
KR20210064397A (ko) 2021-06-02
US20150226540A1 (en) 2015-08-13
KR102333219B1 (ko) 2021-12-01
KR101773117B1 (ko) 2017-08-30
US9816187B2 (en) 2017-11-14
TWI600788B (zh) 2017-10-01
US11898249B2 (en) 2024-02-13
US20170016118A1 (en) 2017-01-19
KR20150074008A (ko) 2015-07-01
TW202209410A (zh) 2022-03-01
KR102275022B1 (ko) 2021-07-09
TW201730371A (zh) 2017-09-01
KR20200104422A (ko) 2020-09-03
US10060032B2 (en) 2018-08-28
TW201418510A (zh) 2014-05-16
JP2016503578A (ja) 2016-02-04
KR102258020B1 (ko) 2021-05-28
US20180258535A1 (en) 2018-09-13
KR102009955B1 (ko) 2019-08-12
US20230193466A1 (en) 2023-06-22
TWI724796B (zh) 2021-04-11
TWI688670B (zh) 2020-03-21
KR102460527B1 (ko) 2022-10-27
TW202314782A (zh) 2023-04-01
KR102243959B1 (ko) 2021-04-23
KR20210047362A (ko) 2021-04-29
US20200399756A1 (en) 2020-12-24
US10793954B2 (en) 2020-10-06
KR20220151000A (ko) 2022-11-11
KR20190095546A (ko) 2019-08-14
TWI785890B (zh) 2022-12-01
CN104737274A (zh) 2015-06-24
TW202134472A (zh) 2021-09-16
KR20170101318A (ko) 2017-09-05
TWI628309B (zh) 2018-07-01
US9458537B2 (en) 2016-10-04
US9157730B2 (en) 2015-10-13
US11613812B2 (en) 2023-03-28
TWI632250B (zh) 2018-08-11
US20180066364A1 (en) 2018-03-08
WO2014066541A1 (en) 2014-05-01
TW202030362A (zh) 2020-08-16
TWI822439B (zh) 2023-11-11
KR102616154B1 (ko) 2023-12-20
KR102149434B1 (ko) 2020-08-31
KR20200103128A (ko) 2020-09-01
TWI748889B (zh) 2021-12-01
TW201418513A (zh) 2014-05-16
US20160017497A1 (en) 2016-01-21

Similar Documents

Publication Publication Date Title
TWI688670B (zh) Pecvd設備與製程