KR20210064397A - Pecvd 장치 및 프로세스 - Google Patents

Pecvd 장치 및 프로세스 Download PDF

Info

Publication number
KR20210064397A
KR20210064397A KR1020217015612A KR20217015612A KR20210064397A KR 20210064397 A KR20210064397 A KR 20210064397A KR 1020217015612 A KR1020217015612 A KR 1020217015612A KR 20217015612 A KR20217015612 A KR 20217015612A KR 20210064397 A KR20210064397 A KR 20210064397A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
plasma
layer
substrate support
Prior art date
Application number
KR1020217015612A
Other languages
English (en)
Other versions
KR102275022B1 (ko
Inventor
나가라잔 라자고파란
신하이 한
마이클 트시앙
마사키 오가타
치준 지앙
주안 카를로스 로차-앨버레즈
토마스 노왁
지안후아 초우
람프라카쉬 산카락리쉬난
가네쉬 발라수브라마니안
아미트 반살
정민 이
토드 이간
에드워드 부디알토
드미트리 파나스유크
테런스 와이. 리
지안 첸
모하매드 야유브
헤웅 랙 파크
패트릭 라일리
샤히드 샤이크
복 헌 김
세르게이 스타릭
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210064397A publication Critical patent/KR20210064397A/ko
Application granted granted Critical
Publication of KR102275022B1 publication Critical patent/KR102275022B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/65Raman scattering
    • G01N21/658Raman scattering enhancement Raman, e.g. surface plasmons
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • G01N2201/122Kinetic analysis; determining reaction rate
    • G01N2201/1222Endpoint determination; reaction time determination
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PECVD 프로세스에 따라 기판을 프로세싱하는 장치 및 방법이 설명된다. 기판에 걸친 증착 레이트 프로파일을 변화시키기 위해, 기판의 온도 프로파일이 조정된다. 기판에 걸친 증착 레이트 프로파일을 변화시키기 위해, 플라즈마 밀도 프로파일이 조정된다. 챔버 표면들 상의 저품질 증착들의 형성을 감소시키고, 플라즈마 밀도 균일성을 개선하기 위해, 플라즈마에 노출된 챔버 표면들이 가열된다. 증착 프로세스의 진행을 모니터링하고, 기판 온도 프로파일, 플라즈마 밀도 프로파일, 압력, 온도, 및 반응물들의 유동을 수반하는 제어 액션(action)들을 트리거링(trigger)하기 위해, 인시튜(in situ) 계측이 사용될 수 있다.

Description

PECVD 장치 및 프로세스{PECVD APPARATUS AND PROCESS}
[0001] 본원에서 설명되는 실시예들은, 기판 상에 플라즈마 증착을 수행하기 위한 프로세스들 및 장치에 관한 것이다. 더 구체적으로는, 본원에서 설명되는 실시예들은, 두께 및 조성의 극도의(extreme) 균일성을 갖는 층들을 형성하기 위한 플라즈마 증착 프로세스들 및 장치에 관한 것이다.
[0002] 반도체 산업은, 지난 50년 동안, 무어의 법칙(Moore's Law)에 따라 성장되어 왔다. 무어의 법칙은 대략적으로, 집적 회로 상의 트랜지스터들의 수가 약 2년마다 2배가 된다고 생각한다. 이러한 공식(formulation)에는, 트랜지스터 밀도의 진행(progression)이 2차원적이라는 것과, 디바이스들이 축소될 수 있는 정도가 어떤 포인트에서 물리적으로 제한된다는 한계가 내재되어 있다.
[0003] 최근에, 제조자들은, 프로세싱 능력을 증가시키기 위해, 디바이스 구조들을 제 3의 차원으로 확장하는 프로세스들을 개발해 왔다. 그러한 디바이스들은 일반적으로, 기판 상에 순차적으로 증착되는 다수의 재료 층들을 특징으로 한다. 몇몇 경우들에서, 100개가 넘는 층들이 형성될 수 있다. 그렇게 많은 층들이 순차적으로 형성되는 경우에, 각각의 층에서의 불균일성들이 증대(multiply)될 수 있어, 구조들이 사용 불가능하게 될 수 있다. 현재의 층 형성 프로세스들 및 장치는 전형적으로, 3차원 구조들에 대해 적합하지 않은 불균일성들을 생성한다. 따라서, 기판 상에 극도로 균일한 층들을 형성하기 위한 새로운 프로세스들 및 장치가 요구된다.
[0004] 본원에서 설명되는 실시예들은 기판을 프로세싱하는 방법을 제공하며, 그 방법은, 플라즈마 프로세싱 챔버에서의 기판 지지부 상에 기판을 배치하는 단계; 프로세싱 챔버에 증착 전구체를 제공하는 단계; 증착 전구체로부터 플라즈마를 형성하는 단계; 플라즈마로부터 기판 상에 층을 증착하는 단계; 접지로의 경로의 임피던스를 변화시키는 것을 포함하는 프로세스에 의해, 플라즈마의 밀도 프로파일을 조정하는 단계; 및 기판의 제 1 부분에 제 1 에너지 플럭스(energy flux)를 인가(applying)하고, 기판의 제 2 부분에 제 2 에너지 플럭스를 인가함으로써, 기판의 온도 프로파일을 조정하는 단계를 포함하며, 제 1 부분은 제 2 부분과 상이하고, 제 1 에너지 플럭스는 제 2 에너지 플럭스와 상이하다.
[0005] 또한, 기판을 프로세싱하는 방법이 설명되며, 그 방법은, 플라즈마 프로세싱 챔버에서의 기판 지지부 상에 기판을 배치하는 단계; 프로세싱 챔버에 증착 전구체를 제공하는 단계; 증착 전구체로부터 플라즈마를 형성하는 단계; 플라즈마로부터 기판 상에 층을 증착하는 단계; 기판 상의 복수의 위치들에서의 층의 증착의 레이트를, 복수의 위치들에서 기판으로부터 반사된 광을 분석함으로써 모니터링하는 단계; 및 접지로의 제 1 경로의 임피던스를 변화시키는 것을 포함하는 프로세스에 의해, 반사된 광의 분석에 기초하여, 플라즈마의 밀도 프로파일을 조정하는 단계를 포함한다.
[0006] 또한, 기판을 프로세싱하는 방법이 설명되며, 그 방법은, 플라즈마 프로세싱 챔버에서의 기판 지지부 상에 기판을 배치하는 단계; 프로세싱 챔버에 증착 전구체를 제공하는 단계; 증착 전구체로부터 플라즈마를 형성하는 단계; 플라즈마로부터 기판 상에 층을 증착하는 단계; 기판 상의 복수의 위치들에서의 층의 증착의 레이트를, 복수의 위치들에서 기판으로부터 반사된 광을 분석함으로써 모니터링하는 단계; 및 기판의 제 1 부분에 제 1 에너지 플럭스를 인가하고, 기판의 제 2 부분에 제 2 에너지 플럭스를 인가함으로써, 반사된 광의 분석에 기초하여, 기판의 온도 프로파일을 조정하는 단계를 포함하며, 제 1 부분은 제 2 부분과 상이하고, 제 1 에너지 플럭스는 제 2 에너지 플럭스와 상이하다.
[0007] 도 1은 일 실시예에 따른 방법을 요약하는 흐름도이다.
[0008] 도 2는 다른 실시예에 따른 방법을 요약하는 흐름도이다.
[0009] 도 3은 일 실시예에 따른 장치의 개략적인 횡단면도이다.
[0010] 도 4는 다른 실시예에 따른 장치의 개략적인 횡단면도이다.
[0011] 도 5a는 다른 실시예에 따른 장치의 개략적인 횡단면도이다.
[0012] 도 5b는 다른 실시예에 따른 장치의 개략적인 횡단면도이다.
[0013] 도 6은 다른 실시예에 따른 장치의 개략적인 횡단면도이다.
[0014] 도 7은 다른 실시예에 따른 장치의 개략적인 상면도이다.
[0015] 도 8a는, 본원에서 개시된 다른 장치 및 방법들과 함께 사용될 수 있는, 다중-구역 가열기를 갖는 기판 지지부의 개략적인 단면도이다.
[0016] 도 8b는 부가적인 피쳐(feature)들을 갖는 기판 지지부의 개략적인 단면도이다.
[0017] 도 8c는 도 8b의 다중-구역 기판 지지부가 내부에 배치된 챔버의 개략적인 횡단면도이다.
[0018] 도 9a는 일 실시예에 따른, 광학 계측 디바이스를 갖는 챔버 리드 어셈블리의 개략적인 횡단면도이다.
[0019] 도 9b는 도 9a의 광학 계측 디바이스의 더 상세한 도면이다.
[0020] 도 10a는 일 실시예에 따른, 콜리메이터를 갖는 리드 어셈블리의 개략적인 등각도이다.
[0021] 도 10b는 일 실시예에 따른 콜리메이터의 횡단면도이다.
[0022] 도 10c는 다른 실시예에 따른, 콜리메이터를 갖는 리드 어셈블리의 개략적인 등각도이다.
[0023] 도 11은 일 실시예에 따른, 인시튜(in-situ) 계측을 사용하는 전도성 가스 분배기의 저면도이다.
[0024] 도 12는 일 실시예에 따른, 층 두께를 결정하는 방법을 요약하는 흐름도이다.
[0025] 도 13은 다른 실시예에 따른, 층 두께를 결정하는 방법을 요약하는 흐름도이다.
[0026] 도 14a 내지 도 14d는, 패터닝된 기판 상의 500 Å 실리콘 질화물 층의 증착 동안의, 몇몇(few) 선택된 파장들에서의 시계열(time series) 반사도 데이터를 도시하는 그래프들이다.
[0027] 도 15는, 3개의 연속적인 층들의 증착 동안의, 210 nm에서 수집된 반사도 데이터의 시계열 피팅을 도시하는 그래프이다.
[0028] 도 16a 내지 도 16d는, 스택 증착의 선택된 층들로부터의 반사도 데이터의 스펙트럼 피팅을 도시하는 그래프들이다.
[0029] 도 17a 및 도 17b는, 투과 전자 마이크로스코피(transmission electron microscopy)를 사용한 두께 측정치들과 비교하여 층 두께 측정치들을 도시하는 그래프들이다.
[0030] 도 18은 시간에 대한 두께 데이터의 피팅을 도시하는 그래프이다.
[0031] 가스 유동의 균일성, 프로세싱 챔버의 표면들 사이의 온도의 균일성, 기판의 온도 프로파일, 및 기판 표면의 다양한 위치들에서의 플라즈마 밀도 프로파일을 제어함으로써, 플라즈마 프로세스에서, 기판 상에 극도로 균일한 고품질의 디바이스 층들이 형성될 수 있다. 기판 표면에 걸쳐 원하는 증착 레이트 프로파일을 달성하기 위해, 플라즈마 밀도 프로파일 및 온도 프로파일이 함께 조정될 수 있다. 반응성 종들(reactive species)의 균일한 농도를 제공하기 위해, 그리고 챔버 표면들 상의 증착을 제어하고 그리고/또는 최소화하기 위해, 챔버 표면들의 온도 균일성이 조정될 수 있다.
[0032] 도 1의 흐름도에서, 기판 상에 균일한 두께 및 조성의 층을 형성하는 방법(100)이 요약된다. 102에서, CVD 챔버에서의 기판 지지부 상에 기판이 배치된다.
[0033] 104에서, 기판 내에 온도 프로파일이 설정된다(established). 이는, 예컨대 구역화된(zoned) 가열기를 사용하여, 상이한 레이트들로 기판들의 상이한 부분들을 가열함으로써 행해질 수 있다. 2-구역 가열기가 사용될 수 있고, 구역들 사이의 온도 오프셋은 약 - 50 ℃ 내지 약 + 50 ℃일 수 있다. 기판 온도는, 증착되고 있는 재료에 따라, 약 300 ℃ 내지 약 800 ℃, 예컨대 약 400 ℃ 내지 약 650 ℃일 수 있다.
[0034] 106에서, 페이스 플레이트 온도가 선택되고 제어된다. 페이스 플레이트는, 프로세싱 환경에 노출되고 기판 지지부를 향하는(face), 챔버 리드의 표면이다. 페이스 플레이트 온도를 제어하는 것은, 페이스 플레이트 근처의 챔버의 프로세싱 구역에서의 온도 균일성을 촉진하여, 반응 가스 혼합물이 페이스 플레이트로부터 프로세싱 구역 내로 빠져나갈 때 반응 가스 혼합물의 조성 균일성을 개선한다. 페이스 플레이트 온도는, 페이스 플레이트에 가열 엘리먼트를 열적으로(thermally) 커플링시킴으로써 제어될 수 있다. 이는, 페이스 플레이트와 가열 엘리먼트 사이의 직접적인 접촉에 의해 행해질 수 있거나, 또는 다른 부재를 통한 전도에 의해 이루어질 수 있다. 페이스 플레이트 온도는 약 100 ℃ 내지 약 300 ℃일 수 있다.
[0035] 108에서, 온도 제어되는 페이스 플레이트를 통해 챔버에 전구체 가스 혼합물이 제공된다. 가스 혼합물은, 실리콘(폴리실리콘 또는 비정질 실리콘), 실리콘 산화물, 실리콘 질화물, 또는 실리콘 옥시나이트라이드 전구체 혼합물과 같은 임의의 적합한 CVD 전구체 혼합물일 수 있다. 붕소 화합물들, 인 화합물들, 및/또는 비소 화합물들과 같은 도펀트 전구체들이 포함될 수 있다. 다음의 유량 범위들은 300 mm 기판들을 위해 사이징된(sized) 챔버에 대해 적용된다. 다른 기판들을 위해 사이징된 챔버들에 대해, 적절한 스케일링(scaling)이 사용될 수 있다. 실란과 같은 실리콘 전구체는, 약 20 sccm 내지 약 2,000 sccm의 유량으로 제공될 수 있다. TEOS는, 약 20 mgm 내지 약 5,000 mgm의 유량으로 제공될 수 있다. N2O, O2, O3, H2O, CO, 또는 CO2와 같은 산소 전구체는, 약 1,000 sccm 내지 약 20,000 sccm의 유량으로 제공될 수 있다. N2, N2O, NH3, 또는 H2N2, 또는 이들의 치환된 변종(substituted variant), 또는 전술한 질소 종들의 임의의 혼합물과 같은 질소 전구체는, 약 200 sccm 내지 약 50,000 sccm의 유량으로 제공될 수 있다. 층에 탄소를 부가하기 위해, 예컨대 메탄과 같은 탄화 수소와 같은 탄소 전구체가 포함될 수 있다. 트리메틸보란(trimethylborane; TMB), 디보란(diborane)(B2H6), 포스핀(phosphine)(PH3), 아르신(arsine)(AsH3), 및 치환된 포스핀들 및 아르신들, 또는 이들의 혼합물들과 같은 도펀트 전구체들은, 약 20 sccm 내지 약 3,000 sccm의 유량들로 제공될 수 있다. 도펀트 전구체들은, 약 500 sccm 내지 약 30,000 sccm의 레이트로 유동하는, 예컨대 헬륨, 아르곤, 질소, 또는 수소, 또는 이들의 임의의 혼합물과 같은 희석 가스에 희석될 수 있거나 또는 캐리어 가스에 의해 운반될 수 있다. 약 0.5 Torr 내지 약 10 Torr의 동작 압력이 챔버에서 설정된다. 기판과 페이스 플레이트 사이의 간격은 약 200 mils(천분의 일 인치) 내지 1,100 mils로 설정된다.
[0036] 110에서, 전구체 가스 혼합물로부터 챔버에서 플라즈마가 형성된다. 플라즈마는 용량성 또는 유도성 수단에 의해 형성될 수 있고, 전구체 가스 혼합물 내로 RF 전력을 커플링시킴으로써 에너자이징될(energized) 수 있다. RF 전력은, 고주파수 컴포넌트 및 저주파수 컴포넌트를 갖는 듀얼-주파수 RF 전력일 수 있다. RF 전력은 전형적으로, 예컨대 약 13.56 MHz의 주파수에서의 전체적으로(all) 고주파수인 RF 전력일 수 있거나, 또는 예컨대 약 300 kHz의 주파수에서의 저주파수 전력과 고주파수 전력의 혼합일 수 있는, 약 50 W 내지 약 1,500 W의 전력 레벨로 인가된다.
[0037] 112에서, 챔버의 측벽에 커플링된 전극, 및/또는 기판 지지부에 커플링된 전극을 바이어싱(biasing)함으로써, 플라즈마 밀도 프로파일이 조정된다. 각각의 전극은 전형적으로, 선택된 전류가 전극을 통해 유동하기 위한 임피던스를 제공하도록 제어될 것이다. 전형적으로, 각각의 전극 및 접지에 공진 튜닝 회로가 커플링되고, 공진 튜닝 회로를 위한 컴포넌트들은, 적어도 하나의 가변 컴포넌트를 갖도록 선택되며, 따라서, 타겟 전류 유동을 유지하도록 임피던스가 동적으로(dynamically) 조정될 수 있다. 각각의 전극을 통하는 전류 유동은, 약 0 A 내지 약 30 A, 또는 약 1 A 내지 약 30 A의 값으로 제어될 수 있다.
[0038] 114에서, 플라즈마로부터 기판 상에 층이 형성된다. 전구체의 조성에 따라, 층은, 도핑될 수 있는, 예컨대 폴리실리콘, 미정질(microcrystalline) 실리콘, 또는 비정질 실리콘 층과 같은 실리콘 층, 도핑될 수 있는 실리콘 산화물 층, 도핑될 수 있는 실리콘 옥시나이트라이드 층, 도핑될 수 있는 실리콘 탄화물 층, 도핑될 수 있는 실리콘 옥시카바이드 층, 도핑될 수 있는 실리콘 니트로카바이드(nitrocarbide) 층, 도핑될 수 있는 실리콘 니트록시카바이드(nitroxycarbide) 층, 또는 도핑될 수 있는 실리콘 질화물 층일 수 있다. 적절한 전구체들 및 유량들을 선택함으로써, 예컨대 실리콘을 함유하지 않는 층들과 같은 다른 층들이 또한 증착될 수 있다.
[0039] 형성된 층은 전형적으로, 2 %의 두께 균일성 또는 그보다 더 우수한 두께 균일성을 갖는다. 일 양상에서, 증착된 층의 두께는, 평균 값으로부터 2 %를 초과하지 않는 만큼 변화할 수 있다. 다른 양상에서, 층 두께의 표준 편차는, 약 2 %를 초과하지 않는다. 이러한 두께 균일성은, 실질적으로 평탄하고, 층상(laminar)이고, 평행한 스택 구조를 유지하면서, 단일 챔버에서의 단일의 순차적인 프로세스에서 예컨대 150개의 층들까지의 다수의 층들의 형성을 가능하게 한다.
[0040] 균일성은 추가로, 플라즈마에 노출된 챔버 표면들의 온도를 제어함으로써 향상될 수 있다. 챔버 표면들이 열적으로 플로팅(float)하게 허용되는 경우에, 제어되지 않는 방식들로 플라즈마 밀도 및 반응성(reactivity)에 영향을 미치는 고온(hot) 및 저온(cold) 스폿(spot)들이 발생(develop)될 수 있다. 위에서 설명된 바와 같이, 샤워헤드의 페이스 플레이트는, 페이스 플레이트의 부분을 통하는 도관에 배치되거나, 또는 그렇지 않으면, 페이스 플레이트와 직접적으로 접촉된 또는 열적으로 접촉된, 열 유체 또는 저항성 가열기를 사용하여 가열될 수 있다. 도관은, 페이스 플레이트의 가스 유동 기능을 방해하는 것을 피하기 위해, 페이스 플레이트의 에지 부분을 통해 배치될 수 있다. 페이스 플레이트의 에지 부분을 가열하는 것은, 페이스 플레이트 에지 부분이 챔버 내의 열 싱크(heat sink)가 되는 경향을 감소시키는데 유용할 수 있다.
[0041] 챔버 벽들이 또한, 유사한 효과에 대해 가열될 수 있다. 플라즈마에 노출된 챔버 표면들을 가열하는 것은 또한, 챔버 표면들 상의 증착, 응결(condensation), 및/또는 역 승화(reverse sublimation)를 최소화하여, 챔버의 세정 빈도를 감소시키고, 세정 당 평균 사이클들을 증가시킨다. 더 높은 온도 표면들은 또한, 기판 상에 떨어지는 입자들을 생성할 가능성이 더 적은, 밀도가 높은 증착을 촉진한다. 챔버 벽들의 열 제어를 달성하기 위해, 저항성 가열기들 및/또는 열 유체들을 갖는 열 제어 도관들이 챔버 벽들을 통해 배치될 수 있다. 모든 표면들의 온도는 제어기에 의해 제어될 수 있다.
[0042] 도 2의 흐름도에서, 기판 상에 균일한 두께 및 조성의 층을 형성하는 방법(200)이 요약된다. 방법(200)은, 도 1의 방법(100)에 대해 많은 점들에서 유사하며, 계측 컴포넌트들이 부가된다. 202에서, CVD 챔버에서의 기판 지지부 상에 기판이 배치된다.
[0043] 204에서, 챔버에서의 기판 상에 광을 비추고, 기판에 의해 반사된 광의 스펙트럼을 측정함으로써, 기판의 베이스라인 반사율이 검출된다. 인시튜로 기판의 반사율을 측정하기 위한 예시적인 장치가 아래에서 설명된다. 파장에 따른, 기판으로 지향되는 광의 세기는, 입사 광의 스펙트럼 분석으로부터 획득된다. 파장에 따른, 기판으로부터 반사된 광의 세기는, 반사된 광의 스펙트럼 분석으로부터 획득된다. 파장에 따른, 반사된 광 세기 대 입사 광 세기의 비율이, 후속 프로세싱을 위해 연산되고(computed) 저장된다(saved). 전자 메모리를 갖는 전자 연산 디바이스가 스펙트럼 데이터의 분석을 위해 사용될 수 있다.
[0044] 206에서, 실질적으로 위에서 104에서 설명된 바와 같이, 기판에 온도 프로파일이 설정된다. 208에서, 위의 106에서와 같이, 페이스 플레이트의 온도가 세팅된다. 210에서, 위의 108에서와 같이, CVD 챔버 내로 전구체들의 유동이 설정된다. 212에서, 위의 110에서와 같이, CVD 챔버에서 플라즈마가 형성된다. 214에서, 위의 112에서와 같이, 플라즈마의 밀도 프로파일이 조정되고 선택된다. 216에서, 실질적으로 위에서 114에서 설명된 바와 같이, 플라즈마로부터 기판 상에 층이 증착된다.
[0045] 218에서, 두께 균일성을 제어하기 위한 조정들을 가능하게 하기 위해, 층이 증착되고 있는 동안, 증착되는 층의 두께 균일성이 검출된다. 증착이 진행되는 동안에, 기판으로부터 반사된 광이 모니터링되고, 반사된 광에서의 변화들이 두께를 결정하기 위해 사용된다. 다양한 위치들에서 두께에서의 변화들을 결정하기 위해, 전형적으로, 기판 상의 다수의 위치들이 모니터링된다. 증착이 진행되는 동안에, 두께 균일성을 결정하기 위해, 다양한 위치들에 대한 두께 데이터가 비교된다. 반사된 광으로부터 두께를 결정하기 위한 장치 및 알고리즘들이 아래에서 더 상세히 설명된다.
[0046] 220에서, 반사된 광의 분석으로부터 218에서 결정된 두께 균일성에 기초하여, 기판에 걸친 증착의 분배에 영향을 미치는 챔버 파라미터들이 조정된다. 층의 증착이 진행되는 동안에, 두께 균일성을 제어하기 위해, 플라즈마 밀도 프로파일, 기판 온도 프로파일, 및 가스 유량 중 적어도 하나가 조정된다. 기판 온도 프로파일 및 플라즈마 밀도 프로파일을 조정하기 위한 장치가 아래에서 더 상세히 설명된다. 전형적으로, 구역화된 에너지 플럭스를 갖는 기판 지지부를 사용하여, 기판 상의 상이한 위치들에서 국부적인(local) 에너지 플럭스를 변화시킴으로써, 기판 온도 프로파일이 조정된다. 기판 지지부 위의, 챔버의 플라즈마 생성 영역 주위에 전극들을 적용(applying)함으로써, 그리고 플라즈마에서의 전하 캐리어들을 위한, 접지로의 다양한 경로들의 임피던스를 변화시키기 위해, 전극들의 임피던스를 독립적으로 조정하기 위한 가변 전자 컴포넌트들을 사용함으로써, 플라즈마 밀도 프로파일이 조정될 수 있다. 임피던스를 제어하는 것은, 기판 지지부 위의 플라즈마 밀도의 기하형상(geometry)을 기하학적으로(geometrically) 직접적으로 제어한다. 이러한 방식으로, 원하는 조정에 따라, 더 많은 플라즈마가 기판의 에지 구역을 향하여 끌어당겨질 수 있거나, 또는 기판의 중앙 구역을 향하여 밀릴 수 있다.
[0047] 222에서, 증착된 막의 전체 두께를 타겟 두께와 비교하는 것에 기초하여, 증착 엔드 포인트를 결정하기 위해, 반사된 광이 분석된다.
[0048] 방법들(100 및 200)은, 반복 가능한 두께 및 극도의 두께 균일성과 함께 상이한 조성을 갖는 층들의 스택을 형성하기 위해 사용될 수 있다. 일 실시예에서, 단일 프로세스 챔버에서 실리콘 기판 상에 실리콘 산화물과 실리콘 질화물의 교번하는 층들이 형성될 수 있고, 각각의 층은 약 300 Å 내지 약 3,000 Å의 두께를 갖고, 각각의 층은, 기판에 걸친 두께의 표준 편차로 표현하여, 약 3 % 미만인, 몇몇 경우들에서는 1 %만큼 낮은 두께 균일성을 갖는다. 예컨대 40개 초과의 층들과 같은, 몇몇 경우들에서는 125개만큼 많은 층들과 같은 임의의 수의 그러한 층들이, 단일 프로세스 챔버에서 순차적으로 형성될 수 있다.
[0049] 도 3은 본원에서 설명되는 프로세스들을 실시하기 위해 사용될 수 있는 본 발명의 장치(300)의 개략적인 측면도이다. 프로세싱 챔버(300)는, 챔버 바디(302), 챔버 바디(302) 내부에 배치된 기판 지지부(304), 및 챔버 바디(302)에 커플링되고 프로세싱 볼륨(320)에 기판 지지부(304)를 인클로징(enclosing)하는 리드 어셈블리(306)를 특징으로 한다. 도어를 사용하여 프로세싱을 위해 통상적으로 밀봉될 수 있는 개구(326)를 통해 프로세싱 볼륨(320)에 기판들이 제공된다.
[0050] 전극(308)이 챔버 바디(302) 근처에 그리고 리드 어셈블리(306)의 다른 컴포넌트들로부터 챔버 바디(302)를 분리시키면서 배치될 수 있다. 전극(308)은 리드 어셈블리(306)의 부분일 수 있거나, 또는 별개의 측벽 전극일 수 있다. 전극(308)은 환형(annular) 또는 링-형(ring-like) 부재일 수 있고, 링 전극일 수 있다. 전극(308)은, 프로세싱 볼륨(320)을 둘러싸는, 프로세싱 챔버(300)의 둘레 주위의 연속적인 루프일 수 있거나, 또는 원하는 경우에, 선택된 위치들에서 불연속적일 수 있다. 전극(308)은 또한, 천공된(perforated) 링 또는 메시(mesh) 전극과 같은 천공된 전극일 수 있다. 전극(308)은 또한, 예컨대 이차(secondary) 가스 분배기와 같은 플레이트 전극일 수 있다.
[0051] 세라믹 또는 금속 산화물, 예컨대 알루미늄 산화물 및/또는 알루미늄 질화물과 같은 유전체 재료일 수 있는 아이솔레이터(isolator)(310)가 전극(308)과 접촉하고, 챔버 바디(302)로부터 그리고 가스 분배기(312)로부터 전극(308)을 전기적으로 그리고 열적으로 분리시킨다. 가스 분배기(312)는, 프로세싱 볼륨(320) 내로 프로세스 가스를 입장(admitting)시키기 위한 개구들(318)을 특징으로 한다. 가스 분배기(312)는, RF 생성기와 같은 전력의 소스(342)에 커플링될 수 있다. DC 전력, 펄스형(pulsed) DC 전력, 및 펄스형 RF 전력이 또한 사용될 수 있다.
[0052] 가스 분배기(312)는 전도성 가스 분배기 또는 비-전도성 가스 분배기일 수 있다. 가스 분배기(312)는 또한, 전도성 및 비-전도성 컴포넌트들로 제조될 수 있다. 예컨대, 가스 분배기(312)의 페이스 플레이트가 비-전도성인 반면에, 가스 분배기(312)의 바디는 전도성일 수 있다. 플라즈마 프로세싱 챔버에서, 가스 분배기(312)는 도 3에서 도시된 바와 같이 전력공급될(powered) 수 있거나, 또는 가스 분배기(312)는 접지에 커플링될 수 있다.
[0053] 전극(308)은, 프로세싱 챔버(300)의 접지 경로를 제어하는 튜닝 회로(328)에 커플링될 수 있다. 튜닝 회로(328)는, 가변 캐패시터일 수 있는 전자 제어기(334) 및 전자 센서(330)를 포함한다. 튜닝 회로(328)는, 하나 또는 그 초과의 인덕터들(332)을 포함하는 LLC 회로일 수 있다. 튜닝 회로(328)는, 프로세싱 동안에 프로세싱 볼륨(320)에 존재하는 플라즈마 조건들 하에서의 가변 또는 제어가능한 임피던스를 특징으로 하는 임의의 회로일 수 있다. 도 3의 실시예에서, 튜닝 회로(328)는, 전자 제어기(334)와 직렬로 접속된(in series with) 제 1 인덕터(332B), 및 전자 제어기(334)와 병렬로 접속된 제 2 인덕터(332A)를 특징으로 한다. 전자 센서(330)는 전압 또는 전류 센서일 수 있고, 프로세싱 볼륨(320) 내부의 플라즈마 조건들의 어느 정도의 폐루프 제어(a degree of closed-loop control)를 제공(afford)하기 위해 전자 제어기(334)에 커플링될 수 있다.
[0054] 제 2 전극(322)이 기판 지지부(304)에 커플링될 수 있다. 제 2 전극(322)은 기판 지지부(304) 내에 매립될(embedded) 수 있거나, 또는 기판 지지부(304)의 표면에 커플링될 수 있다. 제 2 전극(322)은, 플레이트, 천공된 플레이트, 메시, 와이어 스크린(wire screen), 또는 임의의 다른 분배된 배열일 수 있다. 제 2 전극(322)은 튜닝 전극일 수 있고, 기판 지지부(304)의 샤프트(344)에 배치된, 예컨대 50 Ω과 같은 선택된 저항을 갖는 케이블과 같은 도관(346)에 의해 제 2 튜닝 회로(336)에 커플링될 수 있다. 제 2 튜닝 회로(336)는, 제 2 가변 캐패시터일 수 있는 제 2 전자 제어기(340), 및 제 2 전자 센서(338)를 가질 수 있다. 제 2 전자 센서(338)는 전압 또는 전류 센서일 수 있고, 프로세싱 볼륨(320)에서의 플라즈마 조건들에 대한 추가적인 제어를 제공하기 위해 제 2 전자 제어기(340)에 커플링될 수 있다.
[0055] 바이어스 전극 및/또는 정전 척킹(electrostatic chucking) 전극일 수 있는 제 3 전극(324)이 기판 지지부(304)에 커플링될 수 있다. 제 3 전극은, 임피던스 정합 회로일 수 있는 필터(348)를 통해 전력의 제 2 소스(350)에 커플링될 수 있다. 전력의 제 2 소스(350)는, DC 전력, 펄스형 DC 전력, RF 전력, 펄스형 RF 전력, 또는 이들의 조합일 수 있다.
[0056] 도 3의 리드 어셈블리(306) 및 기판 지지부(304)는, 플라즈마 또는 열 프로세싱을 위한 임의의 프로세싱 챔버와 함께 사용될 수 있다. 리드 어셈블리(306) 및 기판 지지부(304)가 유익하게 사용될 수 있는 플라즈마 프로세싱 챔버의 일 예는, 캘리포니아, 산타 클라라에 위치된 Applied Materials, Inc.로부터 입수가능한 PRODUCER® 플랫폼 및 챔버들이다. 다른 제조자들로부터의 챔버들이 또한, 위에서 설명된 컴포넌트들과 함께 사용될 수 있다.
[0057] 동작 시에, 프로세싱 챔버(300)는, 프로세싱 볼륨(320)에서의 플라즈마 조건들의 실시간 제어를 제공한다. 기판 지지부(304) 상에 기판이 배치되고, 임의의 원하는 유동 계획(plan)에 따라, 유입구(314)를 사용하여, 리드 어셈블리(306)를 통해 프로세스 가스들이 유동된다. 가스들은 배출구(352)를 통해 챔버(300)에서 빠져나간다. 프로세싱 볼륨(320)에 플라즈마를 설정하기 위해, 가스 분배기(312)에 전력이 커플링된다. 원하는 경우에, 제 3 전극(324)을 사용하여, 기판에 전기 바이어스가 가해질 수 있다.
[0058] 프로세싱 볼륨(320)에서 플라즈마를 에너자이징할 시에, 제 1 전극(308)과 플라즈마 사이에 전위 차이가 설정된다. 제 2 전극(322)과 플라즈마 사이에 또한 전위 차이가 설정된다. 그 후에, 2개의 튜닝 회로들(328 및 336)에 의해 표현되는 접지 경로들의 유동 특성들을 조정하기 위해, 전자 제어기들(334 및 340)이 사용될 수 있다. 증착 레이트의 그리고 중앙에서 에지까지의 플라즈마 밀도 균일성의 독립적인 제어를 제공하기 위해, 제 1 튜닝 회로(328) 및 제 2 튜닝 회로(336)에 세트 포인트가 전달될 수 있다. 전자 제어기들이 양자 모두 가변 캐패시터들인 실시예들에서, 전자 센서들은, 독립적으로, 증착 레이트를 최대화하고, 두께 불균일성을 최소화하도록, 가변 캐패시터들을 조정할 수 있다.
[0059] 튜닝 회로들(328 및 336) 각각은, 각각의 전자 제어기들(334 및 340)을 사용하여 조정될 수 있는 가변 임피던스를 갖는다. 전자 제어기들(334 및 340)이 가변 캐패시터들인 경우에, 가변 캐패시터들 각각의 캐패시턴스 범위, 및 인덕터들(332A 및 332B)의 인덕턴스들은, 플라즈마의 주파수 및 전압 특성들에 따라, 각각의 가변 캐패시터의 캐패시턴스 범위에서 최소치를 갖는 임피던스 범위를 제공하도록 선택된다. 따라서, 전자 제어기(334)의 캐패시턴스가 최소치 또는 최대치에 있는 경우에, 회로(328)의 임피던스가 높게 되어, 기판 지지부에 걸친 최소의 면적 커버리지를 갖는 플라즈마 형상을 발생시킨다. 전자 제어기(334)의 캐패시턴스가, 회로(328)의 임피던스를 최소화하는 값에 접근하는 경우에, 플라즈마의 면적 커버리지가 최대로 커지게 되어, 사실상(effectively) 기판 지지부(304)의 전체 작업 영역을 커버링(covering)한다. 전자 제어기(334)의 캐패시턴스가 최소 임피던스 세팅으로부터 벗어남에 따라, 플라즈마 형상은 챔버 벽들로부터 줄어들고, 기판 지지부의 면적 커버리지가 축소(decline)된다. 전자 제어기(340)는, 전자 제어기(340)의 캐패시턴스가 변화됨에 따라 기판 지지부에 걸친 플라즈마의 면적 커버리지를 증가시키고 감소시키는 유사한 효과를 갖는다.
[0060] 전자 센서들(330 및 338)은, 각각의 회로들(328 및 336)을 폐루프로 튜닝(tune)하기 위해 사용될 수 있다. 사용되는 센서의 타입에 따라, 전류 또는 전압을 위한 세트 포인트가 각각의 센서에 인스톨될(installed) 수 있고, 센서에는, 세트 포인트로부터의 편차(deviation)를 최소화하기 위한 각각의 전자 제어기(334 및 340) 각각에 대한 조정을 결정하는 제어 소프트웨어가 제공될 수 있다. 이러한 방식으로, 플라즈마 형상이 선택될 수 있고, 프로세싱 동안에 동적으로 제어될 수 있다. 전술한 논의가, 가변 캐패시터들인 전자 제어기들(334 및 340)에 기초하지만, 조정가능한 임피던스를 갖는 튜닝 회로들(328 및 336)을 제공하기 위해, 조정가능한 특성을 갖는 임의의 전자 컴포넌트가 사용될 수 있다는 것이 유의되어야 한다.
[0061] 도 4는 본원에서 설명되는 프로세스들을 실시하기 위해 사용될 수 있는 다른 본 발명의 장치(400)의 개략적인 횡단면도이다. 도 4의 프로세싱 챔버(400)는, 도 3의 프로세싱 챔버(300)에 대해 많은 점들에서 유사하며, 2개의 도면들에서 동일한 엘리먼트들은 동일하게 넘버링된다(numbered). 프로세싱 챔버(400)는, 기판 지지부(304)에 커플링된 상이한 튜닝 회로(402)를 특징으로 한다. 튜닝 회로(402)는, 튜닝 회로(328)와 동일한 컴포넌트들, 즉, 전자 제어기(340), 전자 센서(338), 전자 제어기(340)와 직렬로 접속된 제 1 인덕터(404), 및 전자 제어기(340)와 병렬로 접속된 제 2 인덕터(406)를 갖는다.
[0062] 도 4의 튜닝 회로(402)는, 도 3의 튜닝 회로(336)와 유사한 방식으로 작동되며, 가변 컴포넌트(340)가 조정됨에 따라 상이한 임피던스 특성들을 갖는다. 튜닝 회로(402)의 임피던스는, 인덕터들(404 및 406)을 위해 선택된 인덕턴스들에 의존하는 방식으로, 튜닝 회로(336)의 임피던스와 상이할 것이다. 따라서, 기판 지지부에 적용되는 튜닝 회로의 특성들은, 플라즈마의 특성들과 관련하여 유용한 임피던스 범위를 발생시키는 캐패시턴스 범위를 갖는 가변 캐패시터를 선택하는 것에 의해서만이 아니라, 가변 캐패시터를 사용하여 이용가능한 임피던스 범위를 변경하기 위한 인덕터들을 선택하는 것에 의해서도 조정될 수 있다. 튜닝 회로(336)의 경우에서와 같이, 가변 캐패시터(340)는, 기판 지지부를 통하는, 접지로의 경로의 임피던스를 조정하여, 전극(322)의 전위를 변화시키고, 프로세싱 볼륨(320)에서의 플라즈마의 형상을 변화시킨다.
[0063] 도 5a는 본원에서 설명되는 프로세스들을 실시하기 위해 사용될 수 있는 다른 본 발명의 장치(500)의 개략적인 횡단면도이다. 프로세싱 챔버(500)는, 챔버 바디(502), 챔버 바디(502) 내부에 배치된 기판 지지부(504), 및 챔버 바디(502)에 커플링되고 프로세싱 볼륨(520)에 기판 지지부(504)를 인클로징하는 리드 어셈블리(506)를 특징으로 한다. 도어를 사용하여 프로세싱을 위해 통상적으로 밀봉될 수 있는 개구(526)를 통해 프로세싱 볼륨(520)에 기판들이 제공된다.
[0064] 리드 어셈블리(506)는, 챔버 바디(502) 근처에 배치되고 리드 어셈블리(506)의 다른 컴포넌트들로부터 챔버 바디(502)를 분리시키는 전극(508)을 포함한다. 전극(508)은 환형 또는 링-형 부재일 수 있고, 링 전극일 수 있다. 전극(508)은, 프로세싱 볼륨(520)을 둘러싸는 프로세싱 챔버(500)의 둘레 주위의 연속적인 루프일 수 있거나, 또는 원하는 경우에, 선택된 위치들에서 불연속적일 수 있다. 각각이 세라믹 또는 금속 산화물, 예컨대 알루미늄 산화물 및/또는 알루미늄 질화물과 같은 유전체 재료일 수 있는 아이솔레이터들(510 및 512)의 쌍이 전극(508)과 접촉하고, 챔버 바디(502)로부터 그리고 전도성 가스 분배기(514)로부터 전극(508)을 전기적으로 그리고 열적으로 분리시킨다.
[0065] 아이솔레이터(510)는 프로세싱 볼륨(520)의 프로세싱 환경에 노출되는 내부 아이솔레이터일 수 있는 한편, 아이솔레이터(512)는 프로세싱 볼륨(520)의 프로세싱 환경에 노출되지 않는 외부 아이솔레이터일 수 있다. 그러한 실시예에서, 내부 아이솔레이터는, 외부 아이솔레이터보다 더 높은 내열성(heat tolerance) 또는 열 안정성(heat stability)을 갖는 재료일 수 있다. 내부 아이솔레이터는, 내부 아이솔레이터에서의 열 응력들을 완화시키는 계면들이 함께 피팅되는 복수의 컴포넌트들을 포함할 수 있다. 예컨대, 3개의 세라믹 링들이 내부 아이솔레이터를 형성할 수 있다. 내부 아이솔레이터가 내열성인 경우에, 외부 아이솔레이터는 플라스틱과 같은 내열성이 더 낮은 재료일 수 있다. 아이솔레이터(510)가 프로세싱 환경에서의 안정성을 위해 제공된 내부 아이솔레이터인 경우에, 원하는 경우, 프로세싱 환경에 대한 배리어를 제공하기 위해, 아이솔레이터(510)는 아이솔레이터(512)의 하부 인스턴스(lower instance) 근처에서 하방으로 연장될 수 있다. 대안적으로, 아이솔레이터(512)의 하부 인스턴스는, 아이솔레이터(510)와 동일한 또는 유사한 재료의 아이솔레이터로 대체될 수 있다.
[0066] 전도성 가스 분배기(514)가 전도성 페이스 플레이트인 실시예에서, 전도성 페이스 플레이트는, 실질적으로 균일한 두께를 갖는 평탄하고 전도성인 플레이트-형 부재일 수 있고, 전도성 페이스 플레이트의 표면은 기판 지지부(504)의 상부 표면에 대해 실질적으로 평행할 수 있다. 전도성 페이스 플레이트는, 스테인리스 스틸 또는 알루미늄과 같은 금속일 수 있고, 몇몇 실시예들에서는, 알루미늄 산화물 또는 알루미늄 질화물과 같은 유전체 재료로 코팅될 수 있다.
[0067] 전도성 페이스 플레이트일 수 있는 전도성 가스 분배기(514)는, 가열기(516)와 열적으로 접촉하고, 물리적으로 접촉할 수 있다. 가열기(516)는, 가열 유체를 위한 도관과 같은 전도성 엘리먼트, 또는 열을 복사하도록 설계된 전기 전도체와 같은 저항성 엘리먼트일 수 있는 가열 엘리먼트(576)를 포함한다. 전도성 가스 분배기(514)는, 프로세싱 볼륨(520) 내로 프로세스 가스를 입장시키기 위한 개구들(518)을 특징으로 한다. 전도성 가스 분배기(514)의 에지 부분(580)은, RF 생성기와 같은 전력의 소스(542)에 대한 전도성 가스 분배기(514)의 커플링을 허용하도록, 프로세싱 챔버(500)의 측면을 따라 접근가능(accessible)하다. DC 전력, 펄스형 DC 전력, 및 펄스형 RF 전력이 또한 사용될 수 있다.
[0068] 제 1 구역화된 플레이트(552) 및 제 2 구역화된 플레이트(558)를 포함하는 구역화된 블로커(blocker) 플레이트가 전도성 가스 분배기(514)와 접촉하고, 리드 어셈블리(506)를 통하는 다수의 가스 경로들을 제공한다. 도 5a에서 도시된 실시예가 그러한 구역화된 블로커 플레이트의 하나의 구성의 예인 한편, 2개 초과의 구역화된 플레이트들을 갖는 구성들을 포함하는 구역화된 블로커 플레이트의 다른 구성들이 상상 가능하다. 제 1 구역화된 플레이트(552)는, 전도성 가스 분배기(514)의 개구들(518)과 유체 소통하는, 제 1 구역화된 플레이트(552)에서의 개구들(556)을 통한 프로세싱 볼륨(520)으로의 분배를 위해, 제 1 경로를 통해 프로세스 가스들을 순환시키기 위한 하나 또는 그 초과의 플레넘들(554)을 갖는다. 제 2 구역화된 플레이트(558)는 또한, 전도성 가스 분배기(514)의 개구들(518) 및 제 1 구역화된 플레이트(552)의 관통(pass-through) 개구들(562)과 유체 소통하는, 제 2 구역화된 플레이트에서의 개구들(578)을 통한 프로세싱 볼륨(520)으로의 분배를 위해, 제 2 경로를 통해 프로세스 가스들을 순환시키기 위한 하나 또는 그 초과의 플레넘들(560)을 갖는다.
[0069] 가스 캡(cap)(564)은, 제 2 구역화된 플레이트(558)와 접촉하여 배치되며, 제 1 구역화된 플레이트(552)에서의 플레넘들(554), 및 제 2 구역화된 플레이트(558)에서의 플레넘들(560)로 프로세스 가스들을 별개로 유동시키기 위한 포털(portal)들을 제공하여, 프로세스 가스들이, 프로세싱 볼륨(520)에 도달하기 전에 서로 접촉하지 않으면서 프로세싱 볼륨(520)으로 유동하게 허용한다. 가스 캡(564)은 또한, 원하는 경우에, 제 3 가스 경로를 통해 프로세싱 볼륨(520) 내로 프로세스 가스를 직접적으로 통과시키기 위해, 개구들(518) 중 하나와, 그리고, 제 1 구역화된 플레이트(552) 및 제 2 구역화된 플레이트(558)에서의 관통 개구(568)와 유체 소통하는 포털(566)을 특징으로 한다. 가스 캡(564)은 또한, 가스 캡(564)을 통해 유체를 순환시키기 위한 도관(570)을 특징으로 한다. 유체는 냉각 유체와 같은 열 제어 유체일 수 있다. 사용될 수 있는 냉각 유체의 예로서 물이 있지만, 다른 유체들, 액체, 및 고체가 또한 사용될 수 있다. 열 제어 유체는 유입구(572)를 통해 도관(570)에 제공되고, 배출구(574)를 통해 도관(570)으로부터 회수된다. 가스 캡(564)은, 전도성 가스 분배기(514)와, 그리고, 제 1 및 제 2 구역화된 플레이트들(552 및 558)과 열 소통(thermal communication)한다. 가열기(516) 및 열적으로 제어되는 가스 캡(564)은 함께, 에지에서 중앙까지의 그리고 기판 간의 온도 균일성을 허용하기 위해, 전도성 가스 분배기(514)에 대한 열 제어를 제공한다. 진공 소스(미도시)에 커플링될 수 있고, 챔버 바디를 따르는 임의의 편리한 위치에 위치될 수 있고, 원하는 경우에, 펌핑 플레넘과 연관될 수 있는 포털(578)을 통해, 프로세싱 볼륨(520)으로부터 가스들이 진공배기된다(evacuated).
[0070] 전극(508)은, 프로세싱 챔버(500)의 접지 경로를 제어하는 튜닝 회로(528)에 커플링될 수 있다. 튜닝 회로(528)는, 가변 캐패시터일 수 있는 전자 제어기(534) 및 전자 센서(530)를 포함한다. 튜닝 회로(528)는, 하나 또는 그 초과의 인덕터들(532)을 포함하는 LLC 회로일 수 있다. 전자 센서(530)는 전압 또는 전류 센서일 수 있고, 프로세싱 볼륨(520) 내부의 플라즈마 조건들의 어느 정도의 폐루프 제어를 제공하기 위해 전자 제어기(534)에 커플링될 수 있다.
[0071] 제 2 전극(522)은 기판 지지부(504)에 커플링될 수 있다. 제 2 전극(522)은 기판 지지부(504) 내에 매립될 수 있거나, 또는 기판 지지부(504)의 표면에 커플링될 수 있다. 제 2 전극(522)은, 플레이트, 천공된 플레이트, 메시, 와이어 스크린, 또는 임의의 다른 분배된 배열일 수 있다. 제 2 전극(522)은 튜닝 전극일 수 있고, 기판 지지부(504)의 샤프트(544)에 배치된, 예컨대 50 Ω과 같은 선택된 저항을 갖는 케이블과 같은 도관(546)에 의해 제 2 튜닝 회로(536)에 커플링될 수 있다. 제 2 튜닝 회로(536)는, 제 2 가변 캐패시터일 수 있는 제 2 전자 제어기(540) 및 제 2 전자 센서(538)를 가질 수 있다. 제 2 전자 센서(538)는 전압 또는 전류 센서일 수 있고, 프로세싱 볼륨(520)에서의 플라즈마 조건들에 대한 추가적인 제어를 제공하기 위해 제 2 전자 제어기(540)에 커플링될 수 있다.
[0072] 바이어스 전극일 수 있는 제 3 전극(524)이 기판 지지부(504)에 커플링될 수 있다. 제 3 전극은, 임피던스 정합 회로일 수 있는 필터(548) 및 전력의 제 2 소스(550)를 포함하는 바이어스 유닛(599)에 커플링될 수 있다. 전력의 제 2 소스(550)는, DC 전력, 펄스형 DC 전력, RF 전력, 펄스형 RF 전력, 또는 이들의 조합일 수 있다.
[0073] 도 5a의 리드 어셈블리(506) 및 기판 지지부(504)는, 플라즈마 또는 열 프로세싱을 위한 임의의 프로세싱 챔버와 함께 사용될 수 있다. 리드 어셈블리(506) 및 기판 지지부(504)가 유익하게 사용될 수 있는 플라즈마 프로세싱 챔버의 일 예는, 캘리포니아, 산타 클라라에 위치된 Applied Materials, Inc.로부터 입수가능한 PRODUCER® 플랫폼 및 챔버들이다. 다른 제조자들로부터의 챔버들이 또한, 위에서 설명된 컴포넌트들과 함께 사용될 수 있다.
[0074] 동작 시에, 프로세싱 챔버(500)는, 프로세싱 볼륨(520)에서의 플라즈마 조건들의, 그리고, 리드 어셈블리(506)에서의 온도의 실시간 제어를 제공한다. 기판 지지부(504) 상에 기판이 배치되고, 임의의 원하는 유동 계획에 따라, 리드 어셈블리(506)를 통해 프로세스 가스들이 유동된다. 온도 세트 포인트가 전도성 가스 분배기에 대해 설정될 수 있고, 도관(570)을 통하는 냉각 유체의 순환에 의해 그리고 가열기(516)의 동작에 의해 제어될 수 있다. 프로세싱 볼륨(520)에 플라즈마를 설정하기 위해, 전도성 가스 분배기(514)에 전력이 커플링될 수 있다. 전도성 가스 분배기(514)의 온도가 제어되기 때문에, 전도성 가스 분배기(514) 및 리드 어셈블리(506)의 다른 컴포넌트들의 가열을 통해 더 적은 전력이 소산되고(dissipated), 전도성 가스 분배기(514)의 온도는, 프로세싱 챔버(500)에서 제 1 기판이 프로세싱되는 것으로 시작되어, 중앙에서 에지까지 그리고 기판 간에 안정된다(stabilized). 원하는 경우에, 제 3 전극(524)을 사용하여, 기판에 전기 바이어스가 가해질 수 있다.
[0075] 프로세싱 볼륨(520)에서 플라즈마를 에너자이징할 시에, 제 1 전극(508)과 플라즈마 사이에 전위 차이가 설정된다. 제 2 전극(522)과 플라즈마 사이에 또한 전위 차이가 설정된다. 그 후에, 2개의 튜닝 회로들(528 및 536)에 의해 표현되는 접지 경로들의 유동 특성들을 조정하기 위해, 전자 제어기들(534 및 540)이 사용될 수 있다. 증착 레이트의 그리고 중앙에서 에지까지의 플라즈마 밀도 균일성의 독립적인 제어를 제공하기 위해, 제 1 튜닝 회로(528) 및 제 2 튜닝 회로(536)에 세트 포인트가 전달될 수 있다. 전자 제어기들이 양자 모두 가변 캐패시터들인 실시예들에서, 전자 센서들은, 독립적으로, 증착 레이트를 최대화하고, 두께 불균일성을 최소화하도록, 가변 캐패시터들을 조정할 수 있다. 플라즈마 프로세싱 챔버는, 제 1 또는 제 2 전극들 중 하나, 또는 제 1 및 제 2 전극들 양자 모두를 가질 수 있다. 마찬가지로, 플라즈마 프로세싱 챔버는, 제 1 튜닝 회로 또는 제 2 튜닝 회로 중 하나, 또는 제 1 및 제 2 튜닝 회로들 양자 모두를 가질 수 있다.
[0076] 튜닝 회로들(528 및 536) 각각은, 각각의 전자 제어기들(534 및 540)을 사용하여 조정될 수 있는 가변 임피던스를 갖는다. 전자 제어기들(534 및 540)이 가변 캐패시터들인 경우에, 가변 캐패시터들 각각의 캐패시턴스 범위, 및 인덕터들(532)의 인덕턴스들은, 플라즈마의 주파수 및 전압 특성들에 따라, 각각의 가변 캐패시터의 캐패시턴스 범위에서 최소치를 갖는 임피던스 범위를 제공하도록 선택된다. 따라서, 전자 제어기(534)의 캐패시턴스가 최소치 또는 최대치에 있는 경우에, 회로(528)의 임피던스가 높게 되어, 기판 지지부에 걸친 최소의 면적 커버리지를 갖는 플라즈마 형상을 발생시킨다. 전자 제어기(534)의 캐패시턴스가, 회로(528)의 임피던스를 최소화하는 값에 접근하는 경우에, 플라즈마의 면적 커버리지가 최대로 커지게 되어, 사실상(effectively) 기판 지지부(504)의 전체 작업 영역을 커버링(covering)한다. 전자 제어기(534)의 캐패시턴스가 최소 임피던스 세팅으로부터 벗어남에 따라, 플라즈마 형상은 챔버 벽들로부터 줄어들고, 기판 지지부의 면적 커버리지가 축소(decline)된다. 전자 제어기(540)는, 전자 제어기(540)의 캐패시턴스가 변화됨에 따라 기판 지지부에 걸친 플라즈마의 면적 커버리지를 증가시키고 감소시키는 유사한 효과를 갖는다.
[0077] 전자 센서들(530 및 538)은, 각각의 회로들(528 및 536)을 폐루프로 튜닝(tune)하기 위해 사용될 수 있다. 사용되는 센서의 타입에 따라, 전류 또는 전압을 위한 세트 포인트가 각각의 센서에 인스톨될 수 있고, 센서에는, 세트 포인트로부터의 편차를 최소화하기 위한 각각의 전자 제어기(534 및 540) 각각에 대한 조정을 결정하는 제어 소프트웨어가 제공될 수 있다. 이러한 방식으로, 플라즈마 형상이 선택될 수 있고, 프로세싱 동안에 동적으로 제어될 수 있다. 전술한 논의가, 가변 캐패시터들인 전자 제어기들(534 및 540)에 기초하지만, 조정가능한 임피던스를 갖는 튜닝 회로들(528 및 536)을 제공하기 위해, 조정가능한 특성을 갖는 임의의 전자 컴포넌트가 사용될 수 있다는 것이 유의되어야 한다.
[0078] 도 5a에서의 챔버(500)는 또한, 리드 어셈블리(506)에 배치된 광학 계측 디바이스(582)를 갖는다. 광학 계측 디바이스(582)는 전형적으로, 제 2 구역화된 플레이트(558)에 하우징되고(housed), 제 1 구역화된 플레이트(552)에 시팅(seat)된다. 원하는 경우에, 상이한 수의 플레이트들이 리드 어셈블리(506)에서 사용될 수 있다. 광학 계측 디바이스(582)는 전형적으로, 접근의 용이성을 위해, 가스 캡(564) 아래의 제 1 플레이트에 하우징된다. 광학 계측 디바이스(582)는, 제 1 구역화된 플레이트(552)를 통하는 개구(586)와 광학적으로 정렬된다. 개구(586)는, 전도성 가스 분배기(514)의 가스 유동 개구(518)와 정렬되고 광학적으로 정합(optical registration)된다. 전형적으로, 이러한 타입의 개구들은, 전도성 가스 분배기(514)와 광학 계측 디바이스(582) 사이의 모든 플레이트들을 통해 제공된다. 전도성 가스 분배기(514)의 개구들(518)은 가스 유동 균일성을 위해 사이징된다(sized). 광학 계측 디바이스(582)는, 개구(518)를 리사이징(resizing)하지 않으면서, 개구들(518) 중 하나를 통해 이동하도록 사이징된 광 빔을 생성한다. 광학 계측 디바이스(582)로부터 방출된 광은 개구들(584, 586, 및 518)을 통해 기판 지지부(504)를 향하여 이동한다. 기판 지지부(504) 상에 배치된 기판으로부터 광이 반사되고, 개구들(518, 586, 및 584)을 통해 광학 계측 디바이스(582)로 되돌아 간다. 광학 계측 디바이스(582)의 추가적인 세부사항들이 도 9a 내지 도 10c와 관련하여 아래에서 설명된다. 가스 캡(564)에서의 리세스는, 제 2 구역화된 플레이트(558) 위로 돌출된 광학 계측 디바이스(582)의 상부 부분을 수용한다.
[0079] 도 5b는 다른 실시예에 따른 프로세싱 챔버(590)의 개략적인 횡단면도이다. 도 5b의 프로세싱 챔버(590)는 도 5a의 프로세싱 챔버(500)에 대해 많은 점들에서 유사하며, 2개의 도면들에서 동일한 엘리먼트들은 동일하게 넘버링된다. 프로세싱 챔버(590)는, 기판 지지부(504)에 커플링된 상이한 튜닝 회로(592)를 특징으로 한다. 튜닝 회로(592)는, 튜닝 회로(528)와 동일한 컴포넌트들, 즉, 전자 제어기(540), 전자 센서(538), 전자 제어기(540)와 직렬로 접속된 제 1 인덕터(594), 및 전자 제어기(540)와 병렬로 접속된 제 2 인덕터(596)를 갖는다.
[0080] 튜닝 회로(592)는 도 5a의 튜닝 회로(536)와 유사한 방식으로 동작한다. 튜닝 회로(592)는, 회로의 전자 컴포넌트들, 전극(522), 및 플라즈마의 특성들에 의해 세팅된 범위를 갖는 가변 임피던스를 갖는다. 튜닝 회로(592)의 전자 컴포넌트들 중 적어도 하나는, 제어될 수 있는 가변 임피던스를 제공하도록 가변적이다. 튜닝 회로(592)의 임피던스를 변화시키는 것은, 프로세싱 볼륨(520)에서의 플라즈마의 밀도 프로파일을 제어한다.
[0081] 도 6은 다른 실시예에 따른 장치(600)의 개략적인 횡단면도이다. 장치(600)는 2개의 프로세스 챔버들(602A 및 602B)의 텐덤 유닛이다. 프로세스 챔버들(602A 및 602B) 각각은 챔버들(300, 400, 500, 및 590) 중 임의의 챔버일 수 있다. 전형적으로, 챔버들(602A 및 602B)은 실질적으로 동일하지만, 이들이 반드시 그래야할 필요는 없다. 도 6에서, 프로세스 챔버들(602A 및 602B)은 동일하고, 각각 도 5b의 챔버(590)와 유사하다. 챔버들(602A 및 602B) 각각은, 각각의 출구 포털(650A 및 650B)을 갖고, 각각의 출구 포털(650A 및 650B)은 이들의 각각의 기판 지지부 샤프트들(544A 및 544B) 주위에 배치된다. 챔버들(602A 및 602B)은, 공통 진공 소스(630)에 커플링된 공통 진공 라인(652)을 통해 진공배기된다. 장치(600)는, 챔버들(602A 및 602B)의 리드들 상의 각각의 가스 매니폴드들(606A 및 606B)에 프로세스 가스들을 전달하는 가스 전달 시스템(604)을 포함한다. 가스 전달 시스템(604)은, 공통 유동 제어 디바이스(612)에 의해서 공통 전달 도관(610)을 통해 가스 매니폴드들(606A 및 606B)에 커플링된 적어도 하나의 가스 소스(608)를 포함한다. 가스 전달 시스템은 또한, 개별적인 전달 도관(618)을 통해 개별적인 유동 제어 디바이스들(616A 및 616B)에 의해 가스 매니폴드들에 커플링된 적어도 하나의 가스 소스(614)를 포함한다. 공통으로 제어되는 유동 및 개별적으로 제어되는 유동들로의 가스 유동들의 분리는, 각각의 챔버로의 주변 가스들의 공통 유동 제어를 유지하면서, 원하는 경우에, 각각의 챔버로의 프로세스 가스 유동들의 더 정밀한(closer) 제어를 허용한다.
[0082] 개별적인 제어 디바이스들(616A 및 616B), 공통 제어 디바이스(612), 각각의 챔버의 가열기(516), 각각의 튜닝 회로들의 임피던스를 제어하는, 튜닝 회로들의 전자 센서들(530 및 538), 각각의 챔버의 광학 계측 디바이스들(582), 및 각각의 챔버를 위한 바이어스 생성 회로들(599)을 포함하는, 장치(600)의 다양한 제어 피쳐들에 제어기(620)가 커플링된다. 제어기(620)는, 광학 계측 디바이스들(582)을 사용하여 증착의 진행을 모니터링하고, 가스 유동들, 플라즈마 밀도 프로파일들, 및 전도성 가스 분배기들 각각의 온도를 조정하여, 각각의 증착에 대한 원하는 균일성을 달성한다.
[0083] 도 7은 다른 실시예에 따른 장치(700)의 개략적인 상면도이다. 장치(700)는 프로세싱 챔버들의 집합이며, 프로세싱 챔버들 모두는, 로드-락 어셈블리(704) 및 이송 챔버(708)에 커플링된, 도 5a의 프로세싱 챔버(500)의 실시예들일 수 있다. 도 5b의 프로세싱 챔버(590), 도 3의 프로세싱 챔버(300), 및 도 4의 프로세싱 챔버(400)가 또한 사용될 수 있다. 프로세싱 챔버들(500)은 일반적으로, 도 6의 텐덤 유닛(600)과 같은 텐덤 유닛들(702)로 그룹화되고, 텐덤 유닛들(702) 각각은 프로세스 가스들의 단일 공급부(712)를 갖는다. 도 6을 수반하는 설명에서 기재된 바와 같이, 프로세스 가스들의 유동은, 장치(700)에서의 텐덤 유닛(702)의 2개의 챔버들에 대해 공통으로 제어될 수 있고, 그리고/또는 텐덤 유닛(702)의 각각의 챔버에 대해 개별적으로 제어될 수 있다. 텐덤 유닛들(702)은 이송 챔버(708) 주위에 위치되고, 이송 챔버(708)는 전형적으로, 기판들을 다루기 위한 로봇(710)을 갖는다. 로드-락 어셈블리(704)는, 또한 텐덤 배열된 2개의 로드-락 챔버들(706)을 특징으로 할 수 있다. 장치(700)는 일반적으로, 높은 처리량을 갖는 생산 환경에서, 본원에서 설명되는 방법들을 실시하는 것에 대해 적합하다. 본원에서 설명된 챔버 실시예들, 즉, 장치(300, 400, 500, 또는 590) 중 임의의 것이 임의의 조합으로 장치(700)에서 사용될 수 있다는 것이 유의되어야 한다.
[0084] 도 8a는, 기판 지지부(802) 상에 배치된 기판의 온도 프로파일을 제어하기 위해, 본원에서 개시된 다른 장치 및 방법들과 함께 사용될 수 있는, 다중-구역 가열기를 갖는 기판 지지부(802)의 개략적인 단면도이다. 기판 지지부(802)는 매립된 열전대(804)를 갖는다. 기판 지지부(802)의 실시예는 핫 프레스 신터링(hot press sintering) 프로세스를 사용하여 제조될 수 있으며, 핫 프레스 신터링에서, 파우더(powder) 형태의 AlN이 몰드(mold) 내로 가압될 수 있고 가열될 수 있다. 예시적인 실시예에서, 기판 지지부(802)는, AlN의 제 1 층을 형성하기 위해 몰드 내로 AlN 파우더를 적층(layering)하고, AlN의 제 1 층 위에, 저항성 가열 엘리먼트일 수 있는 제 1 가열 엘리먼트(814)를 위치시키고, 제 1 가열 엘리먼트(814) 위에 AlN 파우더의 제 2 층을 증착하고, AlN 파우더의 제 2 층 상에 제 2 가열 엘리먼트(816)를 위치시키고, 제 2 가열 엘리먼트(816) 위에 AlN 파우더의 제 3 층을 부가하고, AlN의 제 3 층 상에 열전대(804)를 위치시키며, 그 후에, 열전대(804) 위에 AlN 파우더의 제 4 층을 증착함으로써, 형성될 수 있다. 이러한 절차는, 도 8a에서 도시된 것에 대하여 반전된 포지션(position)으로 기판 지지부(802)를 형성한다는 것을 유의한다.
[0085] 위에서 설명된 전극들이 포함되는 경우에, 위에서 설명된 바와 같은 적층 프로세스는, 가열 엘리먼트들(814 및 816) 및 열전대(804) 전에, 또는 후에, 바이어스 전극 및 튜닝 전극을 제공하도록 확장될 수 있다. AlN 파우더의 층들, 가열 엘리먼트들(814 및 816), 열전대(804), 및 임의의 원하는 전극들이 적소에 놓이면, 신터링을 유발하도록, 구조에 (당업계에 알려져 있는 바와 같은) 높은 압력 및 높은 온도가 가해질 수 있다. 결과적으로, 도 8a에서 도시된 바와 같은 고체(solid) 기판 지지부(802)가 형성된다. 위의 예는 2 구역 기판 지지부를 형성하기 위한 단계들을 설명하였다는 것을 유의한다. 다른 실시예들에서, 3, 4, 5, 및 6, 또는 그 초과 구역 기판 지지부들이, 적절한 대응하는 적층 단계들 및 부가적인 가열 엘리먼트들 및 열전대들을 이용하여 제조될 수 있다.
[0086] 몇몇 실시예들에서, 열전대(804)는, 제 1 재료의 길이방향 피스(piece)(806), 및 제 2 재료의 길이방향 피스(808)를 포함할 수 있다. 제 1 재료 및 제 2 재료는 전형적으로, 위에서 설명된 제조 프로세스 동안에 손상을 피하기에 충분히 높은 용해점, 작은 온도 변화들에 대응하는 전압 신호를 생성하기에 충분한, 제벡 계수(Seebeck coefficient)들에서의 차이, 및 열전대(804) 또는 기판 지지부(802)가 온도 사이클들 동안에 열 응력들에 의해 손상되지 않도록 하는, 기판 지지부 재료의 열 팽창 계수와 유사한 열 팽창 계수를 갖는다.
[0087] 제 1 재료(806) 및 제 2 재료(808)는, 바(bar)들, 와이어들, 스트립(strip)들, 또는 양자 모두가 기판 지지부(802)의 중앙으로부터 기판 지지부(802)의 외측 가열 구역까지 방사상으로 연장될 수 있고, 또한, 신뢰가능한 전기 연결들의 형성을 허용하기에 충분한, 양 단부들에서의 표면 면적을 가질 수 있는 임의의 다른 실시가능한 형상으로 형상화될 수 있다. 길이방향 피스들(806 및 808)의 접합(junction) 단부(810)에서, 길이방향 피스들(806 및 808)은 함께 용접될 수 있고, 그리고/또는, 그렇지 않으면, 전도성 충전제(filler) 재료를 사용하여 연결될 수 있다.
[0088] 열전대 접합(810)이 용접에 의해 형성되는 실시예들에서, 접합(810)이 신터링 프로세스 동안에 가해지는 열을 견디고(tolerate) 온전하게 유지되게 허용하는 용접 방법이 선택되어야 한다. 예컨대, 신터링 동안에 용해되지 않을 용접된 접합들을 형성하기 위하여, 텅스텐 비활성 가스(TIG) 용접 또는 유사한 기법들이, W5Re 및 W26Re 길이방향 피스들(806 및 808)에, W5Re, W26Re, 또는 다른 전도성 재료들의 피스를 용접하기 위해 사용될 수 있다.
[0089] 따라서, 몇몇 실시예들에서, 열전대 접합(810)을 형성하는 방법은, 길이방향 피스들(806 및 808)로서 기능하는 W5Re 및 W26Re 스트립들 사이에 충전제 재료를 개재(sandwich)시키는 것이다. 충전제 재료는, W5Re 또는 W26Re보다 더 높지 않은 저항률을 갖는 금속일 수 있고, 신터링 온도들을 초과하는 용해점을 가질 수 있다. 길이방향 피스들(806 및 808)로서 사용되는 W5Re 및 W26Re 스트립들과 함께 사용하기 위한 적합한 충전제 재료들의 예들은, W5Re, W26Re, 텅스텐(W), 몰리브덴(Mo), 및 유사한 재료들을 포함한다. 몇몇 실시예들에서, W5Re 및 W26Re 길이방향 피스들(806 및 808)에 충전제 재료를 본딩하기 위해, 핫 프레스 신터링 프로세스가 사용될 수 있다.
[0090] 절연성 재료가 길이방향 피스들(806 및 808) 사이의 공간(812)에 삽입될 수 있거나, 또는 AlN 파우더가 피스들(806 및 808) 사이의 공간(812) 내로 들어가게 강제될(forced) 수 있다. 열전대 피스들(806 및 808)을 서로로부터 절연시키기 위해 AlN이 사용되는 경우에, 적어도 0.5 mm의 두께의 AlN이면 일반적으로 충분하다. 부가적인 두께가 사용될 수 있다. 도 8a에서 도시된 길이방향 피스들(806 및 808)은 하나 위에 다른 하나가 놓이게 배치되지만, 다른 실시예에서, 길이방향 피스들(806 및 808)은 서로에 대해 측방향으로 이격될 수 있고, 따라서, 기판 지지부(802) 내의 동일한 수직 위치에 배치될 수 있다는 것을 유의한다. 그러한 배열은, 제조 동안에 피스들(806 및 808) 사이의 공간(812) 내로 절연성 AlN 파우더를 증착하는 것을 용이하게 할 수 있다.
[0091] 도 8b는, 부가적인 피쳐들을 갖는 다중-구역 기판 지지부(800)의 개략적인 단면도이다. 도 8a의 기판 지지부(802)를 신터링한 후에, 기판 지지부(802)의 하부 표면(824)의 중앙에 홀들(818 및 820)이 개방된다. 홀들(818 및 820)은 길이방향 피스들(806 및 808)을 노출시키도록 연장된다. 기판 지지부(802)에 홀을 개방시키는 임의의 실시가능한 방법(예컨대, 드릴링)이 사용될 수 있다. 홀들(818 및 820)은, 커넥터들(예컨대, 전도성 와이어들)이 길이방향 피스들(806 및 808)에 연결되게 허용하기에 충분한 직경으로 제조된다. 몇몇 실시예들에서, 길이방향 피스들(806 및 808)을 위해 사용된 동일한 재료들이 각각 커넥터들을 위해 사용될 수 있다. 몇몇 실시예들에서, 커넥터들은 길이방향 피스들(806 및 808)과 상이한 재료이다. 그러한 경우들에서, 측정되는 온도는, 기판 지지부(802)의 중앙에서의 커넥터 연결 포인트들과 열전대 접합(810) 위치 사이의 온도 차이에 기초할 것이다. 듀얼-구역 지지부에 있어서, 커넥터 연결 포인트들은, 기판 지지부(802)의 중앙에 배치되고 내측 구역의 온도를 측정하기 위해 사용되는 통상적인 열전대(826) 근처에 있다. 연결 포인트들의 온도가 내측 구역의 온도와 동일하다고 가정하면, 열전대 접합(810) 위치에서의 온도가 계산될 수 있다.
[0092] 몇몇 실시예들에서, 커넥터들은 길이방향 피스들(806 및 808)에 브레이징되거나(brazed), 용접되거나, 또는 솔더링된다(soldered). 브레이징 프로세스는, 재료들의 산화를 피하기 위해 무산소 환경에서 수행될 수 있다. 부가하여, 내측 가열 구역을 위해 기판 지지부(802) 내로 통상적인 열전대(826)를 삽입하기 위해, 홀(824)이 개방될 수 있다. 도시되지는 않았지만, 가열 엘리먼트들(814 및 816)에 대한 커넥터들을 위한 부가적인 홀들이 또한 개방될 수 있고, 엘리먼트들(814 및 816)에 대한 연결들이 이루어질 수 있다는 것을 유의한다.
[0093] 다음으로, 기판 지지부(802)의 하부 표면(828)의 중앙에 샤프트(822)가 부착될 수 있다. 몇몇 실시예들에서, 길이방향 피스들(806 및 808)에 대한 커넥터들, 통상적인 열전대(826)에 대한 커넥터, 및 가열 엘리먼트들(814 및 816)에 대한 커넥터들을 하우징하는 샤프트(822)는, 다양한 커넥터들이 각각의 열전대들(826 및 804) 및 가열 엘리먼트들(814 및 816)에 부착되기 전에, 기판 지지부(802)에 부착될 수 있다.
[0094] 도 8c는, 프로세싱 챔버(502)와 같은 프로세싱 챔버에 배치된 도 8b의 다중-구역 기판 지지부(800)를 도시한다. 가열 엘리먼트들(814 및 816) 및 열전대들(826 및 804)로부터의 커넥터들은, 열전대들(826 및 804)로부터의 신호들의 수신 및 기록 양자 모두를 행하고 가열 엘리먼트들(814 및 816)에 전류를 인가하도록 적응된 적절한 회로 및 프로세서를 포함할 수 있는 제어기(832)에 커플링된다. 도 8b의 다중-구역 지지부(800)는, 챔버들(300, 400, 500, 및/또는 590) 중 임의의 챔버에 배치될 수 있고, 위에서 언급된 바와 같이, 또한, 튜닝 전극들 및 바이어스 전극들을 포함할 수 있다.
[0095] 도 9a는 일 실시예에 따른 광학 계측 디바이스(582)의 중앙을 통하는 개략적인 횡단면도이다. 도 9b는, 도 9a의 광학 계측 디바이스(582)의 중앙을 통하는 클로즈업(close-up) 횡단면도이다. 도시된 바와 같이, 계측 디바이스(582)는, 제 2 구역화된 플레이트(558)에서의 개구(940) 내에 놓이는 콜리메이터(912)를 포함한다. 도 9a의 실시예에서, 계측 디바이스(582)는, 제 1 구역화된 플레이트(552)와 제 2 구역화된 플레이트(558) 사이에 배치된 선택적인 시팅 플레이트(942) 내로 연장된다. 시팅 플레이트(942)는 또한, 구역화된 플레이트일 수 있거나, 또는 시팅 플레이트(942)는 단지, 제 1 구역화된 플레이트(552)와 제 2 구역화된 플레이트(558) 사이에서 가스 유동들을 통과시킬 수 있다. 제 1 구역화된 플레이트(552)는 그 내부에 배치된 윈도우(902)를 갖고, 윈도우(902)는, 콜리메이터(912) 내부의 광학 엘리먼트들을 손상시킬 수 있는, 콜리메이터(912) 내로의 프로세스 가스들의 유동을 방지하기 위한 것이다. 윈도우(902)는, 콜리메이터(912)로부터 제 1 구역화된 플레이트(552)를 통해 광을 입장시킬 수 있는 임의의 재료, 예컨대 임의의 적절한 조성의 글래스, 사파이어, 또는 석영일 수 있다.
[0096] 개구(940) 및 콜리메이터(912)는 함께, 제 1 갭(934) 및 제 2 갭(936)을 정의한다. 제 1 갭(934)은 콜리메이터(912)의 제 1 부분(944)을 둘러싸고, 제 2 갭(936)은 콜리메이터(912)의 제 2 부분(946)을 둘러싼다. 콜리메이터(912)의 제 1 부분(944)은 원통형 형상을 가질 수 이는 한편, 제 2 부분(946)은 박스 형상을 가질 수 있다. 제 1 갭(934)은, 아래에서 더 상세히 설명되는 바와 같은, 콜리메이터(912)의 틸팅 또는 회전 모션(motion)을 수용하도록, 제 2 갭(936)보다 더 클 수 있다.
[0097] 윈도우(902)는, 윈도우 홀더(904)에 의해 시팅 플레이트(942) 내의 레지(ledge)(914) 상에 홀딩된다. 윈도우(902)는 프로세싱 동안에 기판에 대하여 각도를 이루면서 배치된다. 동작 동안에, 크세논 램프로부터의 광과 같은, 전형적으로 광역 스펙트럼 광인 광이, 광 소스(미도시)로부터 섬유 다발(fiber bundle)(미도시)을 통해 콜리메이터(912)로 제공된다. 광은, 개구들(586 및 518)과 광을 정렬시키고 광을 실질적으로 개구들(586 및 518)의 직경 내의 직경으로 압축(compress)시키는 옵틱들(optics)을 포함하는 콜리메이터(912)를 통과한다. 그 후에, 광은, 윈도우(902)를 통해, 시팅 플레이트(942)에 형성된 개구(950)를 통해, 개구(586)를 통해, 그리고 전도성 가스 분배기(514)를 통해 형성된 개구(518)를 통해 통과하여, 기판을 조명한다. 그 후에, 광은, 기판으로부터 개구들(518, 586, 및 950)을 통해 콜리메이터(912)로 반사된다. 윈도우(902)는, 콜리메이터(912)로부터 떠나는 광의 콜리메이터(912) 내로의 직접적인 반사를 방지하도록 각도를 이루면서 배치된다. 윈도우(902)의 각도 형성(angling)은 선택적이고, 따라서, 각도는, 약 0° 내지 약 25°, 예컨대 약 1° 내지 약 10°, 예를 들어 약 3°의 임의의 각도일 수 있다. 기판으로부터 반사된 광은, 콜리메이터로부터 섬유 다발(미도시)을 통해 분광계(spectrometer) 또는 다른 스펙트럼 광 분석기(예컨대, 포토다이오드, 포토다이오드 어레이, 또는 CCD 어레이)로 통과된다.
[0098] 위에서 설명된 구조를 사용하여, 기판 프로세싱 동안에 계측이 수행될 수 있다. 콜리메이터(912)로부터의 (그리고 기판으로부터 반사된) 광이 개구들(518)을 통과하는 동안, 개구들(518)을 통해 가스가 유동하고 있을 수 있고, 기판이 프로세싱될 수 있다. 개구들(518)은, 전도성 가스 분배기(514)를 통하는 가스 유동 균일성을 위해 사이징되고, 따라서, 전형적으로, 인시튜 계측을 위해 사용되지 않는, 전도성 가스 분배기(514)의 개구들(518)과 치수가 유사하다. 일 실시예에서, 개구(518)는 0.0028"의 직경을 갖는다.
[0099] 광은, 광학 섬유 다발을 형성하는 복수의 광학 섬유들(932)을 갖는 도관(930)을 통해 콜리메이터(912)에 진입한다. 콜리메이터(912)는, 광학 섬유 다발(932)로부터의 광을 콜리메이터(912)에서의 개구(948)로 재지향(redirect)시키는, 미러들 및 렌즈들과 같은 옵틱들(미도시)을 특징으로 한다. 옵틱들은, 콜리메이터(912)로부터 개구(948)를 통해 나오는 광을 개구들(950, 586, 및 518)과 정렬시키고, 개구들(950, 586, 및 518)의 최소 치수를 통해 피팅되도록 광을 형상화하거나 또는 포커싱(focus)한다. 광은, 기판의 2개의 직경들에 의해 형성된 평면에 대해 수직인 경로를 따라 지향되고, 그에 따라, 광은 기판 표면에 대해 실질적으로 수직이게 된다. 옵틱들은 또한, 기판 표면으로부터 반사된 광이 또한, 섬유 다발(932)을 통해 스펙트럼 광 분석기로 나가는 통로를 위해, 실질적으로 개구들(518, 586, 및 950)을 통해 콜리메이터(912) 내로 통과하도록, 광을 형상화한다.
[0100] 도 6 및 도 7의 실시예들에서와 같이, 단일 광대역 소스로부터 다수의 챔버들로 광을 전달하기 위해, 광학 섬유 다발이 사용될 수 있다. 상이한 챔버들에서 측정 광이 가능한 한 유사하고 균일하도록, 단일 광 소스가 광학 섬유 다발 내로 광을 전달할 수 있고, 다발로부터의 몇몇 섬유들은 각각의 챔버로 라우팅된다(routed). 그에 의해, 측정 에러 또는 변동성(variability)의 잠재적인 소스가 최소화된다. 하나의 경우에서, 하나의 광 소스에 의해 7개의 섬유들의 다발이 조명되고, 3개의 섬유들이 2개의 상이한 프로세싱 챔버들 각각 상의 3개의 콜리메이터들로 라우팅된다. 시간에 걸친 광 소스의 변화가 보상될 수 있도록, 레퍼런스(reference)를 제공하기 위해, 7번째 섬유는 분광계로 직접적으로 라우팅된다. 이러한 방식으로, 단일 광 소스는, 2개의 상이한 챔버들에서의 웨이퍼들 상의 다수의 위치들의 측정을 용이하게 하여, 2개의 챔버들에서 동시에 진행되는 증착 프로세스를 모니터링하는 능력을 제공한다. 그러한 능력은, 2개의 챔버들에서 동시에 수행되고 있는 프로세스들 사이의 변화가 최소화되도록, 2개의 챔버들의 제어를 허용한다.
[0101] 기판으로 지향되는 광은 편광되지 않을 수 있고(unpolarized), 약 200 nm 내지 약 800 nm의 파장 범위에 있을 수 있다. 개구를 통해 가스가 유동하고 있는 동안 광이 가스 분배기의 가스 유동 개구를 통해 이동하고, 예컨대 직경이 약 0.1 mm 내지 약 5 mm, 예컨대 직경이 약 2 mm인 임의의 편리한 사이즈일 수 있는, 웨이퍼 상의 스폿을 조명하도록, 섬유는 부분적으로 광을 콜리메이팅(collimate)한다.
[0102] 본원에서 설명되는 장치 중 임의의 장치를 사용하여 수행되는 증착 프로세스 동안에 플라즈마가 형성될 수 있다. 플라즈마로부터의 광은, 본원에서 설명되는 인시튜 모니터링 장치에 커플링된 분광계에 의해 검출될 수 있고, 반사측정(reflectometry) 결과들을 불명료하게 할 수 있다. 그러한 효과들은, 측정 광 소스를 활성화하기 전에, 플라즈마로부터의 광의 스펙트럼을 취함으로써 보상될 수 있다. 그 후에, 반사된 측정 광으로부터 수용된 스펙트럼으로부터 플라즈마 스펙트럼이 감해질 수 있다. 분광계와 통합된 또는 분광계에 커플링된 컴퓨터 또는 제어기는, 정정된 반사 스펙트럼을 산출하기 위해, 검출된 반사 스펙트럼으로부터, 검출된 플라즈마 스펙트럼을 감할 수 있다. 그 후에, 정정된 반사 스펙트럼은, 본원에서 설명되는 알고리즘들을 수행하기 위해 사용될 수 있다. 원하는 경우에, 플래시(flash) 간의 플라즈마 스펙트럼에서의 드리프트(drift)들을 보상하기 위해, 측정 광 소스의 각각의 플래시 전에, 플라즈마 스펙트럼이 수집될 수 있다.
[0103] 프로세싱 동안에, 제 1 구역화된 플레이트(552), 제 2 구역화된 플레이트(558), 전도성 가스 분배기(514), 및 선택적인 시팅 플레이트(942)와 같은 다양한 챔버 컴포넌트들은, 열 팽창 및 수축(contraction)을 경험할 수 있다. 따라서, 콜리메이터(912)는, 챔버 내에 적절하게 배치되지 않는 한, 개구들(586 및 518)과 쉽게 오정렬될 수 있다. 개구들(586 및 518)에 대한 콜리메이터(912)의 적절한 정렬을 보장하기 위해, 콜리메이터(912)는, 예컨대 절단된 원뿔형(frustoconical) 연장부와 같은, 경사진 측벽(908)을 갖는 테이퍼링된(tapered) 연장부(926)를 갖고, 테이퍼링된 연장부(926)는, 시팅 플레이트(942)에 형성된, 대응하여 경사진 벽(906)을 갖는, 테이퍼링된 보어(bore)일 수 있는 리세스(922)와 맞물린다(engage). 선택적인 시팅 플레이트(942)를 갖지 않는 실시예에서, 리세스는 제 1 구역화된 플레이트(552)에 형성될 수 있다. 제 2 구역화된 플레이트(558)가 또한, 연장부(926)를 수용하기 위해, 경사진 벽(910)을 가질 수 있다. 벽들(908, 910) 및 테이퍼링된 연장부(926)는, 시팅 플레이트(942)의 상단 표면(920)에 대하여 각도 "α"로 각도를 이룰 수 있다. 콜리메이터(912)는 시팅 플레이트(942)의 리세스(922) 내로 연장되고, 따라서, 열 팽창/수축으로 인해 시팅 플레이트(942)가 측방향으로 이동하는 경우에, 콜리메이터(912)가 대응하여 이동하고, 따라서, 개구들(586 및 518)과 적절하게 정렬된 상태로 유지된다. 각도 "α"는, 리세스(922)로부터 콜리메이터(912)를 이탈(unseat)시키는 축방향력(axial force)을 생성하지 않으면서, 시팅 플레이트(942)에서의 열 팽창의 측방향력(lateral force)이, 콜리메이터(912)의 연장부(926)에 효과적으로 전달되게 하는 임의의 각도일 수 있다. 전형적으로, 각도 "α"는, 약 100° 내지 약 145°, 예컨대 120°이다.
[0104] 전도성 가스 분배기(514) 및 제 1 구역화된 플레이트(552)는 전형적으로, 제 2 구역화된 플레이트보다 더 높은 온도들에 노출된다. 전형적인 동작에서, 전도성 가스 분배기(514)는, 약 300 ℃ 내지 약 600 ℃의 온도들로 가열될 수 있는 한편, 제 2 구역화된 플레이트(558)는, 전도성 가스 분배기(514)보다 50 ℃ 내지 100 ℃ 더 낮은 온도들을 경험(see)할 수 있다. 제 1 구역화된 플레이트(552) 및 전도성 가스 분배기(514)가 알루미늄인 실시예에서, 전도성 가스 분배기(514)와 제 1 구역화된 플레이트(552) 사이의 팽창 차이(differential expansion)는 10 nm 내지 100 nm일 수 있고, 제 2 구역화된 플레이트(558)와 전도성 가스 분배기(514) 사이의 팽창 차이는 200 nm 내지 500 nm일 수 있어, 개구(940)와 콜리메이터(912) 사이의 정렬이 약간 변화될 수 있다.
[0105] 제 1 개구(934) 및 제 2 개구(936)는, 제 2 구역화된 플레이트(558)와 접촉하지 않으면서, 시팅 플레이트(942)가 이동함에 따라, 콜리메이터(912)가 측방향으로 이동할 수 있도록 사이징된다. 전형적인 실시예에서, 콜리메이터(912)는, 열 사이클들이 시팅 플레이트(942)의 팽창 및 수축을 야기함에 따라, 약 0.03 인치까지의, 예컨대 약 0.02 인치의 거리만큼 측방향으로 이동할 수 있다. 제 1 및 제 2 갭들(934 및 936)은 전형적으로, 제 2 구역화된 플레이트(558)와 콜리메이터(912) 사이의 접촉 없이, 이러한 모션을 수용하도록 사이징된다. 제 1 갭(934)은, 약 0.15 인치까지의, 예컨대 약 0.04 인치 내지 약 0.12 인치, 예를 들어 약 0.10 인치의 치수를 가질 수 있다. 제 2 갭(936)은, 약 0.15 인치까지의, 예컨대 약 0.04 인치 내지 약 0.12 인치의, 제 1 갭(934)과 동일한 범위에 있는 치수를 가질 수 있다. 제 2 갭(936)은 제 1 갭(934)보다 더 작을 수 있거나 또는 더 클 수 있다. 도 9a 및 도 9b의 실시예에서, 제 2 갭(936)은, 약 0.08 인치의 예시적인 치수를 가지면서, 제 1 갭(934)보다 더 작다.
[0106] 콜리메이터(912)의 테이퍼링된 연장부(926)는, 리세스(922)와 정합되는 에지(952)를 갖는다. 에지(952)는 전형적으로, 콜리메이터(912)가 리세스(922)를 따라 이동할 때, 입자를 생성하기 위한 기회를 감소시키도록, 면취되거나(chamfered) 또는 둥글게 된다. 둥글게 된 에지들(952)은, 계면들에서의 마찰력들로 인한 입자 형성을 감소시키는, 벽들(908 및 910)과 콜리메이터(912) 사이의 슬립(slip) 표면을 제공한다. 둥글게 된 에지들의 곡률의 반경(radius of curvature)은 전형적으로, 0.1" 미만, 예컨대 약 0.05" 내지 약 0.09", 예를 들어 약 0.07"이다.
[0107] 이러한 방식으로 배열되어, 도 9a 및 도 9b의 광학 계측 디바이스(582)는, 프로세싱 동안에 기판의 인시튜 광학 분석을 수행할 수 있다. 콜리메이터로부터의 광은, 프로세스 가스들이 개구(518)를 통해 챔버 내로 유동하는 동안, 개구(518)를 통과한다. 프로세스 가스들이 유동하여 통과하는 개구(518)는, 계측을 위해 요구되는 사이즈를 고려하지 않으면서, 샤워헤드를 통하는 가스 유동의 균일성을 최적화하도록 사이징될 수 있고, 계측 디바이스는, 가스 유동 균일성이 방해되지 않도록, 결과적인 개구 사이즈에 대해 피팅될 수 있다.
[0108] 도 10a 및 도 10c는, 제 2 구역화된 플레이트(558)에 콜리메이터(912)를 탑재(mount)하기 위해 사용되는 메커니즘의 개략적인 등각도들이다. 도 10b는, 탑재 메커니즘을 통하는 콜리메이터(912)의 횡단면도이다. 도 10a에서 도시된 바와 같이, 볼트들과 같은 4개의 파스너들(1002)이 콜리메이터(912)를 통해 연장되고, 제 2 구역화된 플레이트(558)에 해제가능하게(releasably) 고정된다. 일 실시예에서, 파스너들(1002)은 볼트들을 포함할 수 있다. 열 팽창이 콜리메이터(912)를 이동하게 하는 경우에 콜리메이터(912)가 화살표들 "A"로 도시된 방향으로 이동하면서도, 제 2 구역화된 플레이트(558)에 부착된 상태로 유지될 수 있도록, 콜리메이터(912)와 각각의 파스너(1002)의 헤드 사이에, 스프링들일 수 있는 탄성 부재들(1004)이 커플링된다. 탄성 부재들(1004)은, 열 응력 하에서의 시팅 플레이트(942)의 측방향 이동이 콜리메이터(912)의 측방향 이동을 야기하도록, 콜리메이터(912)가 시팅 플레이트(942) 내의 리세스(922)에 시팅된 상태로 유지되게 강제한다. 도관(1006)은, 광학 섬유들의 다발(1008)을 하우징하고, 포털(1010)에서 콜리메이터(912)에 커플링된다. 광학 섬유 다발(1008)은, 기판 상으로의 투영(projecting)을 위해, 광 생성기(미도시)로부터 콜리메이터(912)로 광을 운반하고, 기판으로부터 반사된 광을 콜리메이터(912)를 통해 광 분석기(미도시)로 리턴한다.
[0109] 도 10b는, 콜리메이터(912)의 일 측 상의 파스너(1002)를 통해 도시된, 콜리메이터(912)의 횡단면 투시도를 도시한다. 도 10b의 횡단면은 도 9a 및 도 9b의 횡단면에 대해 평행하지만, 원근법으로(in perspective) 도시된다. 파스너들(1002)은 제 2 구역화된 플레이트(558)에 시팅되고, 탄성 부재들(1004)은, 콜리메이터를 시팅된 상태로 유지하는 경향이 있는 축방향력을 콜리메이터(912) 상에 제공하도록, 레지(1022)에서 콜리메이터(912)와 접촉한다. 파스너들(1002)은 콜리메이터(912)에서의 개구들(1024)을 통과한다. 각각의 파스너(1002)와 그 각각의 파스너(1002)의 각각의 개구(1024) 사이의 갭(1026)은, 콜리메이터(912)가 제 2 구역화된 플레이트(558)에 대하여 그리고 파스너(1002)에 대하여 측방향으로 이동하게 허용한다. 갭(1026)은, 파스너들(1002)과 콜리메이터(912) 사이의 접촉 없이 측방향 이동을 수용하도록 사이징되고, 전형적으로, 약 0.15 인치까지의, 예컨대 약 0.04 인치 내지 약 0.12 인치, 예를 들어 약 0.10 인치의 치수를 갖는다.
[0110] 볼 베어링들(1012)이 제공되며, 각각의 볼 베어링(1012)은, 제 2 구역화된 플레이트(558)의 수용 표면(1018)과 인터페이싱(interface)하는 표면(1016)에서 콜리메이터(912)에 형성된 소켓(1014)에 시팅된다. 각각의 볼 베어링(1012)은, 제 2 구역화된 플레이트(558)와 콜리메이터 사이의 마찰을 최소화하면서 콜리메이터(912)가 측방향으로 이동하게 허용하도록, 그 각각의 볼 베어링(1012)의 각각의 소켓(1014) 내에서 회전한다. 소켓들(1014)은, 볼 베어링의 부드러운(smooth) 회전을 허용하면서 소켓(1014) 내에 볼 베어링(1012)을 보유하도록, 볼 베어링(1012)을 향하여 테이퍼링하여 표면(1016)과 각도 "β"를 형성하는 테이퍼링된 부분(1020)을 갖는 측벽들을 갖는다. 각도 "β"는, 약 40° 내지 약 80°, 예컨대 약 50° 내지 약 70°, 예를 들어 약 60°일 수 있다.
[0111] 동작 동안에, 제 1 구역화된 플레이트(552), 시팅 플레이트(942), 전도성 가스 분배기(514), 및 제 2 구역화된 플레이트(558)는 모두, 실질적으로 동일한 전위로 RF 전력을 이용하여 전기적으로 바이어싱된다. 따라서, 콜리메이터(912)가 제 2 구역화된 플레이트(558)와 실질적으로 동일한 전위로 유지되는 것을 보장하도록, 제 2 구역화된 플레이트(558)에 콜리메이터(912)를 전기적으로 연결시키기 위해, RF 스트랩(1022)이 사용된다.
[0112] 본원에서 설명되는 인시튜 계측 실시예들은, 층 두께에 의존하는 굴절 효과(refractive effect)들에 의해 층 두께를 결정하기 위해 사용될 수 있다. 인시튜 계측 디바이스로부터의 광은 기판을 조명하여, 층들을 투과(penetrating)하고, 프레넬 방정식들에 따라, 층들 사이의 계면들로부터 상이하게(differentially) 반사된다. 반사된 광은, 다른 층들로부터 반사된 광과의 그리고 입사 광과의 간섭 패턴을 생성한다. 간섭 패턴은 층들의 조성 및 두께에 의해 규정된다(governed). 하나의 층이 성장됨에 따라, 간섭 패턴은, 예측가능한 방식으로, 성장하는 층의 두께에 따라 변화되고, 그에 따라, 엔드 포인트가 검출될 수 있다. 층의 성장 동안에 리졸빙된(resolved) 최종 간섭 패턴은, 후속 층들의 증착 동안에, 후속 층들이 형성됨에 따른 패턴에서의 변화를 관측하기 위해 사용되는 시그니처(signature) 패턴이 된다.
[0113] 블로커 플레이트와 콜리메이터 사이의 경사진 계면을 활용함으로써, 블로커 플레이트가 열적으로 팽창/수축되는 경우에도, 콜리메이터는, 가스 분배기를 통해 형성된 가스 통로와 실질적으로 정렬된 상태로 유지될 수 있다. 콜리메이터는, 환형 베이스 플레이트 및 블로커 플레이트 내에 배치됨으로써, 가스 통로가 사용되고 있는 (즉, 가스 통로를 통해 프로세싱/세정 가스들이 유동하고 있는) 경우에도, 가스 통로를 통해, 광을 지향시키고, 반사된 광을 수용한다. 따라서, 프로세스 균일성에 부정적으로 영향을 미치지 않으면서, 계측이 수행될 수 있다. 통로를 통하는 가스 유동을 허용하지 않는, 계측을 위한 통로를 제공하는 것은 프로세스 불균일성을 초래할 수 있는데, 이는, 통로가 기판의 부분 위에 있을 것이고, 통로를 통하는 가스 유동의 부재로 인해, 통로 근처의 가스 유동이 불균일하게 될 것이기 때문이다.
[0114] 본원에서 설명되는 실시예들은, 하나의 인시튜 계측 디바이스 또는 구성을 특징으로 한다. 몇몇 실시예들은, 실시간으로, 기판 표면 상의 복수의 위치들에서의 막 형성을 모니터링하기 위해, 다수의 인시튜 계측 디바이스들을 특징으로 할 수 있다는 것이 유의되어야 한다. 도 11은, 인시튜 계측의 사용을 예시하는, 도 5a 및 도 5b의 전도성 가스 분배기(514)의 저면도이다. 가스 유동에 부가하여, 인시튜 광학 계측을 위해 사용되는 개구들을 나타내는 3개의 개구들(1102)이 도 11의 실시예에서 둘러싸여 있다(encircled). 임의의 원하는 패턴에 따라 분석 위치들이 분배될 수 있다. 도 11의 실시예에서, 3개의 인시튜 계측 디바이스들이, 분배된 배열로 제공된다. 3개의 인시튜 계측 디바이스들은 기판 지지부와의 동심원을 따라 위치될 수 있고, 즉, 3개의 디바이스들은, 기판 지지부 상에 위치된 기판의 중앙으로부터 실질적으로 동일한 거리에 있는 3개의 위치들을 모니터링하도록 위치될 수 있다. 대안적으로, 3개의 인시튜 계측 디바이스들은 중앙으로부터 상이한 거리들에 위치될 수 있다. 다수의 모니터링 디바이스들은, 하나의 챔버에서 복수의 층들 또는 하나의 층을 증착하면서, 증착 레이트 및 균일성의 폐루프 제어를 달성하는데, 그리고 기판 표면의 상이한 위치들에서, 증착 균일성, 두께 및 조성 양자 모두를 모니터링하는데 유용할 수 있다.
[0115] 도 9a 내지 도 10c와 관련하여 위에서 설명된 광학 계측 디바이스는, 기판 상의 층들의 구조를 나타내는 광을 생성한다. 알려진 입사 스펙트럼의 광이 기판에 대해 수직으로 지향되고, 기판 표면으로부터 반사된다. 광의 일부는 기판 상에 형성된 층들을 통해 투과하고, 층 계면들로부터 반사되어, 입사 스펙트럼과 상이한 반사된 스펙트럼을 생성한다. 층의 증착 동안에, 증착되는 층의 두께가 변화됨에 따라, 반사되는 스펙트럼이 변화된다. 입사 스펙트럼과 그리고 동일한 층에 대한 이전의 반사된 스펙트럼들과 반사된 스펙트럼을 비교하는 것은, 증착된 층의 두께의 정확한 결정을 가능하게 한다. 그러한 측정들은, 층 두께의 균일성을 결정하고, 균일성을 제어하기 위한 정정 액션들을 가능하게 하기 위해, 기판 상의 다수의 위치들에서 행해질 수 있다. 그러한 측정들은 또한, 증착이 중단될 수 있도록, 층 두께가 타겟 값에 도달할 때를 검출하기 위해 사용될 수 있다.
[0116] 광의 파장과 유사한 두께를 갖는 층의 2개의 표면들로부터 반사된 입사 광은, 입사 광에 대하여 위상 시프트(phase shift)를 나타낼 것이다. 위상 시프트는, 막 두께에 관련되고, 반사된 광과 입사 광 사이의 특성 간섭 패턴을 생성한다. 따라서, 반사된 광은, 층의 두께에 의존하는 스펙트럼 세기를 갖는다. 아래의(subjacent) 층 또는 재료의 광학 특성들이 알려져 있는 경우에, 광의 단일 펄스 또는 플래시가 상단 층으로부터 반사될 수 있고, 프레넬 방정식들을 사용한, 반사된 광과 입사 광의 비교는 상단 층의 두께를 결정한다.
[0117] 아래의 층의 광학 특성들이 알려져 있지 않은 경우에, 상단 층의 두께에 따라 반사율이 어떻게 변화되는지를 결정하기 위해, 상단 층의 증착 동안에, 광의 다수의 플래시들 또는 펄스들이 사용될 수 있다. 각각의 광 펄스 시에, 층 두께를 연산하기 위해, 증착 레이트 및 시간이 사용될 수 있다. 반사율은 전형적으로, 층 두께의 사인 함수(sinusoidal function)로서 변화한다. 아래의 층의 반사율을 연산하기 위해, 곡선(curve)이 반사율 데이터에 대해 피팅될 수 있다. 아래의 층의 광학 특성들 주위의 수렴(convergence)을 증가시키기 위해, 광역 스펙트럼 광 소스 및 스펙트럼 광 분석기가 사용되는 경우에, 다수의 파장들에서 동일한 비교가 수행될 수 있다. 아래의 층의 반사율은 상단 층의 반사율의 함수로서 표현될 수 있고, 아래의 층의 광학 특성들은 프레넬 방정식들을 사용하여 연산될 수 있다.
[0118] 아래의 층이 패터닝된 경우에, 아래의 층으로부터 반사된 광은, 제곱 평균 제곱근(root-mean-square) 관계로 총 반사된 세기에 기여하는 편광된 컴포넌트들을 가질 수 있다. 기판으로부터 반사된 광의 각각의 파장은 다른 파장들과 무관한 반사된 세기를 가질 것이고, 반사된 광의 각각의 파장은, 아래의 층 및 상단 층의 광학 특성들에 따라 거동할 것이다. 따라서, 아래의 층 반사율의 폴라 컴포넌트(polar component)들, 및 이들 컴포넌트들을 발생시키는(give rise to), 아래의 층의 광학 특성들에 피팅(fit)하기 위해, 연산된 상단 층 두께 및 알려진 상단 층 광학 특성들을 사용하여, 다수의 반사된 파장들의 스펙트럼 분석이 수행될 수 있다.
[0119] 아래의 층의 광학 특성들이 결정되면, 기판 반사율의 완전한 모델이 구성될 수 있고, 증착된 층의 두께는, 프레넬 방정식들을 사용하여, 반사된 광의 단일 펄스로부터 알려질 수 있다. 다수의 층들이 증착되는 경우에, 광의 단일 펄스를 사용하여, 아래의 층의 알려진 광학 특성들, 및 증착되고 있는 층의 알려진 광학 특성들로부터, 각각의 층의 반사율이 연산될 수 있다. 대안적으로, 아래의 층의 광학 특성들은, 위에서 설명된 바와 같이, 증착이 진행됨에 따라 다수의 펄스들을 분석함으로써, 재구성될 수 있다.
[0120] 아래의 층의 광학 특성들이 알려져 있는 경우에, 반사율 데이터(R(t,λ))의 세트로부터, 다음의 방정식에 따라, 일반적인 사인 곡선(sinusoid)을 데이터에 대해 피팅시킴으로써, 상단 층의 두께가 연산될 수 있다.
Figure pat00001
그 후에, 위상 시프트와 층 두께 사이의 알려진 관계를 사용하여, 위상 시프트 파라미터(φ(λ))로부터, 층 두께가 연산될 수 있다.
Figure pat00002
대안적으로, 증착 레이트를 연산하기 위해, 주기(T(λ))가 사용될 수 있다.
Figure pat00003
반사율 데이터의 주기 및 위상은 파장에 의존하고, 따라서, 데이터의 다수의 파장들은 두께 및/또는 증착 레이트에 수렴(converge)하기 위해 사용될 수 있다.
[0121] 증착되고 있는 층의 반사율은 또한, 다음과 같이, 프레넬 방정식들의 변화를 사용하여, 아래의 층의 반사율에 관련될 수 있다.
Figure pat00004
여기에서, Rj는 층 "j"의 반사율이고, Rj-1은, 아래의 층인 층 "j-1"의 반사율이며, 다른 파라미터들이 다음과 같이 정의된다.
Figure pat00005
이러한 방식으로 아래의 층 및 상단 층의 반사율을 관련시키는 것은, 상단 층의 알려진 광학 특성들 및 증착 레이트, 및 관측된 상단 층 반사율로부터, 아래의 층 광학 특성들의 계산을 가능하게 한다.
기판의 특성들이 알려져 있지 않은 경우에, 예컨대, 기판 상에 증착되고 있는 제 1 층의 두께를 결정하기 위해 시도하는 경우, 위의 방정식들이 직접적으로 적용되지 않을 수 있다. 그러나, 제 1 층의 증착 동안에 수집된 시계열 데이터가, 기판 파라미터들로 퇴행(regress)하기 위해 사용될 수 있다. 제 1 층의 증착 동안에 성장하는 막으로부터 기인하는, 임의의 파장에서의 위상 시프트가 기판과 무관하기 때문에, 임의의 패턴을 갖는 임의의 아래놓인 기판에 대한 막의 증착 레이트, 및 4개의 기판 파라미터들(기판에 의해 반사된 s 및 p 편광들의 진폭 및 위상)을 구하기 위해, 위의 막 모델을 사용하여 시계열 데이터가 동적으로 피팅될 수 있다. 제 1 층이 기판 특성들에 수렴하기에 충분한 데이터를 제공할 정도로 충분히 두껍지 않은 경우에, 제 2 층의 증착 동안에, 추가적인 시계열 데이터가 수집될 수 있다.
[0122] 다음은, 컴퓨터 기반 프로세싱 체계(scheme)를 사용하는, 이러한 일반적인 접근법의 예시적인 구현의 설명이다.
1. 입력 데이터:
[0123] 실험 반사율 데이터(R(λ,t))는 L개의 파장들(λ) 및 M개의 시점들(t)로 구성되어, M개의 시점들에서의 기판으로부터의 L개의 파장들의 반사율을 표현한다.
Figure pat00006
컴퓨터 메모리에서, 반사율(R)은, 다음에 따라, 1-차원 어레이로서 순차적으로 저장된다.
Figure pat00007
2. 데이터의 모델링:
[0124] 기판 상에 형성된 구조들의 편광 효과들을 고려하기 위해 편광을 포함하는, 광의 반사 및 굴절 특성들을 규정하는 프레넬 방정식들로부터 유도된 다음의 공식들에 의해 설명되는 모델에 의해, 실험 데이터가 피팅된다.
Figure pat00008
Figure pat00009
여기에서, w는 단일 상수이고(λ 또는 t의 함수가 아님), n1 및 k1은 파장-종속 상수들이다.
Figure pat00010
이들 공식들은, 위에서 일반적으로 설명된 바와 같이, 아래의 층 및 상단 층의 반사율이 관련되는 접근법을 활용한다.
3. 피팅 파라미터들:
[0125] 위의 방정식들에서, DR,1은 단일 변수이고(λ 또는 t의 함수가 아님),
Figure pat00011
,
Figure pat00012
,
Figure pat00013
,
Figure pat00014
는 파장-종속 변수들이다.
Figure pat00015
위의 변수들은, 다음의 차이 방정식에 의해 주어지는, 모델(f(λ,t))과 실험 데이터(R(λ,t)) 사이의 차이의 제곱을 최소화하기 위해 조정될 수 있는 피팅 파라미터들이다.
Figure pat00016
피팅 파라미터들의 총 수(N)는, DR,1에 대해, 파장들의 수(L) 곱하기 4 더하기 1과 동등하며, 즉,
Figure pat00017
4. 최소화 알고리즘:
[0126]
Figure pat00018
의 최소화는, 다음의 근사치(approximation)를 제공하기 위해 피팅 파라미터의 현재의 근사치(Pk)(k = 1, 2,..., N)에 부가되는 증분 값들(δPk)에 대하여, 선형 방정식들의 세트에 대한 솔루션(solution)을 발견하기 위해, 반복적인 LM(Levenberg-Marquardt) 알고리즘의 구현을 활용한다.
Figure pat00019
(
Figure pat00020
는 매트릭스 형태임)
엘리먼트들(Ajk 및 Bj)은 다음과 같이 정의된다.
Figure pat00021
편도함수(partial derivative)는 차이 공식:
Figure pat00022
에 의해 근사화된다(approximated).
여기에서, hj는 각각의 피팅 파라미터(Pj)에 대한 미리-정의된 증분 값이다.
그 후에, LM 알고리즘은 다음과 같이 약술될(outlined) 수 있다.
a. 피팅 파라미터들(P)에 대한 초기 추측 값(guess value)들의 세트로 시작된다.
b.
Figure pat00023
를 계산한다.
c.
Figure pat00024
에 대한 시작 값을 선택한다(예컨대,
Figure pat00025
= 0.001)
d. 가우스-조던 소거 알고리즘(Gauss-Jordan elimination algorithm)을 사용하여, δP에 대해 선형 방정식들(10)을 푼다.
e.
Figure pat00026
를 계산한다.
f.
Figure pat00027
인 경우에,
Figure pat00028
를 10배로 증가시키고, 단계(d)로 되돌아 간다.
g.
Figure pat00029
인 경우에,
Figure pat00030
를 10배로 감소시키고, 피팅 파라미터들(
Figure pat00031
)을 업데이트한다.
h. 중지 기준들 중 임의의 하나가 충족될 때까지 단계들(d 내지 h)을 반복한다.
Figure pat00032
5. 의사 코드(Pseudo Code):
[0127] 다음은, 최고레벨 컴퓨터 프로그래밍 언어들에서 발견되는 일반적인 함수 명세부(generic function specification)들을 특징으로 하는 컴퓨터 코드-형 언어를 사용하는, 위의 알고리즘의 설명이다.
Figure pat00033
Figure pat00034
6. 프로파일러(profiler) 데이터:
[0128] 위에서 설명된 알고리즘을 수행하도록 프로그래밍된 적합하게 구성된 컴퓨터는, 58개의 파장들로 세팅된 실험 데이터에 대해 모델을 피팅시키는 경우에, 표 1에서의 CPU 시간의 분배를 나타내는 것으로 예측된다.
58개의 파장들에 대한 프로파일러 데이터
# 함수 % CPU 시간
1 TargetFunction 90
2 GaussJordan 8
7. 다중-단계 실행: [0129] 위에서 설명된 알고리즘은, 모델의 정확한 피팅에 효율적으로 수렴하기 위해 데이터의 서브세트들을 반복적으로 사용하여 수행될 수 있다. 일 예에서, 실험 데이터는 L = 60개의 파장들로 구성될 수 있다. 그러한 예에서, 알고리즘은 다수회 인보크될(invoked) 수 있고, 각각은, 다음과 같이, 상이한 수의 피팅 파라미터들을 갖는다.
1. 특정 미리-정의된 시간 간격(예컨대, M = 100)이 경과된 후에, 제 1 런(run)은 제한된 수의 파장들(예컨대, L = 15)만을 수반하고, 그에 따라, DR,1을 포함하여, 피팅 파라미터들의 수 N = 15 x 4 + 1 = 61 이다.
2. 제 2 단계는, 단계 1에 포함되지 않은 파장들의 나머지에 대해, 피팅 파라미터들(
Figure pat00035
,
Figure pat00036
,
Figure pat00037
,
Figure pat00038
)을 계산한다. 그러나, 이 단계에서, DR,1은 고정되고, 이는, 피팅 파라미터들이 독립적으로 각각의 파장에 대해 구해질 수 있다는 것을 의미한다. 피팅 파라미터들의 수(N)는 4이고, 나머지 45개의 파장 세트들은 병렬로 연산될 수 있다.
3. 다른 미리-정의된 시간 간격(예컨대, 100 < M < 200)까지의 후속 시간-단계들에서의 실험 데이터에 대해, 단일 피팅 파라미터(DR,2)(≠DR,1)만이 존재하고, 연산은 한번에 하나의 시간-단계를 진행하여, 매회 새로운 DR,2를 구한다. 이러한 단계는 또한, n2(λ) 및 k2(λ) 상수들의 새로운 세트, 및 r2(λ) 및 β2(λ,t)에 대한 새로운 연산들을 요구한다.
4. 단계 3은, 시간의 미리-정의된 블록들에서 새로운 데이터에 대해 순차적으로 다수회 반복될 수 있다.
전술한 단계들은 도 12의 흐름도에서 요약된다.
5. 구현의 제 2 선택은, 다수의 시간 간격들(블록들)에서의 데이터에 대해 단계 1의 연산을 동시에 러닝(run)한다. 그러나, 모든 데이터가 수집될 때까지 대기할 필요는 없고; 제 1 시간 블록 내에서 특정 미리-정의된 시간 간격(예컨대, M = 100)이 경과된 후에 연산이 시작될 수 있고, 피팅 파라미터들은, 새로운 데이터가 도달됨에 따라 지속적으로 업데이트된다. 시간 블록들에 걸친 n(λ) 및 k(λ) 상수들에서의 변화 때문에, 시간 블록들 2 및 그 초과에서의 데이터는, 예컨대 다음과 같이, 모델 함수들(f(λ,t), FS(λ,t), 및 Fp(λ,t))의 네스티드 포뮬레이션(nested formulation)을 요구한다.
Figure pat00039
이러한 단계에 수반되는 시간 블록들의 수는 미리-결정될 수 있으며, 예컨대, 3이다.
6. 단계 5가 완료된 후에, 계산은, 위의 단계들(2 내지 4)에서와 같이 계속된다.
이러한 프로세스는 도 13의 흐름도에서 요약된다.
[0130] 다음과 같이, 본원에서의 장치 중 임의의 장치를 사용하여, 예시적인 실리콘 산화물 층이 형성될 수 있다. 본원에서 설명되는 피쳐들을 갖는 프로세싱 챔버의 기판 지지부 상에 기판이 배치된다. 증발기(vaporizer)를 통해 1,000 mgm으로 TEOS를 유동시키고, 5,000 sccm의 헬륨 및 6,000 sccm의 N2O와 혼합함으로써, 전구체 가스 혼합물이 형성된다. 가스 혼합물은 프로세싱 챔버 내로 유동된다. 구역화된 샤워헤드가 사용되는 경우에, TEOS가 하나의 구역을 통해 유동될 수 있는 한편, N2O는 다른 구역을 통해 유동된다는 것이 유의되어야 한다. 헬륨 유동은 2개의 부분들로 분할될 수 있고, 제 1 부분은 제 1 구역 내로 증발된 TEOS를 운반하거나 또는 희석시키기 위해 사용되고, 제 2 부분은 제 2 구역 내로 N20를 운반하거나 또는 희석시키기 위해 사용된다.
[0131] 프로세싱 챔버에서의 압력은 4.0 Torr로 세팅되고, 기판과 챔버의 페이스 플레이트 사이의 간격은 400 mils로 세팅된다. 페이스 플레이트 온도는 200 ℃로 세팅된다. 측벽 튜닝 전극 전류 타겟은 6 A로 세팅되고, 기판 지지부 튜닝 전극 전류 타겟은 1 A로 세팅된다. 기판 온도는 500 ℃로 세팅되고, 기판 지지부의 온도 구역들 사이의 오프셋은 5 ℃로 세팅되고, 외측 구역이 내측 구역보다 더 높은 온도에 있다.
[0132] 플라즈마를 형성하기 위해, 전구체 가스에 전력이 커플링된다. 13.56 MHz의 주파수에서의 고주파수 RF 전력이 500 W의 전력으로 인가되고, 300 kHz의 주파수에서의 저주파수 RF 전력이 100 W의 전력으로 인가된다. 전형적으로 200 Å 내지 2,000 Å인 원하는 두께를 갖는 층을 증착하기 위해, 원하는 시간 동안, 조건들이 지속된다. 증착된 실리콘 산화물 층은, 약 1 %를 초과하지 않는 표준 편차를 갖는 두께를 갖는다. 따라서, 증착된 층의 두께 균일성은 약 1 %보다 더 나쁘지 않다.
[0133] 본원에서 설명되는 장치를 사용하여, 본원에서 설명되는 프로세스들의 다른 실시예에 의해, 전구체로서 실란을 사용하여, 실리콘 산화물 층이 형성될 수 있다. 실란은 100 sccm으로 유동되고, 헬륨은 3,000 sccm으로 유동되고, N2O는 6,000 sccm으로 유동된다. 간격은 300 mils이고, 압력은 3 Torr이고, 고주파수 전력은 400 W이고, 저주파수 전력은 100 W이고, 페이스 플레이트 온도는 200 ℃이고, 기판 온도는 500 ℃이고, 온도 구역 오프셋은 5 ℃이고(내측보다 외측이 높음), 측벽 튜닝 전극 전류 타겟은 1 A이고, 기판 지지부 튜닝 전극 전류 타겟은 3 A이다. 실리콘 산화물 층은, 약 1 %보다 더 나쁘지 않은 두께 균일성으로 형성된다.
[0134] 본원에서 설명되는 장치를 사용하여, 본원에서 설명되는 프로세스들의 다른 실시예에 의해, 실리콘 질화물 층이 형성될 수 있다. 실란은 30 sccm으로 유동되고, 질소 가스는 3,000 sccm으로 유동되고, 암모니아 가스는 6,000 sccm으로 유동되고, 아르곤은 1,000 sccm으로 유동된다. 간격은 700 mils이고, 압력은 3 Torr이고, 고주파수 전력은 600 W이고, 저주파수 전력은 200 W이고, 페이스 플레이트 온도는 200 ℃이고, 기판 온도는 500 ℃이고, 온도 구역 오프셋은 5 ℃이고(내측보다 외측이 높음), 측벽 튜닝 전극 전류 타겟은 7 A이고, 기판 지지부 튜닝 전극 전류 타겟은 1 A이다. 실리콘 질화물 층은, 약 1 %보다 더 나쁘지 않은 두께 균일성으로 형성된다.
[0135] 본원에서 설명되는 장치를 사용하여, 본원에서 설명되는 프로세스들의 다른 실시예에 의해, 실리콘 질화물 층이 형성될 수 있다. 실란은 150 sccm으로 유동되고, 질소 가스는 6,000 sccm으로 유동되고, 암모니아 가스는 1,000 sccm으로 유동된다. 간격은 700 mils이고, 압력은 4 Torr이고, 고주파수 전력은 600 W이고, 저주파수 전력은 200 W이고, 페이스 플레이트 온도는 200 ℃이고, 기판 온도는 500 ℃이고, 온도 구역 오프셋은 5 ℃이고(내측보다 외측이 높음), 측벽 튜닝 전극 전류 타겟은 6 A이고, 기판 지지부 튜닝 전극 전류 타겟은 1 A이다. 실리콘 질화물 층은, 약 1 %보다 더 나쁘지 않은 두께 균일성으로 형성된다.
[0136] 본원에서 설명되는 장치를 사용하여, 본원에서 설명되는 프로세스들의 다른 실시예에 의해, 도핑된 비정질 실리콘 층이 형성될 수 있다. 실란은 500 sccm으로 유동되고, 헬륨은 10,000 sccm으로 유동되고, 헬륨에 95 %의 농도로 희석된 도펀트 전구체, 예컨대 TMB, 보란(borane), 및/또는 포스핀은 500 sccm으로 유동된다. 간격은 300 mils이고, 압력은 10 Torr이고, 고주파수 전력은 300 W이고, 저주파수 전력은 인가되지 않고, 페이스 플레이트 온도는 175 ℃이고, 기판 온도는 500 ℃이고, 온도 구역 오프셋은 0 ℃이고, 측벽 튜닝 전극 전류 타겟은 6 A이고, 기판 지지부 튜닝 전극 전류 타겟은 3 A이다. 도핑된 비정질 실리콘 층은, 약 1 %보다 더 나쁘지 않은 두께 균일성으로 형성된다.
[0137] 위에서 설명된 층들과 같은 층들의 스택들은, 레시피 간에(from one recipe to the next) 챔버 조건들을 조정함으로써, 순차적으로 제조될 수 있다. 샤프한(sharp) 계면들을 생성하기 위해, 원하는 경우에, 레시피들 사이에서, 가스 유동들이 차단될 수 있고, 챔버가 퍼징될(purged) 수 있다. 대안적으로, 단계적인(graded) 계면들을 제조하기 위해 증착이 지속되면서, 레시피 간에 챔버 조건들이 램핑될(ramped) 수 있다.
[0138] 위에서 설명된 인시튜 모니터링 알고리즘은, 예컨대, 실리콘 산화물과 폴리실리콘의 교번하는 층, 또는 실리콘 질화물과 실리콘 산화물의 교번하는 스택과 같은 스택에서의 각각의 층의 두께를 모니터링하기 위해 사용될 수 있다. 각각의 경우에서, 스택의 시작에서 층들이 증착될 때, 층들이 증착됨에 따라 아래의 층 반사율에서의 변화들을 추적(track)하기 위해, 아래의 재료의 반사율을 활용하는 알고리즘들이 구현된다.
[0139] 더 짧은 파장들에서의 폴리실리콘의 고도의 흡수 성질로 인해, 폴리실리콘 층들을 포함하는 층 스택들은 간략화된 접근법을 따를 수 있다는 것이 발견되었다. 교번하는 폴리실리콘과 실리콘 산화물 층들의 스택을 형성하는 경우에, 예컨대, 약 600 nm 미만의 파장들에서의 아래의 층 반사율은 표면 아래의 3개의 층들까지 관측가능한 효과를 갖지만, 그 너머로는 그렇지 않다. 그러한 구조에서, 약 600 nm 미만의 파장들에서 층들의 제 4 쌍 후에 동일한 스택으로부터 취해진 스펙트럼을 오버레잉(overlay)하기 위해, 10개의 교번하는 폴리실리콘/산화물 층들의 스택으로부터 취해진 반사율 스펙트럼이 관측된다. 이러한 동일한 행위는 제 1 층과는 무관하다(즉, 제 1 층이 폴리실리콘인지 또는 산화물인지와는 무관하다). 따라서, 하나의 효율적인 접근법은, 층들의 처음 3개의 쌍들(처음의 6개의 층들)의 증착 동안에 시계열 모델에 대해 반사율 데이터를 피팅시키는 것을 포함하고, 그 후에, 아래의 층 반사율이, 더 짧은 파장들에서 시불변(time-invariant)이라고 가정될 수 있고, 파장 시리즈 피팅 절차가 사용될 수 있다.
[0140] 위에서 설명된 방법들은, 알려지지 않은 특성들을 갖는 기판 상에 형성된 막의 두께를 결정하기 위해 사용될 수 있다. 통상적인 반사 측정에서, 기판은 완전히 알려져 있고, 기판의 알려진 특성들은, 막 두께를 결정하기 위한 굴절 모델링에서 사용될 수 있다. 그러나, 다수의 경우들에서, 기판은, 사전에 알려지지 않은 특성들을 갖고, 통상적인 반사 측정은 사용가능하지 않다. 본원에서 설명되는 장치 및 방법들을 이용하여, 막의 형성 동안에 수집된 시계열 데이터를 사용함으로써, 기판 특성들이 추정될(deduced) 수 있다. 막의 증착 동안에 100 msec 간격들로 반사된 스펙트럼을 수집하는 것은, 본원에서 설명되는 모델들에 대해 데이터를 피팅시킴으로써 기판 특성들이 추출될 수 있는 데이터를 제공한다. 기판 특성들, 실제의 및 가상의(imaginary) 굴절률들, 기판 s 및 p 반사율, 및 막의 증착 레이트는, 본원에서 설명되는 바와 같이, 프레넬 방정식들의 모델들에 대해 시계열 데이터를 피팅시킴으로써 결정될 수 있고, 그 후에, 그러한 특성들은, 후속하여 증착되는 막들의 두께를 결정하기 위해 사용될 수 있다.
[0141] 도 14a 내지 도 14d는, 패터닝된 기판 상의 500 Å의 실리콘 질화물 층의 증착 동안의, 몇몇 선택된 파장들에서의 시계열 반사도 데이터를 도시하는 그래프들이다. 도 14a는, 반사된 프랙션(fraction)(1402) 대 초 단위의 시간(1404)의 그래프(1400)를 도시한다. 반사도 데이터(1406)는 230 nm의 파장에 있고, 데이터의 막 모델 피팅은 1408에 있다. 시계열 스펙트럼 반사도 데이터를 사용하여, 알려지지 않은 기판 특성들을 결정하기 위해, 본원에서 설명되는 알고리즘들을 사용하여, 피팅이 계산되었다. 도 14b는, 350 nm의 파장에서 수집된 유사한 데이터의 그래프(1410)를 도시한다. 도 14c는, 500 nm의 파장에서 수집된 유사한 데이터의 그래프(1420)를 도시한다. 도 14d는, 700 nm의 파장에서 수집된 유사한 데이터의 그래프(1430)를 도시한다.
[0142] 제 1 증착된 층이 매우 두껍지 않은 경우에, 몇몇 경우들에서, 시계열 데이터에서의 위상-시프트 정보는, 기판 반사율의 정확한 결정을 생성하기에 불충분할 수 있어서, 후속 층들의 두께를 결정하는데 있어서 에러들을 초래할 수 있다. 그러한 경우들에서, 하나 초과의 증착된 막에 대해 시계열 데이터를 수집함으로써 정확도가 개선될 수 있다. 예컨대, 제 1 막에 대해 시계열 데이터를 수집한 후에, 제 2 증착된 막에 대해 시계열 데이터가 수집될 수 있고, 따라서, 모델 피팅의 품질을 개선하도록 더 많은 데이터가 제공될 수 있다. 도 15는, 3개의 연속적인 층들의 증착 동안에, 210 nm에서 수집된, 반사된 프랙션(1502)의 시계열 피팅을 시간(1504)에 대해 도시하는 그래프(1500)이다. 제 1 질화물 층의 증착에 대한 데이터는 1506에 있다. 제 1 산화물 층의 증착에 대한 데이터는 1508에 있다. 제 2 질화물 층의 증착에 대한 데이터는 1510에 있다. 데이터는, 층들 사이의 계면들(1512 및 1514)의 특정 예외들(anomalies)의 특성을 보여준다. 다중-층 피팅을 수행하는 경우에, 그러한 예외들은, 임의의 편리한 수단에 의해, 예컨대, 통계적인(statistical) 또는 수동적인(manual) 수단에 의해 제거될 수 있다.
[0143] 도 16a는, 기판의 표면 상에 알려지지 않은 패턴을 갖는 기판에 대해, 나노미터 단위의 파장(1604)에 대한 반사된 프랙션(1602)을 도시하는 그래프(1600)이다. 알려지지 않은 기판 파라미터들에 피팅하기 위해, 시계열 분석의 결과들을 사용하여, 피팅이 수행되었다. 실제의 반사도 데이터는 1606에 도시되고, 피팅 데이터는 1608에 도시된다. 도 16b는, 기판 상의 제 1 질화물 층의 증착 시의 동일한 스택으로부터의 유사한 데이터를 도시한다. 도 16c는, 제 12 산화물 층의 증착 시의 동일한 스택으로부터의 유사한 데이터를 도시하고, 도 16d는, 제 24 질화물 층의 증착 시의 동일한 스택으로부터의 유사한 데이터를 도시한다.
[0144] 막 모델 방정식들에 대해 도 16a 내지 도 16d에서의 스펙트럼들과 같은 스펙트럼들을 피팅시키는 것은, 막 두께가 결정되게 한다. 도 17a는, 투과 전자 마이크로스코피를 사용한 두께 측정들(1708)과 비교하여, 실리콘 산화물 층들을 갖는 교번하는 스택에서 증착된 24개의 실리콘 질화물 층들에 대한 층 번호(1704)에 대하여, 반사도(1706)에 의해 측정된 Å 단위의 층 두께(1702)를 도시하는 그래프(1700)이다. 도 17b는 동일한 스택에서의 산화물 층들에 대한 유사한 데이터를 도시한다. 도 17a 및 도 17b에서 도시된 바와 같이, 스택이 두껍게 성장될 때에도, 일치(agreement)가 상당히 우수하다. 그러나, 스택이 두껍게 성장됨에 따라, 본원에서 설명되는 재귀(recursive) 방법들에서의 에러들의 합성(compounding)으로 인해, 반사도 측정과 TEM 측정들 사이의 일치가 감소된다는 것이 유의되어야 한다.
[0145] 기판 특성들을 구하는 것 및 모델링에 수반되는 연산은 집중적일 수 있다. 연산이 층 형성에 대해 심하게 뒤쳐지지 않도록 연산에 대한 시간을 관리하는 방법들은, 비교적 느린 시계열 데이터의 피팅 동안에 컴퓨터 메모리에 데이터를 버퍼링하고, 그 후에, 위에서 설명된 바와 같이, 정적인(static) 모델을 사용하는 후속 층들의 연산 동안에, 컴퓨터가 "따라잡게(catch up)" 허용하는 것을 포함한다. 다른 양상들에서, 다수의 프로세싱 유닛들이, 병렬로, 예컨대, 주파수 또는 시간 슬라이스(slice)에 따라 데이터를 그룹들로 분할하고, 상이한 프로세서들 상에서 상이한 그룹들을 동시에 프로세싱하여, 사용될 수 있다. 이러한 방식으로, 데이터 그룹들을 부하가 더 적은 프로세서들에게 할당함으로써, 부하 밸런싱이 수행될 수 있다.
[0146] 몇몇 실시예들에서, 기판 특성들의 결정에서 더 낮은 정확도가 용인될 수 있는 경우에, 시계열 피팅은, 선택된 시간 슬라이스들 또는 스펙트럼의 선택된 파장들만을 사용하여 수행될 수 있다. 특정 파장들이 분광계 레졸루션(resolution)에 의해 악영향을 받는 경우에, 그러한 파장들은 분석으로부터 제외될 수 있다.
[0147] 고도의 흡수 막들이 증착되는 실시예들에서, 다른 수단에 의해 두께 결정을 크로스-체크(cross-check)하기 위해, 파장에 따른 불투명도(opacity)가 사용될 수 있다. 전형적으로, 흡수(absorption)가 재료에 대한 파장의 알려진 작용(function)이기 때문에, 반사도 스펙트럼으로부터, 선택된 파장들이 사라지는 것을 알아차림으로써, 막이 성장됨에 따라 막 두께를 마킹(mark)하는 것이 가능하다. 그러한 실시예들에서, 타겟 막 두께에서 반사도 스펙트럼으로부터 사라지게 될 광의 파장을 선택하고, 반사도 스펙트럼으로부터 파장이 사라지는 시간을 마킹함으로써, 증착 엔드 포인트를 결정하는 것이 가능하다. 그러한 신호가 수신되는 경우에, 증착은 중단될 수 있다.
[0148] 흡수 막들을 수반하는 몇몇 경우들에서, 아래놓인 기판으로 인한 반사도는, 기판 상에 다수의 층들이 증착된 후에 사라질 수 있다. 그러한 경우들에서, 연속적인 막들이 증착될 기판을 재-특성화(re-characterize)하도록, 시계열 프로세스가 반복될 수 있다. 기판 특성들은, 시계열 데이터 피팅을 반복함으로써, 전체 증착 프로세스에서 임의의 편리한 시간에 업데이트될 수 있다.
[0149] 몇몇 양상들에서, 기판 반사도는, 몇몇 파장들에서, 막 두께에 따라, 더 강하게(strongly) 변화할 수 있고, 다른 파장들에서, 덜 강하게 변화할 수 있다. 몇몇 경우들에서, 반사도 스펙트럼의 민감도 함수(sensitivity function)를 결정하고, 막 두께에 가장 민감한 파장들에 대해 연산을 포커싱함으로써, 연산 리소스들이 효과적으로 사용될 수 있다. 예컨대, 시계열이 수집되는 경우에, 반사도 스펙트럼의 어느 파장들이 막 두께에 따라 가장 강하게 변화하고 있는지를 결정하기 위해, 처음 10개의 스냅샷(snapshot)들, 또는 임의의 편리한 수의 초기 스냅샷들이 신속하게 분석될 수 있다. 그 후에, 그러한 파장들은, 적은 정보를 운반하는 파장들을 제외하면서, 기판 파라미터들에 피팅하고 막 두께를 연산하기 위해 사용될 수 있다. 대안적으로, 두께에 따른 반사도 변화의 정도에 기초하여, 파장에 따라(as a function of wavelength) 민감도 파라미터가 할당될 수 있다. 이러한 방식으로, 연산 리소스들이 보존될 수 있고, 결과들의 정확도가 개선될 수 있다.
[0150] 시계열 반사도 데이터에서의 노이즈는 다수의 방식들로 관리될 수 있다. 일 양상에서, 시간에 걸친 스펙트럼의 이동 평균(moving average)이 연산될 수 있다. 원하는 경우에, 예컨대 더 최근의 시간 슬라이스들을 더 무겁게(heavily) 가중화(weighting)하고 더 멀리 떨어진 시간 슬라이스들을 더 가볍게(lightly) 가중화하는 것과 같이 시간 슬라이스에 의해, 또는, 예컨대 더 민감한 파장들을 더 무겁게 가중화하는 것과 같이 파장에 의해, 가중화가 적용될 수 있다. 원하는 경우에, 데이터에서의 노이즈의 양에 기초하여, 이동 평균에 대한 윈도우가 또한 조정될 수 있다. 다른 양상에서, 시계열에서의 각각의 시간 슬라이스에 대한 두께 결과들이, 노이즈를 제거하기 위해 라인에 대해 피팅될 수 있다. 기록된 스펙트럼은 또한, 주변 노이즈, 예컨대 플라즈마 방전으로부터의 주변 노이즈를 제거하기 위해, 선택된 파장들에 따라 정규화될(normalized) 수 있다. 마지막으로, 데이터에서의 노이즈를 감소시키기 위해, 신호 프로세싱 기법들이 사용될 수 있다. 예컨대, 데이터에서 고주파수 노이즈를 격리시키고 없애기(zero) 위해, FFT 분석이 사용될 수 있다.
[0151] 도 18은, 시간(1804)에 대해 반사도에 의해 측정된 두께(1802)를 도시하는 그래프(1800)이다. 실제의 데이터(1806)는 개별적인 측정 결과들을 도시하고, 롤링 피팅(rolling fit)(1808) 및 선형 피팅(1810)이, 노이즈가 감소된 두께 데이터를 생성하는 대안적인 방법들로서 도시된다.
[0152] 전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이, 본 발명의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 방법으로서,
    챔버 내의 기판 지지부 상에 기판을 배치하는 단계;
    상기 기판의 온도 프로파일을 설정하는 단계;
    매립된(embedded) 가열기를 이용하여 상기 챔버의 페이스 플레이트의 온도를 제어하는 단계 ― 상기 페이스 플레이트는 상기 기판 지지부를 향하고(face), 프로세싱 구역이 상기 페이스 플레이트와 상기 기판 지지부 사이에 있음 ―;
    상기 챔버 내로 전구체 가스 혼합물을 유동시키는 단계;
    상기 챔버 내에 플라즈마를 형성하는 단계;
    상기 플라즈마의 밀도 프로파일을 조정하는 단계; 및
    상기 기판 상에 균일한 두께의 층을 형성하는 단계
    를 포함하는,
    방법.
  2. 제 1 항에 있어서,
    상기 층은 균일한 조성인,
    방법.
  3. 제 1 항에 있어서,
    상기 페이스 플레이트의 온도를 제어하는 단계는 상기 프로세싱 구역 내의 온도 균일성을 촉진하는,
    방법.
  4. 제 1 항에 있어서,
    상기 전구체 가스 혼합물을 유동시키는 단계는 상기 전구체 가스 혼합물을 상기 페이스 플레이트를 통해 상기 프로세싱 구역으로 제공하는 단계를 포함하는,
    방법.
  5. 제 1 항에 있어서,
    상기 플라즈마의 밀도 프로파일을 조정하는 단계는:
    상기 챔버의 측벽, 및
    상기 기판 지지부
    중 적어도 하나에 커플링된 전극을 바이어싱(biasing)하는 단계를 포함하는,
    방법.
  6. 제 1 항에 있어서,
    상기 챔버 내로 제2 전구체 가스 혼합물을 유동시키는 단계; 및
    스택을 형성하기 위해 균일한 두께의 제2 층을 형성하는 단계
    를 더 포함하는,
    방법.
  7. 제 6 항에 있어서,
    상기 스택의 구조는 실질적으로 평탄하고, 층상(laminar)이고, 그리고 평행한,
    방법.
  8. 제 1 항에 있어서,
    상기 균일한 두께는 평균값으로부터 2 %를 초과하지 않는 만큼 변화하는,
    방법.
  9. 제 1 항에 있어서,
    상기 챔버 내의 기판 상에 다수의 층들을 순차적으로 형성하는 단계를 더 포함하는,
    방법.
  10. 제 9 항에 있어서,
    상기 다수의 층들은 적어도 130 개의 층들을 포함하는,
    방법.
  11. 제 1 항에 있어서,
    상기 챔버의 적어도 하나의 측벽의 온도를 제어하는 단계를 더 포함하는,
    방법.
  12. 방법으로서,
    챔버 내의 기판 지지부 상에 기판을 배치하는 단계;
    상기 기판의 온도 프로파일을 설정하는 단계;
    매립된 가열기를 이용하여 상기 챔버의 페이스 플레이트의 온도를 제어하는 단계 ― 상기 페이스 플레이트는 상기 기판 지지부를 향하고, 프로세싱 구역이 상기 페이스 플레이트와 상기 기판 지지부 사이에 있음 ―;
    상기 챔버 내로 전구체 가스 혼합물을 유동시키는 단계;
    상기 챔버 내에 플라즈마를 형성하는 단계;
    상기 플라즈마의 밀도 프로파일을 조정하는 단계; 및
    상기 기판 상에 균일한 두께 및 균일한 조성의 층을 형성하는 단계
    를 포함하고, 상기 균일한 두께는 평균값으로부터 2 %를 초과하지 않는 만큼 변화하는,
    방법.
  13. 제 12 항에 있어서,
    상기 페이스 플레이트의 온도를 제어하는 단계는 상기 프로세싱 구역 내의 온도 균일성을 촉진하는,
    방법.
  14. 제 12 항에 있어서,
    상기 전구체 가스 혼합물을 유동시키는 단계는 상기 전구체 가스 혼합물을 상기 페이스 플레이트를 통해 상기 프로세싱 구역으로 제공하는 단계를 포함하는,
    방법.
  15. 제 12 항에 있어서,
    상기 챔버 내의 기판 상에 다수의 층들을 순차적으로 형성하는 단계를 더 포함하는,
    방법.
  16. 제 12 항에 있어서,
    상기 챔버의 적어도 하나의 측벽의 온도를 제어하는 단계를 더 포함하는,
    방법.
  17. 방법으로서,
    챔버 내의 기판 지지부 상에 기판을 배치하는 단계;
    상기 기판의 온도 프로파일을 설정하는 단계;
    매립된 가열기를 이용하여 상기 챔버의 페이스 플레이트의 온도를 제어하는 단계 ― 상기 페이스 플레이트는 상기 기판 지지부를 향하고, 프로세싱 구역이 상기 페이스 플레이트와 상기 기판 지지부 사이에 있음 ―;
    상기 챔버 내로 전구체 가스 혼합물을 유동시키는 단계;
    상기 챔버 내에 플라즈마를 형성하는 단계;
    상기 플라즈마의 밀도 프로파일을 조정하는 단계 ― 상기 플라즈마의 밀도 프로파일을 조정하는 단계는:
    상기 챔버의 측벽, 및
    상기 기판 지지부
    중 적어도 하나에 커플링된 전극을 바이어싱하는 단계를 포함함 ―; 및
    상기 기판 상에 균일한 두께 및 균일한 조성의 층을 형성하는 단계
    를 포함하는,
    방법.
  18. 제 17 항에 있어서,
    상기 페이스 플레이트의 온도를 제어하는 단계는 상기 프로세싱 구역 내의 온도 균일성을 촉진하는,
    방법.
  19. 제 17 항에 있어서,
    상기 전구체 가스 혼합물을 유동시키는 단계는 상기 전구체 가스 혼합물을 상기 페이스 플레이트를 통해 상기 프로세싱 구역으로 제공하는 단계를 포함하는,
    방법.
  20. 제 17 항에 있어서,
    상기 챔버 내의 기판 상에 다수의 층들을 순차적으로 형성하는 단계를 더 포함하는,
    방법.
KR1020217015612A 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스 KR102275022B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201261719319P 2012-10-26 2012-10-26
US61/719,319 2012-10-26
US201261738247P 2012-12-17 2012-12-17
US61/738,247 2012-12-17
US201361761515P 2013-02-06 2013-02-06
US61/761,515 2013-02-06
KR1020207024332A KR102258020B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
PCT/US2013/066443 WO2014066541A1 (en) 2012-10-26 2013-10-23 Pecvd apparatus and process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207024332A Division KR102258020B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스

Publications (2)

Publication Number Publication Date
KR20210064397A true KR20210064397A (ko) 2021-06-02
KR102275022B1 KR102275022B1 (ko) 2021-07-09

Family

ID=50545223

Family Applications (9)

Application Number Title Priority Date Filing Date
KR1020207024338A KR102243959B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020227037217A KR102616154B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020157010795A KR101773117B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020207024332A KR102258020B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020217038632A KR102460527B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020217015612A KR102275022B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020197023099A KR102149434B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020177023682A KR102009955B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020217011399A KR102333219B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스

Family Applications Before (5)

Application Number Title Priority Date Filing Date
KR1020207024338A KR102243959B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020227037217A KR102616154B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020157010795A KR101773117B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020207024332A KR102258020B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020217038632A KR102460527B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020197023099A KR102149434B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020177023682A KR102009955B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스
KR1020217011399A KR102333219B1 (ko) 2012-10-26 2013-10-23 Pecvd 장치 및 프로세스

Country Status (6)

Country Link
US (8) US9157730B2 (ko)
JP (1) JP2016503578A (ko)
KR (9) KR102243959B1 (ko)
CN (1) CN104737274A (ko)
TW (8) TWI632250B (ko)
WO (1) WO2014066541A1 (ko)

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104813440A (zh) * 2012-09-26 2015-07-29 应用材料公司 于基板处理系统中控制温度
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10249509B2 (en) * 2012-11-09 2019-04-02 Tokyo Electron Limited Substrate cleaning method and system using atmospheric pressure atomic oxygen
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US10047438B2 (en) * 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US9613828B2 (en) * 2014-06-24 2017-04-04 Ultratech, Inc. Method of laser annealing a semiconductor wafer with localized control of ambient oxygen
KR20170092522A (ko) 2014-09-08 2017-08-11 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 금속 격자 및 이의 측정 방법
US9966240B2 (en) * 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9870935B2 (en) 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US9953887B2 (en) 2015-04-16 2018-04-24 Lam Research Corporation Measuring individual layer thickness during multi-layer deposition semiconductor processing
CN107667418B (zh) * 2015-06-05 2022-03-01 应用材料公司 用于降低基板温度非均匀性的改良式装置
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
WO2016204920A1 (en) * 2015-06-18 2016-12-22 Applied Materials, Inc. In-situ metrology method for thickness measurement during pecvd processes
WO2017059645A1 (zh) * 2015-10-09 2017-04-13 北京北方微电子基地设备工艺研究中心有限责任公司 加热装置以及加热腔室
US9899210B2 (en) 2015-10-20 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus and method for manufacturing semiconductor device using the same
JP6606403B2 (ja) 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
CN108292589B (zh) 2015-11-23 2023-05-16 应用材料公司 在处理工具中的板载计量(obm)设计与影响
CN106935530B (zh) * 2015-12-31 2020-04-17 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀光刻胶装置
US10741428B2 (en) 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
CN109643671B (zh) * 2016-08-26 2023-06-06 应用材料公司 自我修复式半导体晶片处理
US9978618B2 (en) * 2016-10-07 2018-05-22 Tokyo Electron Limited Hot plate with programmable array of lift devices for multi-bake process optimization
CN110114853A (zh) * 2016-12-21 2019-08-09 应用材料公司 通过化学气相沉积的保形密封膜沉积
WO2018128945A1 (en) 2017-01-03 2018-07-12 Applied Materials, Inc. Gas injection apparatus with heating channels
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US11022877B2 (en) * 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP6800800B2 (ja) * 2017-04-06 2020-12-16 株式会社ニューフレアテクノロジー 成長速度測定装置および成長速度検出方法
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US10204807B2 (en) * 2017-04-25 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for processing wafer
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10655226B2 (en) * 2017-05-26 2020-05-19 Applied Materials, Inc. Apparatus and methods to improve ALD uniformity
JP2018206847A (ja) * 2017-05-31 2018-12-27 株式会社Kokusai Electric 半導体装置の製造方法、プログラムおよび基板処理装置
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
CN107227446A (zh) * 2017-07-04 2017-10-03 北京北方华创微电子装备有限公司 半导体设备及其阻抗调节方法
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
KR102420164B1 (ko) 2017-09-14 2022-07-12 삼성전자주식회사 기체의 유동 시뮬레이션을 수행하기 위한 컴퓨팅 시스템 및 시뮬레이션 방법
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
KR101999692B1 (ko) * 2017-10-27 2019-07-12 광운대학교 산학협력단 플라즈마 모니터링이 가능한 플라즈마 발생 장치 및 플라즈마 모니터링 방법
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
WO2019109207A1 (en) * 2017-12-04 2019-06-13 Applied Materials, Inc. Partially anodized showerhead
DE102017130551A1 (de) * 2017-12-19 2019-06-19 Aixtron Se Vorrichtung und Verfahren zur Gewinnnung von Informationen über in einem CVD-Verfahren abgeschiedener Schichten
US10822699B2 (en) * 2017-12-29 2020-11-03 Varian Semiconductor Equipment Associates, Inc. Techniques for controlling precursors in chemical deposition processes
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
CN108315720A (zh) * 2018-01-31 2018-07-24 上海集成电路研发中心有限公司 一种提高膜厚均匀性的装置及方法
KR101994036B1 (ko) * 2018-02-21 2019-06-27 한양대학교 산학협력단 플라즈마 측정 장치
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
SG11202009888RA (en) * 2018-04-17 2020-11-27 Applied Materials Inc Heated ceramic faceplate
US10840086B2 (en) * 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
JP7326344B2 (ja) * 2018-05-24 2023-08-15 アプライド マテリアルズ インコーポレイテッド 空間分解ウエハ温度制御のための仮想センサ
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200013588A1 (en) * 2018-07-03 2020-01-09 Applied Materials, Inc. Tilted interferometric endpoint (iep) window for sensitivity improvement
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11009455B2 (en) * 2018-07-31 2021-05-18 Applied Materials, Inc. Precursor delivery system and methods related thereto
JP7461926B2 (ja) 2018-07-31 2024-04-04 アプライド マテリアルズ インコーポレイテッド 3d nandのためのon積層体オーバレイの改善
JP7249744B2 (ja) * 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US20200098595A1 (en) * 2018-09-20 2020-03-26 Nanya Technology Corporation Semiconductor manufacturing apparatus and method for operating the same
JP6931343B2 (ja) * 2018-09-25 2021-09-01 長野計器株式会社 物理量測定装置
US11421977B2 (en) * 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
EP3899087A4 (en) * 2018-12-17 2022-09-14 Applied Materials, Inc. BACK COATING FOR TRANSPARENT SUBSTRATE
JP7283901B2 (ja) * 2018-12-27 2023-05-30 株式会社Screenホールディングス 熱処理方法および熱処理装置
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
CN110044286B (zh) * 2019-04-15 2021-03-05 清华大学深圳研究生院 一种光谱共焦轴向距离检测方法、装置及设备
JP7274347B2 (ja) * 2019-05-21 2023-05-16 東京エレクトロン株式会社 プラズマ処理装置
CN110690134B (zh) * 2019-09-12 2022-07-01 长江存储科技有限责任公司 多站式沉积工艺的串气检测方法、设备及可读存储介质
CN110453201A (zh) * 2019-09-12 2019-11-15 深圳市捷佳伟创新能源装备股份有限公司 一个腔体内对多个石墨舟同时镀膜的工艺方法及镀膜装置
US20220336191A1 (en) * 2019-09-23 2022-10-20 Lam Research Corporation Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US11099002B2 (en) * 2019-12-09 2021-08-24 General Electric Company Systems and methods of assessing a coating microstructure
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法
CN111446179B (zh) * 2020-03-31 2022-11-01 中国科学院微电子研究所 一种晶圆测试方法及装置
KR20210147429A (ko) * 2020-05-29 2021-12-07 주성엔지니어링(주) 기판 처리 장치
US20220076922A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Single chamber flowable film formation and treatments
CN114256046A (zh) * 2020-09-22 2022-03-29 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
US20220130713A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Semiconductor processing chamber to accommodate parasitic plasma formation
CN112663026B (zh) * 2020-11-25 2022-10-21 北京北方华创微电子装备有限公司 工艺腔室、半导体工艺设备及加热控制方法
TWI748774B (zh) * 2020-12-01 2021-12-01 天虹科技股份有限公司 晶圓承載盤及應用晶圓承載盤的薄膜沉積裝置
CN113113283A (zh) * 2021-04-08 2021-07-13 中国科学院光电技术研究所 一种基于进气分布控制的等离子体密度分布调控方法
CN113972154A (zh) * 2021-10-20 2022-01-25 北京北方华创微电子装备有限公司 工艺腔室、半导体工艺设备和半导体工艺方法
WO2024091408A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Cupped baffle plates for showerheads of substrate processing systems

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001032078A (ja) * 1999-07-21 2001-02-06 Mitsubishi Heavy Ind Ltd プラズマcvd製膜方法
KR20090016232A (ko) * 2007-08-10 2009-02-13 주성엔지니어링(주) 박막증착을 위한 플라즈마 공정장치 및 이를 이용한미세결정질 실리콘 박막의 증착방법
KR20090087712A (ko) * 2008-02-13 2009-08-18 주식회사 유진테크 플라즈마 처리장치 및 방법

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
JPH03199198A (ja) * 1989-12-28 1991-08-30 Shin Etsu Chem Co Ltd ランタンガレート単結晶およびその製造方法
US5452091A (en) * 1993-03-22 1995-09-19 Nanometrics Incorporated Scatter correction in reflectivity measurements
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JPH0922795A (ja) * 1995-07-04 1997-01-21 Sony Corp プラズマcvd装置およびプラズマcvd方法
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6055053A (en) * 1997-06-02 2000-04-25 Stress Photonics, Inc. Full field photoelastic stress analysis
KR200211258Y1 (ko) * 1997-12-31 2001-03-02 김영환 반도체 웨이퍼 증착두께측정장치
US6256100B1 (en) * 1998-04-27 2001-07-03 Active Impulse Systems, Inc. Method and device for measuring the thickness of thin films near a sample's edge and in a damascene-type structure
JP2000082661A (ja) * 1998-07-02 2000-03-21 Toshiba Corp 加熱装置,加熱装置の評価法及びパタ―ン形成方法
IL125964A (en) * 1998-08-27 2003-10-31 Tevet Process Control Technolo Method and apparatus for measuring the thickness of a transparent film, particularly of a photoresist film on a semiconductor substrate
JP2000193424A (ja) 1998-12-24 2000-07-14 Sharp Corp 薄膜の膜厚測定装置およびその方法
JP4055880B2 (ja) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
US6091485A (en) * 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
US6621459B2 (en) 2001-02-02 2003-09-16 Raytheon Company Plasma controlled antenna
JP2004531856A (ja) * 2001-04-13 2004-10-14 アプライド マテリアルズ インコーポレイテッド 制御可能な電力分配を伴う誘導結合されたプラズマ源
US6962732B2 (en) * 2001-08-23 2005-11-08 Applied Materials, Inc. Process for controlling thin film uniformity and products produced thereby
JP2003188150A (ja) 2001-12-17 2003-07-04 Matsushita Electric Works Ltd 半導体プロセスモニタリング方法及び半導体プロセスモニタリング装置
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
US6806948B2 (en) 2002-03-29 2004-10-19 Lam Research Corporation System and method of broad band optical end point detection for film change indication
JP2003313664A (ja) 2002-04-23 2003-11-06 Toppan Printing Co Ltd プラズマを用いた成膜装置
US6642066B1 (en) * 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7019844B2 (en) 2002-08-13 2006-03-28 Lam Research Corporation Method for in-situ monitoring of patterned substrate processing using reflectometry.
US6979578B2 (en) 2002-08-13 2005-12-27 Lam Research Corporation Process endpoint detection method using broadband reflectometry
US7349155B2 (en) * 2002-10-28 2008-03-25 Hewlett-Packard Development Company, L.P. Screen having a layer of reflectors
US7306696B2 (en) * 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6967715B2 (en) * 2002-12-06 2005-11-22 International Business Machines Corporation Method and apparatus for optical film measurements in a controlled environment
US7016039B2 (en) * 2003-02-10 2006-03-21 Hinds Instruments, Inc. Purging light beam paths in optical equipment
US6800852B2 (en) 2002-12-27 2004-10-05 Revera Incorporated Nondestructive characterization of thin films using measured basis spectra
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US6970255B1 (en) * 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
JP4500510B2 (ja) * 2003-06-05 2010-07-14 東京エレクトロン株式会社 エッチング量検出方法,エッチング方法,およびエッチング装置
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
JP2005085917A (ja) * 2003-09-08 2005-03-31 Sharp Corp プラズマプロセス装置
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
WO2005035806A1 (ja) 2003-10-10 2005-04-21 Sumitomo Titanium Corporation Ca還元によるTi又はTi合金の製造方法
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
TW200612512A (en) * 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
JP4099511B2 (ja) * 2004-07-23 2008-06-11 株式会社アイ・ピー・ビー ステージおよびシリコンウエハ基板の温度計測法
US7375946B2 (en) * 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
TW200622226A (en) 2004-12-28 2006-07-01 Grace Semiconductor Mfg Corp Optical method for detecting the surface structure on thin film of nano crystal
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100627187B1 (ko) * 2005-01-28 2006-09-25 케이맥(주) 코팅 막의 두께를 측정하는 방법들 및 그 장치들
US20060186110A1 (en) * 2005-02-22 2006-08-24 Mark Campello Electric heater with resistive carbon heating elements
US8173036B2 (en) * 2005-03-02 2012-05-08 Tokyo Electron Limited Plasma processing method and apparatus
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
KR100804169B1 (ko) 2005-12-31 2008-02-18 주식회사 아이피에스 박막증착챔버용 서셉터
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR100855463B1 (ko) 2006-07-18 2008-09-01 주식회사 아토 가스분리형 샤워헤드를 이용한 챔버 세정 장치
KR100802382B1 (ko) 2006-03-21 2008-02-13 주식회사 아토 가스분리형 샤워헤드를 이용한 원자층 증착 장치
KR100744528B1 (ko) 2006-04-14 2007-08-01 주식회사 아토 알에프 파워가 인가되는 가스 분리형 샤워헤드를 이용한플라즈마 원자층 증착장치 및 방법
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
KR100782291B1 (ko) 2006-05-11 2007-12-05 주식회사 아토 가스분리형 샤워헤드 및 이를 이용한 펄스 cvd 장치
KR100765128B1 (ko) 2006-05-30 2007-10-11 주식회사 아토 Cvd 챔버의 세정 장치 및 방법
KR20070119169A (ko) 2006-06-14 2007-12-20 주식회사 아토 Cvd 챔버의 세정 장치 및 방법
KR100716266B1 (ko) 2006-06-20 2007-05-09 주식회사 아토 가스분리형 샤워헤드를 이용한 cvd 챔버 세정 장치
KR100782292B1 (ko) 2006-08-10 2007-12-05 주식회사 아토 가스분리형 샤워헤드를 이용한 pecvd 장치 및 이를이용한 실리콘 산화막 증착 방법
KR20080041886A (ko) 2006-11-08 2008-05-14 기아자동차주식회사 차량의 브레이크 페달 어셈블리
KR101250356B1 (ko) 2006-11-08 2013-04-05 주식회사 원익아이피에스 반도체 제조 장치
KR20080041893A (ko) 2006-11-08 2008-05-14 주식회사 아토 히팅 및 쿨링이 가능한 척
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JPWO2008117798A1 (ja) * 2007-03-26 2010-07-15 東京エレクトロン株式会社 窒化珪素膜の形成方法、不揮発性半導体メモリ装置の製造方法、不揮発性半導体メモリ装置およびプラズマ処理装置
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
KR100942235B1 (ko) * 2007-07-13 2010-02-16 충북대학교 산학협력단 판유리 두께측정방법
ITBO20070504A1 (it) * 2007-07-20 2009-01-21 Marposs Spa Apparecchiatura e metodo per il controllo dello spessore di un elemento in lavorazione
JP5012318B2 (ja) 2007-08-21 2012-08-29 パナソニック株式会社 プラズマ処理装置
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP4940122B2 (ja) * 2007-12-21 2012-05-30 株式会社日立製作所 ハードディスクメディア上のパターンの検査方法及び検査装置
TWI386617B (zh) * 2007-12-31 2013-02-21 Ind Tech Res Inst 反射式膜厚量測方法
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
KR100947031B1 (ko) * 2008-04-03 2010-03-11 한국과학기술원 3파장 광원을 이용한 위상물체의 굴절률과 두께 측정장치및 그 방법
CN101990704B (zh) 2008-04-03 2012-06-20 朗姆研究公司 用于归一化光学发射光谱的方法和装置
KR101560138B1 (ko) * 2008-06-24 2015-10-14 어플라이드 머티어리얼스, 인코포레이티드 저온 pecvd 애플리케이션을 위한 받침대 히터
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
WO2010013325A1 (ja) * 2008-07-30 2010-02-04 株式会社ニレコ 分光測光装置
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
KR100953736B1 (ko) 2009-07-27 2010-04-19 주식회사 아토 증착 장치 및 반도체 소자의 제조 방법
KR101030997B1 (ko) 2009-10-16 2011-04-25 주식회사 아토 증착 장치 및 이를 이용한 갭필 방법
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
KR101063753B1 (ko) * 2009-11-11 2011-09-14 한양대학교 산학협력단 텍스처가 형성된 시편에 증착된 박막의 특성 측정방법 및 이를 이용한 분광반사측정기
KR101600552B1 (ko) 2010-01-06 2016-03-07 주식회사 원익아이피에스 박막 증착장치 및 오존 플라즈마를 이용한 박막 증착방법
TWI408363B (zh) * 2010-01-08 2013-09-11 Ind Tech Res Inst 通孔結構之測量系統和方法
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8778813B2 (en) * 2010-05-12 2014-07-15 Applied Materials, Inc. Confined process volume PECVD chamber
KR101601665B1 (ko) 2010-08-31 2016-03-21 주식회사 원익아이피에스 기판식각장치 및 기판식각방법
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
KR101172274B1 (ko) 2010-12-27 2012-08-08 주식회사 원익아이피에스 가스 분사 장치 및 이를 구비하는 기판 처리 장치
KR101689015B1 (ko) 2011-01-06 2016-12-26 주식회사 원익아이피에스 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US20120211484A1 (en) * 2011-02-23 2012-08-23 Applied Materials, Inc. Methods and apparatus for a multi-zone pedestal heater
JP6000676B2 (ja) * 2011-06-21 2016-10-05 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
KR101925580B1 (ko) 2011-11-15 2019-02-28 주식회사 원익아이피에스 기판처리장치 및 그 동작 방법
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8900469B2 (en) * 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
CN104685608A (zh) * 2012-09-26 2015-06-03 应用材料公司 具有闭环控制的底部和侧边等离子体调节
CN104813440A (zh) * 2012-09-26 2015-07-29 应用材料公司 于基板处理系统中控制温度
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9556507B2 (en) * 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
SG11201506367TA (en) * 2013-03-15 2015-09-29 Applied Materials Inc Apparatus and methods for pulsed photo-excited deposition and etch
JP6145342B2 (ja) * 2013-07-12 2017-06-07 株式会社荏原製作所 膜厚測定装置、膜厚測定方法、および膜厚測定装置を備えた研磨装置
US9677177B2 (en) * 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
CN105917456A (zh) * 2014-01-21 2016-08-31 应用材料公司 任意基板上的膜厚度的测量
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9433973B1 (en) * 2015-06-15 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing apparatus
US10276364B2 (en) * 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001032078A (ja) * 1999-07-21 2001-02-06 Mitsubishi Heavy Ind Ltd プラズマcvd製膜方法
KR20090016232A (ko) * 2007-08-10 2009-02-13 주성엔지니어링(주) 박막증착을 위한 플라즈마 공정장치 및 이를 이용한미세결정질 실리콘 박막의 증착방법
KR20090087712A (ko) * 2008-02-13 2009-08-18 주식회사 유진테크 플라즈마 처리장치 및 방법

Also Published As

Publication number Publication date
US20140118751A1 (en) 2014-05-01
KR20210149208A (ko) 2021-12-08
US10030306B2 (en) 2018-07-24
US20150226540A1 (en) 2015-08-13
KR102333219B1 (ko) 2021-12-01
KR101773117B1 (ko) 2017-08-30
US9816187B2 (en) 2017-11-14
TWI600788B (zh) 2017-10-01
US11898249B2 (en) 2024-02-13
US20170016118A1 (en) 2017-01-19
KR20150074008A (ko) 2015-07-01
TW202209410A (zh) 2022-03-01
TW201742949A (zh) 2017-12-16
KR102275022B1 (ko) 2021-07-09
TW201730371A (zh) 2017-09-01
KR20200104422A (ko) 2020-09-03
US10060032B2 (en) 2018-08-28
TW201418510A (zh) 2014-05-16
JP2016503578A (ja) 2016-02-04
KR102258020B1 (ko) 2021-05-28
US20180258535A1 (en) 2018-09-13
KR102009955B1 (ko) 2019-08-12
US20230193466A1 (en) 2023-06-22
TWI724796B (zh) 2021-04-11
TWI688670B (zh) 2020-03-21
KR102460527B1 (ko) 2022-10-27
TW202314782A (zh) 2023-04-01
KR102243959B1 (ko) 2021-04-23
KR20210047362A (ko) 2021-04-29
US20200399756A1 (en) 2020-12-24
US10793954B2 (en) 2020-10-06
KR20220151000A (ko) 2022-11-11
KR20190095546A (ko) 2019-08-14
TWI785890B (zh) 2022-12-01
CN104737274A (zh) 2015-06-24
TW202134472A (zh) 2021-09-16
KR20170101318A (ko) 2017-09-05
TWI628309B (zh) 2018-07-01
US9458537B2 (en) 2016-10-04
US9157730B2 (en) 2015-10-13
US11613812B2 (en) 2023-03-28
TWI632250B (zh) 2018-08-11
US20180066364A1 (en) 2018-03-08
WO2014066541A1 (en) 2014-05-01
TW202030362A (zh) 2020-08-16
TWI822439B (zh) 2023-11-11
KR102616154B1 (ko) 2023-12-20
KR102149434B1 (ko) 2020-08-31
KR20200103128A (ko) 2020-09-01
TWI748889B (zh) 2021-12-01
TW201418513A (zh) 2014-05-16
US20160017497A1 (en) 2016-01-21

Similar Documents

Publication Publication Date Title
KR102275022B1 (ko) Pecvd 장치 및 프로세스

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right