JP2016018886A - 基板処理装置、半導体装置の製造方法およびガス整流部 - Google Patents

基板処理装置、半導体装置の製造方法およびガス整流部 Download PDF

Info

Publication number
JP2016018886A
JP2016018886A JP2014140494A JP2014140494A JP2016018886A JP 2016018886 A JP2016018886 A JP 2016018886A JP 2014140494 A JP2014140494 A JP 2014140494A JP 2014140494 A JP2014140494 A JP 2014140494A JP 2016018886 A JP2016018886 A JP 2016018886A
Authority
JP
Japan
Prior art keywords
gas
substrate
unit
purge
supplied
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014140494A
Other languages
English (en)
Other versions
JP5837962B1 (ja
Inventor
野内 英博
Hidehiro Nouchi
英博 野内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2014140494A priority Critical patent/JP5837962B1/ja
Priority to KR1020150093178A priority patent/KR101631031B1/ko
Priority to US14/790,393 priority patent/US10287684B2/en
Priority to TW104121468A priority patent/TWI584393B/zh
Priority to CN201510397751.6A priority patent/CN105261553B/zh
Application granted granted Critical
Publication of JP5837962B1 publication Critical patent/JP5837962B1/ja
Publication of JP2016018886A publication Critical patent/JP2016018886A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】基板上に形成される膜の特性や基板面内への処理均一性を向上させると共に、製造スループットを向上させる。【解決手段】基板を収容する処理室と、前記基板が載置される基板支持部と、前記基板に第1のガスを供給する第1のガス供給部と、前記基板に第2のガスを供給する第2のガス供給部と、複数箇所より供給されたパージガスを均圧するガス均圧部を有し、当該ガス均圧部で均圧されたパージガスを前記基板支持部の外周端側に供給し、少なくとも前記第1のガス若しくは第2のガスのいずれか一方の排気コンダクタンスを調整するコンダクタンス調整部と、を有する。【選択図】図1

Description

本発明は、基板処理装置、半導体装置の製造方法およびガス整流部に関する。
大規模集積回路(Large Scale Integrated Circuit:以下LSI)の高集積化に伴って、回路パターンの微細化が進められている。
狭い面積に多くの半導体デバイスを集積させるためには、デバイスのサイズを小さくして形成しなければならず、このためには、形成しようとするパターンの幅と間隔を小さくしなければならない。
近年の微細化により、微細構造の埋め込み、特に縦方向に深い、あるいは横方向に狭い空隙構造(溝)への酸化物の埋め込みに対して、CVD法による埋め込み方法が技術限界に達しつつある。また、トランジスタの微細化により、薄く・均一なゲート絶縁膜やゲート電極の形成が求められている。さらに、半導体デバイスの生産性を高めるために基板一枚辺りの処理時間の短縮が求められている。
また、半導体デバイスの生産性を高めるために、基板の面内全体への処理均一性を向上させることが求められている。
近年のLSI、DRAM(Dynamic Random Access Memory)やFlash Memoryに代表される半導体装置の最小加工寸法が、30nm幅より小さくなり、また、膜厚も薄くなり、品質を維持したまま、微細化や製造スループット向上や基板への処理均一性を向上させることが困難になってきている。
本発明は、基板上に形成される膜の特性や基板面内への処理均一性を向上させると共に、製造スループットを向上させることが可能な基板処理装置、半導体装置の製造方法およびガス整流部を提供することを目的とする。
一態様によれば、
基板を収容する処理室と、前記基板が載置される基板支持部と、前記基板に第1のガスを供給する第1のガス供給部と、前記基板に第2のガスを供給する第2のガス供給部と、複数箇所より供給されたパージガスを均圧するガス均圧部が設けられ当該ガス均圧部で均圧されたパージガスを前記基板支持部の外周端側に供給し、少なくとも前記第1のガス若しくは第2のガスのいずれか一方の排気コンダクタンスを調整するコンダクタンス調整部と、を有する基板処理装置が提供される。
他の態様によれば、
基板を処理室に収容する工程と、前記基板を前記基板支持部に載置する工程と、前記基板に第1のガスを供給する工程と、前記基板に第2のガスを供給する工程と、複数箇所より供給されたパージガスを均圧するガス均圧部を有し、当該ガス均圧部で均圧されたパージガスを前記基板支持部の外周端側に供給し、少なくとも前記第1のガス若しくは前記第2のガスのいずれか一方の排気コンダクタンスを調整する工程と、均圧部を有する半導体装置の製造方法が提供される。
更に他の態様によれば、
基板を支持する基板支持部が設けられた処理室を有する基板処理装置に設けられ、前記基板に供給される第1のガスと第2のガスが通過する開口と、複数箇所より供給されたパージガスを均圧するガス均圧部が設けられ当該ガス均圧部で均圧されたパージガスを前記基板支持部の外周端側に供給する コンダクタンス調整部と、を有するガス整流部が提供される。
本発明に係る基板処理装置、半導体装置の製造方法およびガス整流部によれば、基板上に形成される膜の特性や、基板面内への処理均一性を向上させると共に、製造スループットを向上させることが可能となる。
一実施形態に係る基板処理装置の概略構成図である。 一実施形態に係る、基板載置台とガス整流部の位置関係を示す概略図である。 一実施形態に係るガス整流部とコンダクタンス調整部の例である。 一実施形態に係るガス整流部とコンダクタンス調整部の例である。 一実施形態に係る、コントローラの概略構成図である。 一実施形態に係る、基板処理工程のフロー図である。 一実施形態に係る、基板処理工程のシーケンス図である。 他の実施形態に係る、基板処理工程のシーケンス図である。 他の実施形態に係る、基板処理工程のシーケンス図である。 他の実施形態に係るガス整流部とコンダクタンス調整部の例である。 他の実施形態に係るガス整流部とコンダクタンス調整部の例である。 他の実施形態に係るガス整流部とコンダクタンス調整部の例である。 他の実施形態に係るガス整流部の構成例である。 他の実施形態に係るガス整流部支持機構の構成例である。 他の実施形態に係るガス整流部とコンダクタンス調整部の例である。
以下に本発明の実施の形態について説明する。
<第一の一実施形態>
以下、第一の実施形態を図面に即して説明する。
(1)基板処理装置の構成
まず、第一の実施形態に係る基板処理装置について説明する。
本実施形態に係る処理装置100について説明する。基板処理装置100は、絶縁膜又は金属膜などを形成するユニットであり、図1に示されているように、枚葉式基板処理装置として構成されている。
図1に示すとおり、基板処理装置100は処理容器202を備えている。処理容器202は、例えば横断面が円形であり扁平な密閉容器として構成されている。また、処理容器202は、例えばアルミニウム(Al)やステンレス(SUS)などの金属材料または、石英により構成されている。処理容器202内には、基板としてのシリコンウエハ等のウエハ200を処理する処理空間(処理室)201、搬送空間203が形成されている。処理容器202は、上部容器202aと下部容器202bで構成される。上部容器202aと下部容器202bの間には仕切り板204が設けられる。上部容器202aに囲まれた空間であって、仕切り板204よりも上方の空間を処理空間201と呼び、下部容器202bに囲まれた空間であって、仕切り板よりも下方の空間を搬送空間203と呼ぶ。
下部容器202bの側面には、ゲートバルブ205に隣接した基板搬入出口206が設けられており、ウエハ200は基板搬入出口206を介して図示しない搬送室との間を移動する。下部容器202bの底部には、リフトピン207が複数設けられている。更に、下部容器202bはアース電位になっている。
処理空間201内には、ウエハ200を支持する基板支持部210が設けられている。基板支持部210は、ウエハ200を載置する載置面211と、載置面211を表面に持つ載置台212、基板載置台212に内包された加熱部としてのヒータ213を主に有する。基板載置台212には、リフトピン207が貫通する貫通孔214が、リフトピン207と対応する位置にそれぞれ設けられている。
基板載置台212はシャフト217によって支持される。シャフト217は、処理容器202の底部を貫通しており、更には処理容器202の外部で昇降機構218に接続されている。昇降機構218を作動させてシャフト217及び支持台212を昇降させることにより、載置面211上に載置されるウエハ200を昇降させることが可能となっている。なお、シャフト217下端部の周囲はベローズ219により覆われており、処理空間201内は気密に保持されている。
基板載置台212は、ウエハ200の搬送時には、載置面211が基板搬入出口206の位置(ウエハ搬送位置)となるよう基板支持台まで下降し、ウエハ200の処理時には図1で示されるように、ウエハ200が処理空間201内の処理位置(ウエハ処理位置)まで上昇する。
具体的には、基板載置台212をウエハ搬送位置まで下降させた時には、リフトピン207の上端部が載置面211の上面から突出して、リフトピン207がウエハ200を下方から支持するようになっている。また、基板載置台212をウエハ処理位置まで上昇させたときには、リフトピン207は載置面211の上面から埋没して、載置面211がウエハ200を下方から支持するようになっている。なお、リフトピン207は、ウエハ200と直接触れるため、例えば、石英やアルミナなどの材質で形成することが望ましい。
(排気系)
処理空間201(上部容器202a)の内壁には、処理空間201の雰囲気を排気する第1排気部としての排気口221が設けられている。排気口221には排気管222が接続されており、排気管222には、処理空間201内を所定の圧力に制御するAPC(Auto Pressure Controller)等の圧力調整器223、真空ポンプ224が順に直列に接続されている。主に、排気口221、排気管222、圧力調整器223、により排気系(排気ライン)220が構成される。なお、真空ポンプ224を排気系(排気ライン)220を構成の一部に加える様にしても良い。
(ガス導入口)
処理空間201の上部に設けられる後述のガス整流部234の上面(天井壁)には、処理空間201内に各種ガスを供給するためのガス導入口241が設けられている。ガス導入口241に接続されるガス供給系の構成については後述する。
(ガス整流部)
ガス導入口241と処理空間201との間には、ガス整流部234が設けられている。ガス整流部234は、少なくとも処理ガスが通り抜ける開口234dを有する。ガス整流部234は、固定具235によって、蓋231に取り付けられる。ガス導入口241は蓋231に接続され、ガス導入口241から導入されるガスは蓋231に設けられた孔231aとガス整流部234を介してウエハ200に供給される。なお、ガス整流部234は、チャンバリッドアセンブリの側壁となるように構成しても良い。また、ガス導入口241は、ガス分散チャネルとしても機能し、供給されるガスが、基板の全周へ分散される。
ここで、発明者等は、ウエハ200サイズの大型化や、ウエハ200に形成される半導体デバイス構造の微細化・構造の複雑化などに伴い、ウエハ200への処理均一性が低下する原因として、図2に示す様な、ガス整流部234と基板載置台212との平行度が微小にずれている(同じ平行面に無い)ことを見出した。この平行度のずれによって、ウエハ200の外周の周方向でのコンダクタンスが異なる領域が生じ、ガスの供給や排気が均一にならない。また、基板が450mmなどに大型化すると、この平行度を精密に調整することがより困難になる。例えば、図2左側に示すガス整流部234と基板載置台212との距離Xが、図2右側に示す距離Yよりも短い場合が有る。例えば、ガス整流部234と基板載置台212との距離は、0.5mm〜5mmで調整され、例えば2mm程度設定したとしても、距離Xが1.8mmで距離Yが2.2mmになることが有る。この場合、ガス導入口241から供給されるガスは、距離X側よりも距離Y側に多く流れるようになる。すなわち、距離X側のガスの流れ易さ(コンダクタンス)が距離Y側のコンダクタンスよりも小さくなる。この結果、距離X側の膜厚は距離Y側よりも薄くなる。また、膜厚が同じ様に形成された場合であっても、膜質が距離X側と距離Y側とで異なるように形成されることが有る。距離Xと距離Yとの差は、0.1mm単位で有っても、ウエハ200の処理均一性に影響を与える。この様な処理均一性が悪化する課題を解決する方法として、発明者等は、ガス整流部234の外周側のガス流れ易さ(コンダクタンス)を調整することを考え、コンダクタンス調整部を設けることによって、外周側のコンダクタンスを調整することを見出した。
(コンダクタンス調整部)
コンダクタンス調整部は、例えば、ガス整流部234に、図1,図2,図3,図4に示すように、均圧部仕切板301a,301b、ガス均圧部としての第1ガス均圧空間302a、第2ガス均圧空間302b、第1ガス導入孔303a、第2ガス導入孔303b、第1パージノズル304a、第2パージノズル304bが形成することによって構成する。
均圧部仕切板301a,301bで仕切られた第1ガス均圧空間302aに第1ガス導入孔303aと複数の第1パージノズル304aが設けられ、第2ガス均圧空間302bに、第2ガス導入孔303bと複数の第2パージノズル304bが設けられるように構成される。第1ガス導入孔303aから第1ガス均圧空間302aに供給されたパージガスは、第1ガス均圧空間302aの領域全体に広がり、複数の第1パージノズル304aに均等な圧力で供給される。これにより、ウエハ200の外周に均一にパージガスが供給される。また、第2ガス導入孔303bから供給されたパージガスは、第2ガス均圧空間302bの領域全体に広がり、複数の第2パージノズル304bに均等な圧力で供給される。これにより、ウエハ200の外周に均一にパージガスが供給される。
図3は、図1,2のガス整流部234をA−A方向で見た上面断面図であり、図4は、図1,2のガス整流部234をB−B(下方)方向から見た図である。
第1ガス導入孔303a、第2ガス導入孔303bには、パージガスが供給され、基板載置台212の上面端部にパージガスが供給可能に構成される。パージガスとしては、基板や後述の第1の処理ガス・第2の処理ガスと反応し難いガスが用いられる。例えば、窒素ガス、アルゴンガス、ヘリウムガス、ネオンガス、キセノンガス等が用いられる。
第1ガス導入孔303a、第2ガス導入孔303bには、同じ流量のパージガスが供給されるように構成しても良いし、異なる流量のパージガスを供給しても良い。例えば、基板載置台212の上面端部とガス整流部234の外周との距離が、場所によって異なり、図2の第1ガス導入孔303a側の距離が、第2ガス導入孔303b側の基板載置台212の上面端部とガス整流部234の外周との距離が短い場合がある。このとき、ウエハ200に供給される第1の処理ガスと第2の処理ガスは、第1ガス導入孔303a側よりも第2ガス導入孔303b側に多く流れるようになる可能性が有り、ウエハ200への処理均一性が低下する。この様な場合には、第2ガス導入孔303bへのパージガスの供給量を第1ガス導入孔303aへのパージガスの供給量よりも多くすることによって、第1の処理ガスと第2の処理ガスを、ウエハ200の面内へ均一に供給させることが可能となる。
第1ガス導入孔303a、第2ガス導入孔303bに供給されるガスは、後述のコンダクタンス調整ガス供給部から供給されるように構成されている。
なお、ここでは、ガス均圧部としてのガス均圧空間を二つ設けて、基板載置台212の外周端部のパージガスが供給される領域を2つにする(2分割する)例を示したが、これに限るものでは無く、ガス均圧部を3,4つ設けて、3分割、4分割のように、多くの領域を構成するようにしても良い。多分割に構成することで、各領域でのガス流量制御ができ、ウエハ200の外周でのコンダクタンスの調整を細かく行うことができ、ウエハ200への処理均一性を向上させることができる。
また、図10に示すように均圧部仕切板301a,301bを設けずにガス均圧部を単一の空間で構成しても良い。
また、ここでは、第1ガス導入孔303a、第2ガス導入孔303bと2箇所に設けたが、3箇所,4箇所と複数設けても良い。また、図11の様に均圧部仕切板301a,301bを設けずにガス均圧部を単一の空間で構成した状態で、1箇所に第1ガス導入孔303aを設けて、ガス均圧部302a全周にガスが供給されるように構成しても良い。
なお、図4に示す様に、基板載置台212の外周と対向するガス整流部エッジ面305を基板載置台212と平行になるように構成することにより、ウエハ200の外周側での流れ(コンダクタンス)を均一にすることができる。
(供給系)
ガス整流部234に接続されたガス導入口241には、共通ガス供給管242が接続されている。共通ガス供給管242には、第一ガス供給管243a、第二ガス供給管244a、第三ガス供給管245a、クリーニングガス供給管248aが接続されている。
第一ガス供給管243aを含む第一ガス供給系からは第一元素含有ガス(第一処理ガス)が主に供給され、第二ガス供給管244aを含む第二ガス供給系からは主に第二元素含有ガス(第二処理ガス)が供給される。第三ガス供給管245aを含む第三ガス供給系からは、ウエハを処理する際には主にパージガスが供給され、処理室をクリーニングする際はクリーニングガスが主に供給される。
(第一ガス供給系)
第一ガス供給管243aには、上流方向から順に、第一ガス供給源243b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)243c、及び開閉弁であるバルブ243dが設けられている。
第一ガス供給源243bから、第一元素を含有するガス(第一処理ガス)が供給され、マスフローコントローラ243c、バルブ243d、第一ガス供給管243a、共通ガス供給管242を介してガス整流部234に供給される。
第一処理ガスは、原料ガス、すなわち、処理ガスの一つである。
ここで、第一元素は、例えばシリコン(Si)である。すなわち、第一処理ガスは、例えばシリコン含有ガスである。シリコン含有ガスとしては、例えばジクロロシラン(Dichlorosilane(SiHCl):DCS)ガスを用いることができる。なお、第一処理ガスの原料は、常温常圧で固体、液体、及び気体のいずれであっても良い。第一処理ガスの原料が常温常圧で液体の場合は、第一ガス供給源243bとマスフローコントローラ243cとの間に、図示しない気化器を設ければよい。ここでは原料は気体として説明する。
第一ガス供給管243aのバルブ243dよりも下流側には、第一不活性ガス供給管246aの下流端が接続されている。第一不活性ガス供給管246aには、上流方向から順に、不活性ガス供給源246b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)246c、及び開閉弁であるバルブ246dが設けられている。
ここで、不活性ガスは、例えば、窒素(N)ガスである。なお、不活性ガスとして、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いることができる。
主に、第一ガス供給管243a、マスフローコントローラ243c、バルブ243dにより、第一元素含有ガス供給系(シリコン含有ガス供給系ともいう)が構成される。
また、主に、第一不活性ガス供給管246a、マスフローコントローラ246c及びバルブ246dにより第一不活性ガス供給系が構成される。なお、不活性ガス供給源236b、第一ガス供給管243aを、第一不活性ガス供給系に含めて考えてもよい。
更には、第一ガス供給源243b、第一不活性ガス供給系を、第一元素含有ガス供給系に含めて考えてもよい。
(第二ガス供給系)
第二ガス供給管244aの上流には、上流方向から順に、第二ガス供給源244b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)244c、及び開閉弁であるバルブ244dが設けられている。
第二ガス供給源244bから、第二元素を含有するガス(以下、「第2の処理ガス」)が供給され、マスフローコントローラ244c、バルブ244d、第二ガス供給管244a、共通ガス供給管242を介して、ガス整流部234に供給される。
第2の処理ガスは、処理ガスの一つである。なお、第2の処理ガスは、反応ガスまたは改質ガスとして考えてもよい。
ここで、第2の処理ガスは、第一元素と異なる第二元素を含有する。第二元素としては、例えば、酸素(O)、窒素(N)、炭素(C)、水素(H)の内、一つ以上を含んでいる。本実施形態では、第2の処理ガスは、例えば窒素含有ガスであるとする。具体的には、窒素含有ガスとしては、アンモニア(NH)ガスが用いられる。
主に、第二ガス供給管244a、マスフローコントローラ244c、バルブ244dにより、第2の処理ガス供給系が構成される。
また、第二ガス供給管244aのバルブ244dよりも下流側には、第二不活性ガス供給管247aの下流端が接続されている。第二不活性ガス供給管247aには、上流方向から順に、不活性ガス供給源247b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)247c、及び開閉弁であるバルブ247dが設けられている。
第二不活性ガス供給管247aからは、不活性ガスが、マスフローコントローラ247c、バルブ247d、第二ガス供給管247aを介して、ガス整流部234に供給される。不活性ガスは、薄膜形成工程(S203〜S207)ではキャリアガス或いは希釈ガスとして作用する。
主に、第二不活性ガス供給管247a、マスフローコントローラ247c及びバルブ247dにより第二不活性ガス供給系が構成される。なお、不活性ガス供給源247b、第二ガス供給管244aを第二不活性ガス供給系に含めて考えてもよい。
更には、第二ガス供給源244b、第二不活性ガス供給系を、第二元素含有ガス供給系に含めて考えてもよい。
(第三ガス供給系)
第三ガス供給管245aには、上流方向から順に、第三ガス供給源245b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)245c、及び開閉弁であるバルブ245dが設けられている。
第三ガス供給源245bから、パージガスとしての不活性ガスが供給され、マスフローコントローラ245c、バルブ245d、第三ガス供給管245a、共通ガス供給管242を介してガス整流部234に供給される。
ここで、不活性ガスは、例えば、窒素(N)ガスである。なお、不活性ガスとして、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いることができる。
主に、第三ガス供給管245a、マスフローコントローラ245c、バルブ245dにより、第三ガス供給系(パージガス供給系ともいう)が構成される。
(クリーニングガス供給系)
クリーニングガス供給管243aには、上流方向から順に、クリーニングガス源248b、マスフローコントローラ(MFC)248c、バルブ248d、リモートプラズマユニット(RPU)250が設けられている。
クリーニングガス源248bから、クリーニングガスが供給され、MFC248c、バルブ248d、RPU250、クリーニングガス供給管248a、共通ガス供給管242を介してガス整流部234に供給される。
クリーニングガス供給管248aのバルブ248dよりも下流側には、第四の不活性ガス供給管249aの下流端が接続されている。第四の不活性ガス供給管249aには、上流方向から順に、第四の不活性ガス供給源249b、MFC249c、バルブ249dが設けられている。
また、主に、クリーニングガス供給管248a、MFC248c及びバルブ248dによりクリーニングガス供給系が構成される。なお、クリーニングガス源248b、第四不活性ガス供給管249a、RPU250を、クリーニングガス供給系に含めて考えてもよい。
なお、第四の不活性ガス供給源249bから供給される不活性ガスを、クリーニングガスのキャリアガス或いは希釈ガスとして作用するように供給しても良い。
クリーニングガス供給源248bから供給されるクリーニングガスは、クリーニング工程ではガス整流部234や処理室201に付着した副生成物等を除去するクリーニングガスとして作用する。
ここで、クリーニングガスは、例えば三フッ化窒素(NF)ガスである。なお、クリーニングガスとして、例えば、フッ化水素(HF)ガス、三フッ化塩素ガス(ClF)ガス、フッ素(F)ガス等を用いても良く、またこれらを組合せて用いても良い。
(コンダクタンス調整ガス供給部)
図1に示す様に、コンダクタンス調整部に、パージガスを供給するコンダクタンス調整ガス供給系が設けられる。
コンダクタンス調整ガス供給部は、少なくとも、ガス供給管400a、バルブ401a、MFC402aで構成される。コンダクタンス調整ガス供給系に、ガス源403aを加えても良い。ガス源403aから供給されたガスは、MFC402aで流量調整された後、バルブ401aを通り、ガス供給管400aを介して、第1ガス導入孔303aに供給されるように構成される。
また、MFC402b、バルブ401b、ガス供給管400bを追加しても良い。また、ガス源403bを追加しても良い。
上述の例の様に、均圧部仕切板を多く設けてガス均圧部を分割し、ガス均圧部毎にコンダクタンス調整ガス供給部を設けてMFCでガス流量を制御することによって、ガス均圧部毎でコンダクタンスを調整することができる。
(制御部)
図1に示すように基板処理装置100は、基板処理装置100の各部の動作を制御するコントローラ121を有している。
図5に示すように、制御部(制御手段)であるコントローラ121は、CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶装置121c、I/Oポート121dを備えたコンピュータとして構成されている。RAM121b、記憶装置121c、I/Oポート121dは、内部バス121eを介して、CPU121aとデータ交換可能なように構成されている。コントローラ121には、例えばタッチパネル等として構成された入出力装置122や、外部記憶装置283が接続可能に構成されている。
記憶装置121cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置121c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件などが記載されたプログラムレシピ等が読み出し可能に格納されている。なお、プロセスレシピは、後述する基板処理工程における各手順をコントローラ121に実行させ、所定の結果を得ることが出来るように組み合わされたものであり、プログラムとして機能する。以下、このプログラムレシピや制御プログラム等を総称して、単にプログラムともいう。なお、本明細書においてプログラムという言葉を用いた場合は、プログラムレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。また、RAM121bは、CPU121aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
I/Oポート121dは、ゲートバルブ205、昇降機構218、圧力調整器223、真空ポンプ224、リモートプラズマユニット250、MFC243c,244c,245c,246c,247c,248c,249c,402a,402b、バルブ243d,244d,245d,246d,247d,248d,249d,401a,401b、ヒータ213等に接続されている。
CPU121aは、記憶装置121cからの制御プログラムを読み出して実行すると共に、入出力装置122からの操作コマンドの入力等に応じて記憶装置121cからプロセスレシピを読み出すように構成されている。そして、CPU121aは、読み出されたプロセスレシピの内容に沿うように、ゲートバルブ205の開閉動作、昇降機構218の昇降動作、圧力調整器223の圧力調整動作、真空ポンプ224のオンオフ制御、リモートプラズマユニット250のガス励起動作、MFC243c、244c,245c,246c,247c,248c,249c,402a,402bの流量調整動作、バルブ243d、244d,245d,246d,247d,248d,249d,401a,401bのガスのオンオフ制御、ヒータ213の温度制御等を制御するように構成されている。
なお、コントローラ121は、専用のコンピュータとして構成されている場合に限らず、汎用のコンピュータとして構成されていても良い。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MOなどの光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)283を用意し、係る外部記憶装置283を用いて汎用のコンピュータにプログラムをインストールすること等により、本実施形態に係るコントローラ121を構成することができる。なお、コンピュータにプログラムを供給するための手段は、外部記憶装置283を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置283を介さずにプログラムを供給するようにしても良い。なお、記憶装置121cや外部記憶装置283は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。なお、本明細書において、記録媒体という言葉を用いた場合は、記憶装置121c単体のみを含む場合、外部記憶装置283単体のみを含む場合、または、その両方を含む場合が有る。
(2)基板処理工程
次に、基板処理工程の例について、半導体デバイスの製造工程の一つである、DCSガス及びNH(アンモニア)ガスを用いてシリコン窒化(SixNy)膜を形成する例で説明する。
図6は、本実施形態に係る基板処理装置により実施される基板処理の一例を示すシーケンス図である。図例は、プラズマを利用した処理を行って、基板としてのウエハ200上にシリコン窒化(SixNy)膜を形成する場合のシーケンス動作を示している。
(基板搬入工程S201)
成膜処理に際しては、先ず、ウエハ200を処理室201に搬入させる。具体的には、基板支持部210を昇降機構218によって、下降させ、リフトピン207が、貫通孔214から基板支持部210の上面側に突出させた状態にする。また、処理室201内を所定の圧力に調圧した後、ゲートバルブ205を開放し、ゲートバルブ205からリフトピン207上にウエハ200を載置させる。ウエハ200をリフトピン207上に載置させた後、昇降機構218によって基板支持部210を所定の位置まで上昇させることによって、ウエハ200が、リフトピン207から基板支持部210へ載置されるようになる。
(減圧・昇温工程S202)
続いて、処理室201内が所定の圧力(真空度)となるように、排気管222を介して処理室201内を排気する。この際、圧力センサが測定した圧力値に基づき、圧力調整器223としてのAPCバルブの弁の開度をフィードバック制御する。また、温度センサ(不図示)が検出した温度値に基づき、処理室201内が所定の温度となるように、ヒータ213への通電量をフィードバック制御する。具体的には、サセプタを予め加熱しておき、ウエハ200又はサセプタの温度変化が無くなってから一定時間置く。この間、処理室201内に残留している水分あるいは部材からの脱ガス等を真空排気やNガスの供給によるパージによって除去する。これで成膜プロセス前の準備が完了することになる。
(第1の処理ガス供給工程S203)
続いて、図7に示すように、第1の処理ガス供給系から処理室201内に第1の処理ガス(原料ガス)としてのDCSガスを供給する。また、排気系による処理室201内の排気を継続し処理室201内の圧力を所定の圧力(第1圧力)となるように制御する。具体的には、第1ガス供給管243aのバルブ243d、第1不活性ガス供給管246aのバルブ246dを開き、第1ガス供給管243aにDCSガス、第1不活性ガス供給管246aにNガスを流す。DCSガスは、第1ガス供給管243aから流れ、MFC243cにより流量調整される。Nガスは、第1不活性ガス供給管246aから流れ、MFC246cにより流量調整される。流量調整されたDCSガスは、流量調整されたN2ガスと第1ガス供給管243a内で混合されて、ガス整流部234から、加熱された減圧状態の処理室201内に供給され、排気管222から排気される。このとき、ウエハ200に対してDCSガスが供給されることとなる(原料ガス(DCS)供給工程)。DCSガスは、所定の圧力(第1圧力:例えば100Pa以上10000Pa以下)で処理室201内に供給する。このようにして、ウエハ200にDCSを供給する。DCSが供給されることにより、ウエハ200上に、シリコン含有層が形成される。シリコン含有層とは、シリコン(Si)または、シリコンと塩素(Cl)を含む層である。
また、図7に示すように、第1ガス導入孔303a、第2ガス導入孔303bにパージガスの供給を開始する。本実施形態の図7では、原料ガスの供給開始と同時にパージガスの供給を開始しているが、原料ガスの供給前に供給されるように構成しても良い。また、原料ガスの供給後に遅らせて供給するように構成しても良い。パージガスの供給は、第1の処理ガスの供給工程S203か後述のパージ工程S206まで継続させる。パージガスの流量は、図7に示す様に、第1の処理ガス供給工程S203と第2の処理ガス供給工程S205の流量を、パージ工程S204・S206の流量よりも多くなるように制御する。この様に構成することにより、基板200端部でのコンダクタンスを調整しつつ、パージ工程S204での第1の処理ガスの除去の阻害を抑制し、パージ206での第2の処理ガスの除去の阻害を抑制することができる。また、常時パージガスを供給することによって、第1パージノズル304aや第2パージノズル304bからガスが逆流し、パージノズルやガス均圧部内での副生成物の発生を抑制させることができる。
(パージ工程S204)
ウエハ200上にシリコン含有層が形成された後、第1ガス供給管243aのバルブ243dを閉じ、DCSガスの供給を停止する。このとき、排気管222のAPCバルブ223は開いたままとし、真空ポンプ224により処理室201内を真空排気し、処理室201内に残留する未反応もしくはシリコン含有層形成に寄与した後のDCSガスを処理室201内から排除する。また、バルブ246dは開いたままとして、不活性ガスとしてのN2ガスの処理室201内への供給を維持しても良い。バルブ246aから供給され続けるNガスは、パージガスとして作用し、これにより、第1ガス供給管243a、共通ガス供給管242、処理室201内に残留する未反応もしくはシリコン含有層形成に寄与した後のDCSガスを排除する効果を更に高めることができる。
なお、このとき、処理室201内や、ガス整流部234内に残留するガスを完全に排除(処理室201内を完全にパージ)しなくてもよい。処理室201内に残留するガスが微量であれば、その後に行われる工程において悪影響が生じることはない。このとき処理室201内に供給するNガスの流量も大流量とする必要は無く、例えば、処理室201の容積と同程度の量を供給することで、次の工程において悪影響が生じない程度のパージを行うことができる。このように、処理室201内を完全にパージしないことで、パージ時間を短縮し、スループットを向上させることができる。また、Nガスの消費も必要最小限に抑えることが可能となる。
このときのヒータ213の温度は、ウエハ200への原料ガス供給時と同様に300〜650℃、好ましくは300〜600℃、より好ましくは300〜550℃の範囲内の一定の温度となるように設定する。各不活性ガス供給系から供給するパージガスとしてのNガスの供給流量は、それぞれ例えば100〜20000sccmの範囲内の流量とする。パージガスとしては、Nガスの他、Ar,He,Ne,Xe等の希ガスを用いても良い。
(第2の処理ガス供給工程S205)
処理室201内のDCS残留ガスを除去した後、パージガスの供給を停止し、反応ガスとしてのNHガスを供給する。具体的には、第2ガス供給管244aのバルブ244dを開き、第2ガス供給管244a内にNHガスを流す。第2ガス供給管244a内を流れるNHガスは、MFC244cにより流量調整される。流量調整されたNHガスは共通ガス供給管242・ガス整流部234を介して、ウエハ200に供給される。ウエハ200上に供給されたNHガスは、ウエハ200上に形成されたシリコン含有層と反応し、シリコンを窒化させると共に、水素、塩素、塩化水素などの不純物が排出される。
(パージ工程S206)
第2の処理ガス供給工程の後、反応ガスの供給を止めて、パージ工程S204と同様な処理を行う。パージ工程を行うことによって、第2ガス供給管244a,共通ガス供給管242,処理室201内などに残留する未反応もしくはシリコンの窒化に寄与した後のNHガスを排除させることができる。残留ガスを除去することによって、残留ガスによる予期せぬ膜形成を抑制することができる。
(繰返し工程S207)
以上の第1の処理ガス供給工程S203、パージ工程S204、第2の処理ガス供給工程S205、パージ工程S206それぞれを1工程ずつ行うことにより、ウエハ200上に所定の厚さのシリコン窒化(SixNy)層が堆積される。これらの工程を繰返すことにより、ウエハ200上のシリコン窒化膜の膜厚を制御することができる。所定膜厚となるまで、所定回数繰返すように制御される。
(基板搬出工程S208)
繰返し工程S207で所定回数実施された後、基板搬出工程S208が行われ、ウエハ200が処理室201から搬出される。具体的には、搬出可能温度まで降温させ、処理室201内を不活性ガスでパージし、搬送可能な圧力に調圧される。調圧後、基板支持部210が昇降機構218により降下され、リフトピン207が、貫通孔214から突き出し、ウエハ200がリフトピン207上に載置される。ウエハ200が、リフトピン207上に載置された後、ゲートバルブ205が開き、ウエハ200が処理室201から搬出される。
(3)本実施形態に係る効果
本実施形態によれば、以下に示す1つまたは複数の効果を奏する。
(a)基板の外周であって、周方向のガス流れ(コンダクタンス)を調整することができる。
(b)周方向でのコンダクタンスを調整することによって、処理均一性を向上させることができる。
(c)均圧部仕切板を増やすことで、周方向のコンダクタンスを更に細かく調整することができる。
(d)第1の処理ガス供給工程から第2の処理ガス供給工程後のパージ工程まで、コンダクタンス調整ガスを供給することによって、コンダクタンス調整部でのガスの逆流を抑制し、コンダクタンス調整部での副生成物の発生を抑制することができる。
(e)また、基板の外周側にパージガスを供給することによって、基板上を通過して排気される処理ガスを、基板の外周側に供給されたパージガスで希釈することができ、排気部での副生成物の発生を抑制することができる。
<他の実施形態>
以上、第一の実施形態を具体的に説明したが、本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
例えば、図8に示すガス供給シーケンス例が有る。図8にガス整流部234の外周に設けられた2つのパージ領域に、それぞれ異なる流量でパージガスを供給する例を示す。図2に示すような環境では、第1パージノズル304a側のコンダクタンスは、第2パージノズル304b側のコンダクタンスよりも大きく形成される。これにより、第1パージノズル304a側に流れる第1の処理ガスと第2の処理ガスの流量が、第2パージノズル304b側に流れる第1の処理ガスと第2の処理ガスの流量が多くなり、第1パージノズル304a側に形成される膜特性と第2パージノズル304b側に形成される膜特性が異なるように形成される。例えば第1パージノズル304a側の膜厚が厚くなることや、耐電圧特性が高く。この様な場合、例えば、第1パージノズル304aに供給するパージガス量を第2パージノズル304bに供給するパージガス量よりも多くすることで、第1パージノズル304a側を流れる第1の処理ガス,第2の処理ガス,パージガスのコンダクタンスを、第2パージノズル304b側を流れる第1の処理ガス,第2の処理ガス,パージガスのコンダクタンスに近づけることができる。第1パージノズル304a側のコンダクタンスを第2パージノズル304b側のコンダクタンスに近づけることによって、ウエハ200に供給されるガス量を、周方向で均一化させることができる。これにより、ウエハ200上に形成される膜特性を均一化させることができる。なお、ここでは、第1パージノズル304aに供給するパージガスの流量を第2パージノズル304bに供給するパージガスの流量よりも多くする例を示したが、これに限らず、第2パージノズル304bの方が多くなるように構成しても良い。
また、図9に示すように、パージ工程で、ガス整流部234の外周に設けられたパージ領域にパージガスを流さないように構成しても良い。
また、上述では、S203とS205でコンダクタンス調整部へのパージガス供給量を同じ量に構成したが、これに限るものでは無い。例えば、S205での流量とS203での流量とを、異ならせる様に構成しても良い。例えば、S205での流量をS203での流量よりも多くする。上述の第1のガスの活性度と第2のガスの活性度を比較した場合に、第2のガスの方が、活性度が高い場合が有り、基板200への処理均一性に影響を与える。基板200の外周の周方向のコンダクタンスを調整することにより、処理均一性を向上させることができる。
また、上述では、ガス整流部234がいずれか一方に傾いている例を示したが、これに限るものでは無い。例えば、ガス整流部234と基板載置台212が平行であっても、第1のガスと第2のガスの一方の排気が偏ることが有る。この排気の偏りは、処理室201の構造や排気口206の位置などによって変化する。このとき、例えば第1の処理ガスが、第2パージノズル304b側よりも第1パージノズル304a側に多く流れ、第2の処理ガスが第1パージノズル304aよりも第2パージノズル304b側に多く流れる場合が有る。この様な場合には、第1の処理ガスを供給する際に第1パージノズル304aに供給するパージガスを多くし、第2の処理ガスを供給する際に、第2パージノズル304bに供給するパージガスを多くすることで、ウエハ200への処理均一性を向上させることができる。なお、第1の処理ガスが第2パージノズル304a側に多く流れ、第2の処理ガスが第1パージノズル304b側に多く流れる場合には、第1の処理ガスを供給する際に第2パージノズル304bにパージガスを多く供給し、第2処理ガスを供給する際に第1パージノズル304aにパージガスを多く供給することで、処理を均一化させることができる。
また、上述では、ガス整流部234の外周全てに渡って第1パージノズル304aと第2パージノズル304bを設けるように構成したが、これに限るものでは無い。例えば、処理室の排気口221が設けられる方向のみにノズルを配しても良い。
また、上述では、コンダクタンス調整部の第1パージノズル304aと第2パージノズル304bを円形に構成したが、これに限るものでは無い。例えば、楕円形状に構成しても良いし、円弧状に構成して良い。また、直線形状に構成しても良いし、図12に示すように、スリット形状に構成しても良い。また、ウエハ200の形状に合わせた形状に構成しても良い。例えば、ウエハの切り欠き部分(ノッチやオリエンテーションフラット)の形状と合う様に構成する。この様に基板形状と合う様に構成することにより、処理均一性を更に向上させることができる。
また、上述では、コンダクタンス調整部をガス整流部234に設けた例を示したが、これに限らず、基板載置台212や仕切り板204にコンダクタンス調整部を設けるように構成しても良い。
更に鋭意研究した結果、発明者等は、上述の手段とは異なる方法で、上述の課題を解決できることを見出した。
図13を用いて詳細を説明する。
上述の様に、ウエハ200への処理均一性は、ガス整流部234と基板載置台212との平行度の影響を受ける。特に、ガス整流部234の平行度の制度を保つことが困難となる。ガス整流部234の平行度は、ガス整流部234の上面234aと下面234b(305)との平行によって形成される。上面234aは、少なくともウエハ200の面積よりも大きく形成されるため、上面234aを加工する際、上面234a全体を、傾きや凹凸無く形成することが困難となる。そこで、ガス整流部234aの上面に、下面234bの面積と同等の面積を有するガス整流部支持部234cを設け蓋231に接続することで、ガス整流部支持部234cと下面234bとの平行度の精度を保つことが容易になる。
更に鋭意研究した結果、発明者等は、図14に示すガス固定具235を設けることによって、ガス整流部234の平行度の精度を向上させることができることを見出した。
ガス整流部を固定する固定具235は、固定母材235a、固定部235b、高さ調整部235cを有する。固定母材235aは、固定部235bで蓋231に固定され、ガス整流部234は、固定母材235aの上に載ることで支持される。高さ調整部235cを上下させることで、ガス整流部234の傾きを調整することができ、基板載置台212との平行度を調整することができ、ウエハ200の端部での周方向のコンダクタンスを調整することができる。
更に鋭意研究した結果、発明者等は図15に示す、ガス整流部234の外周に第2のコンダクタンス調整部としてのコンダクタンス調整機構500を設けることによって、ウエハ200の端部のコンダクタンスを調整可能になり、処理均一性を向上させることができることを見出した。コンダクタンス調整機構500は、ガス整流部の外周に埋め込む様に設けられる。コンダクタンス調整機構500のガス整流部234の下面234b(305)から飛び出る長さを調整することによって、ウエハ200の外周の周方向のコンダクタンスを調整することができる。なお、ここでは、コンダクタンス調整機構500は、複数の突起により構成したがこれに限るものでは無い。例えば、設ける間隔を短くしても良い。また、排気口221が設けられる側にだけ設けられても良い。また、突起状でなく、ウエハ200を囲む様にリング形状に構成しても良い。
以上、本発明の他の形態を説明したが、本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
上述では、半導体装置の製造工程について記したが、実施形態に係る発明は、半導体装置の製造工程以外にも適用可能である。例えば、液晶デバイスの製造工程や、セラミック基板へのプラズマ処理などが有る。
また、上述では、第1のガス(原料ガス)と第2のガス(反応ガス)を交互に供給して成膜する方法について記したが、他の方法にも適用可能である。例えば、原料ガスと反応ガスの供給タイミングが重なるように供給しても良い。
また、原料ガスと反応ガスを供給してCVD成膜となるようにしても良い。
また、上述では、成膜処理について記したが、他の処理にも適用可能である。例えば、原料ガスと反応ガスのいずれか若しくは両方を用いて、基板表面や基板に形成された膜をプラズマ酸化処理や、プラズマ窒化処理する基板処理にも本発明を適用することができる。また、原料ガスと反応ガスの何れか若しくは両方を用いた熱処理や、プラズマアニール処理などの基板処理にも適用することができる。プラズマ処理の場合、プラズマの偏りや、活性化されたガスの偏りを均一化させることができる。
また、上述では、処理均一性を向上させることについて記したがこれに限るものでは無い。最近は、ウエハ200の面内であって、例えば、内周側と外側で膜質や膜厚を異ならせて成膜させることが要求されている。ウエハ200外周でのコンダクタンスを調整することによって、ウエハの内周側と外周側のガスコンダクタンスを異ならせることができる。この結果、ウエハ200の内周側と外周側で異なる膜質や異なる膜厚の膜を形成させることができる。例えば、基板処理工程の1サイクル〜nサイクルの間で、奇数サイクルと偶数サイクルで、コンダクタンス調整部に供給するパージガスの流量を異ならせることによって、ウエハ200の内周側と外周側で異なる膜質の膜を形成させることができる。または、所定のサイクルの途中で、パージガス流量を増加若しくは減少させることにより、形成することができる。
<本発明の好ましい態様>
以下に、本発明の好ましい態様について付記する。
<付記1>
一態様によれば、
基板を収容する処理室と、
前記基板が載置される基板支持部と、
前記基板に第1のガスを供給する第1のガス供給部と、
前記基板に第2のガスを供給する第2のガス供給部と、
複数箇所より供給されたパージガスを均圧するガス均圧部が設けられ当該ガス均圧部で均圧されたパージガスを前記基板支持部の外周端側に供給し、少なくとも前記第1のガス若しくは第2のガスのいずれか一方の排気コンダクタンスを調整するコンダクタンス調整部と、
を有する基板処理装置が提供される。
<付記2>
付記1に記載の基板処理装置であって、好ましくは、
前記ガス均圧部は2つ以上のガス均圧空間を有する。
<付記3>
付記1又は付記2に記載の基板処理装置であって、好ましくは、
前記基板支持部の上方に設けられ、前記第1のガスと前記第2のガスを整流するガス整流部を有する。
<付記4>
付記1乃至付記3のいずれかに記載の基板処理装置であって、好ましくは、
前記コンダクタンス調整部は、前記ガス整流部の外周端に設けられる。
<付記5>
付記1乃至付記4のいずれかに記載の基板処理装置であって、好ましくは、
前記2つ以上のガス均圧空間それぞれに対しパージガスの供給量を異ならせるように供給するパージガス供給部を有する。
<付記6>
付記1乃至付記5のいずれかに記載の基板処理装置であって、好ましくは、
前記ガス整流部の下面と、前記基板支持部の上面は、異なる平行面に設けられる。
<付記7>
付記1乃至付記6のいずれかに記載の基板処理装置であって、好ましくは、
前記第1のガスを前記基板に供給する工程で、前記コンダクタンス調整部に第1の流量でパージガスを供給し、
前記第2のガスを前記基板に供給する工程で、前記コンダクタンス調整部に前記第1の流量でパージガスを供給し、
前記基板に前記第1のガスと前記第2のガスが供給されていない工程で、前記コンダクタンス調整部に前記第2の流量でパージガスを供給するように前記第1のガス供給部と前記第2のガス供給部と前記コンダクタンス調整部を制御するように構成された制御部が設けられる。
<付記8>
付記7に記載の基板処理装置であって、好ましくは、
前記第1の流量は、前記第2の流量よりも多いように構成される。
<付記9>
付記1乃至付記6のいずれかに記載の基板処理装置であって、好ましくは、
前記第1のガスを前記基板に供給する工程では、前記コンダクタンス調整部に第3の流量と第4の流量でパージガスを供給し、
前記第2のガスを前記基板に供給する工程で、前記コンダクタンス調整部に第3の流量と第4の流量でパージガスを供給し、
前記基板に前記第1のガスと前記第2のガスが供給されていない工程で、前記コンダクタンス調整部に、第5の流量と第6の流量でパージガスを供給するように前記第1のガス供給部と前記第2のガス供給部と前記コンダクタンス調整部を制御するように構成された制御部が設けられる。
<付記10>
付記9に記載の基板処理装置であって、好ましくは、
前記第3の流量は前記第4の流量よりも多く、前記第4の流量は、前記第5の流量と前記第6の流量よりも多い様に構成される。
<付記11>
付記1乃至付記10のいずれかに記載の基板処理装置であって、好ましくは、
前記ガス整流部は、前記ガス整流部の上面に設けられたガス整流部支持部によって前記処理室の蓋に取り付けられる。
<付記12>
付記1乃至付記10のいずれかに記載の基板処理装置であって、好ましくは、
前記ガス整流部は、前記ガス整流部の側方に設けられたガス整流部固定具によって、下面と前記基板支持部上面との距離を調整可能に構成される。
<付記13>
付記1乃至付記12のいずれかに記載の基板処理装置であって、好ましくは、
前記ガス整流部の下面には、第2のコンダクタンス調整機構が設けられる。
<付記14>
他の態様によれば、
基板を処理室に収容する工程と、
前記基板を前記基板支持部に載置する工程と、
前記基板に第1のガスを供給する工程と、
前記基板に第2のガスを供給する工程と、
複数箇所より供給されたパージガスを均圧するガス均圧部を有し、当該ガス均圧部で均圧されたパージガスを前記基板支持部の外周端側に供給し、少なくとも前記第1のガス若しくは前記第2のガスのいずれか一方の排気コンダクタンスを調整する工程と、
を有する半導体装置の製造方法が提供される。
<付記15>
付記14に記載の半導体装置の製造方法であって、好ましくは、
前記ガス均圧部は、2つ以上のガス均圧空間を有し、前記ガス均圧空間それぞれに供給されるパージガス流量を異ならせる工程を有する。
<付記16>
付記14又は付記15に記載の半導体装置の製造方法であって、好ましくは、
前記第1のガスを供給する第1ガス供給部及び前記第2のガスを供給する第2ガス供給部と、前記基板支持部との間にガス整流部を有し、
前記ガス均圧部を有するコンダクタンス調整部は、前記ガス整流部の外周端に設けられる。
<付記17>
付記14乃至付記16のいずれかに記載の半導体装置の製造方法であって、好ましくは、
前記パージガスを供給する工程では、
前記ガス均圧部は、少なくとも第1ガス均圧空間と第2ガス均圧空間を有し、前記第1ガス均圧空間と前記第2ガス均圧空間に供給されるパージガス流量を異ならせるようにする。
<付記18>
付記14乃至付記17のいずれかに記載の半導体装置の製造方法であって、好ましくは、
前記基板支持部の外周端であって、周方向でコンダクタンスが異なる領域が2つ以上設けられ、前記コンダクタンスが異なる2つの領域とがそれぞれ、前記分割されたガス均圧部に対応する。
<付記19>
付記14乃至付記18のいずれかに記載の半導体装置の製造方法であって、好ましくは、
前記第1のガスを供給する工程で、前記コンダクタンス調整部に第1の流量でパージガスを供給する工程と、
前記第2のガスを供給する工程で、前記コンダクタンス調整部に前記第1の流量で前記パージガス供給する工程と、
前記基板に前記第1のガスと前記第2のガスが供給されていない工程で、前記コンダクタンス調整部に前記第2の流量で前記パージガスを供給する工程とを有する。
<付記20>
付記19に記載の半導体装置の製造方法であって、好ましくは、
前記1の流量は、前記第2の流量よりも多いように構成される。
<付記21>
付記14乃至付記18のいずれかに記載の半導体装置の製造方法であって、好ましくは、
前記第1のガスを供給する工程では、前記コンダクタンス調整部に第3の流量と第4の流量で前記パージガスを供給する工程を有し、
前記第2のガスを供給する工程では、前記コンダクタンス調整部に第3の流量と第4の流量で前記パージガスを供給する工程を有し、
前記基板に前記第1のガスと前記第2のガスが供給されていない工程で、前記コンダクタンス調整部に、第5の流量と第6の流量でパージガスを供給する工程を有する。
<付記22>
付記21に記載の半導体装置の製造方法であって、好ましくは、
前記第3の流量は前記第4の流量よりも多く、前記第4の流量は、前記第5の流量と前記第6の流量よりも多く構成される。
<付記23>
さらに他の態様によれば、
基板を処理室に収容させる手順と、
前記基板を前記基板支持部に載置させる手順と、
前記基板に第1のガスを供給させる手順と、
前記基板に第2のガスを供給させる手順と、
複数箇所より供給されたパージガスを均圧するガス均圧部を有し、当該ガス均圧部で均圧されたパージガスを前記基板支持部の外周端側に供給し、少なくとも前記第1のガス若しくは前記第2のガスのいずれか一方の排気コンダクタンスを調整させる手順と、
をコンピュータに実行させるプログラムが提供される。
<付記24>
さらに他の態様によれば、
基板を処理室に収容させる手順と、
前記基板を前記基板支持部に載置させる手順と、
前記基板に第1のガスを供給させる手順と、
前記基板に第2のガスを供給させる手順と、
複数箇所より供給されたパージガスを均圧するガス均圧部を有し、当該ガス均圧部で均圧されたパージガスを前記基板支持部の外周端側に供給し、少なくとも前記第1のガス若しくは前記第2のガスのいずれか一方の排気コンダクタンスを調整させる手順と、 均圧部をコンピュータに実行させるプログラムが記録された記録媒体が提供される。
<付記25>
さらに他の態様によれば、
基板を支持する基板支持部が設けられた処理室を有する基板処理装置に設けられ、
前記基板に供給される第1のガスと第2のガスが通過する開口と、
複数箇所より供給されたパージガスを均圧するガス均圧部が設けられ当該ガス均圧部で均圧されたパージガスを前記基板支持部の外周端側に供給する均圧部コンダクタンス調整部と、
を有するガス整流部が提供される。
<付記26>
付記25に記載のガス整流部であって、好ましくは、
前記ガス均圧部は2つ以上のガス均圧空間を有する。
<付記27>
付記25又は付記26に記載のガス整流部であって、好ましくは、
前記コンダクタンス調整部は、前記ガス整流部の外周端に設けられる。
<付記28>
付記25乃至付記27のいずかに記載のガス整流部であって、好ましくは、
前記ガス均圧部は、少なくとも第1ガス均圧空間と第2ガス均圧空間を有し、前記第1ガス均圧空間と前記第2ガス均圧空間に異なる流量のパージガスが供給される。
<付記29>
付記25乃至付記28のいずれかに記載のガス整流部であって、好ましくは、
前記ガス整流部の上面に設けられたガス整流部支持部によって前記処理室の蓋に取り付けられる。
<付記30>
付記25乃至付記28のいずれかに記載のガス整流部であって、好ましくは、
前記ガス整流部の側方に設けられたガス整流部固定具によって、下面と前記基板支持部上面との距離を調整可能に構成される。
<付記31>
付記25乃至付記28のいずれかに記載のガス整流部であって、好ましくは、
前記ガス整流部の下面には、第2のコンダクタンス調整機構が設けられる。
200 ウエハ(基板)
201 処理室
202 処理容器
212 基板載置台
213 ヒータ
221 排気口(第1排気部)
234 ガス整流部
231 蓋
250 リモートプラズマユニット(励起部)

Claims (15)

  1. 基板を収容する処理室と、
    前記基板が載置される基板支持部と、
    前記基板に第1のガスを供給する第1のガス供給部と、
    前記基板に第2のガスを供給する第2のガス供給部と、
    複数箇所より供給されたパージガスを均圧するガス均圧部が設けられ当該ガス均圧部で均圧されたパージガスを前記基板支持部の外周端側に供給し、少なくとも前記第1のガス若しくは第2のガスのいずれか一方の排気コンダクタンスを調整するコンダクタンス調整部と、
    を有する基板処理装置。
  2. 前記ガス均圧部は、2つ以上のガス均圧空間を有する請求項1に記載の基板処理装置。
  3. 前記基板支持部の上方に設けられ、前記第1のガスと前記第2のガスを整流するガス整流部を有する請求項1に記載の基板処理装置。
  4. 前記コンダクタンス調整部は、前記ガス整流部の外周端に設けられる請求項3に記載の基板処理装置。
  5. 前記2つ以上のガス均圧空間それぞれに対しパージガスの供給量を異ならせるように供給するパージガス供給部を有する請求項2に記載の基板処理装置。
  6. 前記第1のガスを前記基板に供給する工程で、前記コンダクタンス調整部に第1の流量でパージガスを供給し、
    前記第2のガスを前記基板に供給する工程で、前記コンダクタンス調整部に前記第1の流量でパージガスを供給し、
    前記基板に前記第1のガスと前記第2のガスが供給されていない工程で、前記コンダクタンス調整部に前記第2の流量でパージガスを供給するように前記第1のガス供給部と前記第2のガス供給部と前記コンダクタンス調整部を制御するように構成された制御部が設けられる請求項1に記載の基板処理装置。
  7. 基板を処理室に収容する工程と、
    前記基板を前記基板支持部に載置する工程と、
    前記基板に第1のガスを供給する工程と、
    前記基板に第2のガスを供給する工程と、
    複数箇所より供給されたパージガスを均圧するガス均圧部を有し、当該ガス均圧部で均圧されたパージガスを前記基板支持部の外周端側に供給し、少なくとも前記第1のガス若しくは前記第2のガスのいずれか一方の排気コンダクタンスを調整する工程と、均圧部を有する半導体装置の製造方法。
  8. 前記ガス均圧部は、少なくとも2つ以上のガス均圧空間を有し、前記ガス均圧空間それぞれに供給されるパージガス流量を異ならせる工程を有する請求項7に記載の半導体装置の製造方法。
  9. 前記第1のガスを供給する工程で、前記コンダクタンス調整部に第1の流量でパージガスを供給する工程と、
    前記第2のガスを供給する工程で、前記コンダクタンス調整部に前記第1の流量で前記パージガス供給する工程と、
    前記基板に前記第1のガスと前記第2のガスが供給されていない工程で、前記コンダクタンス調整部に前記第2の流量で前記パージガスを供給する工程とを有する請求項8に記載の半導体装置の製造方法。
  10. 前記1の流量は、前記第2の流量よりも多く構成される請求項9に記載の半導体装置の製造方法。
  11. 基板を支持する基板支持部が設けられた処理室を有する基板処理装置に設けられ、
    前記基板に供給される第1のガスと第2のガスが通過する開口と、
    複数箇所より供給されたパージガスを均圧するガス均圧部が設けられ当該ガス均圧部で均圧されたパージガスを前記基板支持部の外周端側に供給するコンダクタンス調整部と、
    を有するガス整流部。
  12. 前記ガス均圧部は2つ以上のガス均圧空間を有する請求項11に記載のガス整流部。
  13. 前記ガス整流部の上面に設けられたガス整流部支持部によって前記処理室の蓋に取り付けられる請求項11に記載のガス整流部。
  14. 前記ガス整流部の側方に設けられたガス整流部固定具によって、下面と前記基板支持部上面との距離を調整可能に構成される請求項11に記載のガス整流部。
  15. 前記ガス整流部の下面には、第2のコンダクタンス調整機構が設けられる請求項11に記載のガス整流部。
JP2014140494A 2014-07-08 2014-07-08 基板処理装置、半導体装置の製造方法およびガス整流部 Active JP5837962B1 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2014140494A JP5837962B1 (ja) 2014-07-08 2014-07-08 基板処理装置、半導体装置の製造方法およびガス整流部
KR1020150093178A KR101631031B1 (ko) 2014-07-08 2015-06-30 기판 처리 장치, 반도체 장치의 제조 방법, 가스 정류부 및 기록 매체
US14/790,393 US10287684B2 (en) 2014-07-08 2015-07-02 Substrate processing apparatus
TW104121468A TWI584393B (zh) 2014-07-08 2015-07-02 A substrate processing apparatus, a manufacturing method of a semiconductor device, a gas rectifying section, and a computer-readable recording medium
CN201510397751.6A CN105261553B (zh) 2014-07-08 2015-07-08 衬底处理装置、半导体器件的制造方法以及气体整流部

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014140494A JP5837962B1 (ja) 2014-07-08 2014-07-08 基板処理装置、半導体装置の製造方法およびガス整流部

Publications (2)

Publication Number Publication Date
JP5837962B1 JP5837962B1 (ja) 2015-12-24
JP2016018886A true JP2016018886A (ja) 2016-02-01

Family

ID=54933250

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014140494A Active JP5837962B1 (ja) 2014-07-08 2014-07-08 基板処理装置、半導体装置の製造方法およびガス整流部

Country Status (5)

Country Link
US (1) US10287684B2 (ja)
JP (1) JP5837962B1 (ja)
KR (1) KR101631031B1 (ja)
CN (1) CN105261553B (ja)
TW (1) TWI584393B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018035396A (ja) * 2016-08-31 2018-03-08 株式会社日本製鋼所 原子層成長装置および原子層成長方法

Families Citing this family (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6088083B1 (ja) * 2016-03-14 2017-03-01 株式会社東芝 処理装置及びコリメータ
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6616258B2 (ja) * 2016-07-26 2019-12-04 株式会社Kokusai Electric 基板処理装置、蓋部カバーおよび半導体装置の製造方法
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102289575B1 (ko) * 2017-08-10 2021-08-13 가부시키가이샤 후지킨 유체공급 장치 및 유체공급 방법
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI809088B (zh) * 2018-04-13 2023-07-21 美商維高儀器股份有限公司 具有多區域噴射器塊的化學氣相沉積設備
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
KR102131933B1 (ko) * 2018-08-17 2020-07-09 주식회사 넥서스비 원자층 증착 장치 및 이를 이용한 원자층 증착 방법
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102381238B1 (ko) * 2018-11-26 2022-04-01 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR102275757B1 (ko) * 2020-08-24 2021-07-09 피에스케이 주식회사 기판 처리 장치
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230063746A (ko) * 2021-11-02 2023-05-09 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005142355A (ja) * 2003-11-06 2005-06-02 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2008218734A (ja) * 2007-03-05 2008-09-18 Nuflare Technology Inc 気相成長方法および気相成長装置
JP2009088473A (ja) * 2007-09-12 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2009224775A (ja) * 2008-02-20 2009-10-01 Tokyo Electron Ltd ガス供給装置、成膜装置及び成膜方法
JP2009231587A (ja) * 2008-03-24 2009-10-08 Nuflare Technology Inc 半導体製造装置および半導体製造方法
JP2010272765A (ja) * 2009-05-22 2010-12-02 Tokyo Electron Ltd 熱処理装置
JP2014074190A (ja) * 2012-10-02 2014-04-24 Tokyo Electron Ltd 成膜装置

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JP3925566B2 (ja) * 1996-11-15 2007-06-06 キヤノンアネルバ株式会社 薄膜形成装置
JPH10158843A (ja) * 1996-12-06 1998-06-16 Furukawa Electric Co Ltd:The 気相成長装置
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
DE50100603D1 (de) * 2000-02-04 2003-10-16 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
DE60203413T2 (de) 2001-10-26 2006-04-20 Applied Materials, Inc., Santa Clara Gaszuführvorrichtung zur abscheidung von atomaren schichten
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
KR100532354B1 (ko) * 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
WO2005124845A1 (ja) * 2004-06-15 2005-12-29 Hitachi Kokusai Electric Inc. 基板処理装置及び半導体装置の製造方法
KR101121417B1 (ko) * 2004-10-28 2012-03-15 주성엔지니어링(주) 표시소자의 제조장치
WO2007066472A1 (ja) * 2005-12-06 2007-06-14 Ulvac, Inc. ガスヘッド及び薄膜製造装置
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
JP2009088298A (ja) * 2007-09-29 2009-04-23 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US7967912B2 (en) * 2007-11-29 2011-06-28 Nuflare Technology, Inc. Manufacturing apparatus for semiconductor device and manufacturing method for semiconductor device
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
KR20100099094A (ko) 2007-12-27 2010-09-10 램 리써치 코포레이션 베벨 에칭 프로세스에 후속하는 구리 변색 방지
KR101432562B1 (ko) * 2007-12-31 2014-08-21 (주)소슬 기판 처리 장치 및 기판 처리 방법
US8876024B2 (en) * 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US20090269506A1 (en) * 2008-04-24 2009-10-29 Seiji Okura Method and apparatus for cleaning of a CVD reactor
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
KR101062462B1 (ko) * 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
WO2012009371A2 (en) * 2010-07-12 2012-01-19 Applied Materials, Inc. Compartmentalized chamber
JP5625624B2 (ja) * 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5579009B2 (ja) * 2010-09-29 2014-08-27 東京エレクトロン株式会社 成膜装置および成膜方法
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
JP5602711B2 (ja) * 2011-05-18 2014-10-08 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5644719B2 (ja) * 2011-08-24 2014-12-24 東京エレクトロン株式会社 成膜装置、基板処理装置及びプラズマ発生装置
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
JP2013133521A (ja) * 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法
JP6150506B2 (ja) * 2011-12-27 2017-06-21 東京エレクトロン株式会社 成膜方法
JP5679581B2 (ja) * 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
JP5884500B2 (ja) * 2012-01-18 2016-03-15 東京エレクトロン株式会社 成膜装置
JP5993154B2 (ja) * 2012-01-20 2016-09-14 東京エレクトロン株式会社 パーティクル低減方法
JP6144924B2 (ja) 2012-03-21 2017-06-07 株式会社日立国際電気 基板処理装置、メンテナンス方法及びプログラム
JP5823922B2 (ja) * 2012-06-14 2015-11-25 東京エレクトロン株式会社 成膜方法
JP2014017296A (ja) * 2012-07-06 2014-01-30 Tokyo Electron Ltd 成膜方法
US8925588B2 (en) * 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
JP5954202B2 (ja) * 2013-01-29 2016-07-20 東京エレクトロン株式会社 成膜装置
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
JP6157942B2 (ja) * 2013-06-13 2017-07-05 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
JP5950892B2 (ja) * 2013-11-29 2016-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9741575B2 (en) * 2014-03-10 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with gas delivery ring

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005142355A (ja) * 2003-11-06 2005-06-02 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2008218734A (ja) * 2007-03-05 2008-09-18 Nuflare Technology Inc 気相成長方法および気相成長装置
JP2009088473A (ja) * 2007-09-12 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2009224775A (ja) * 2008-02-20 2009-10-01 Tokyo Electron Ltd ガス供給装置、成膜装置及び成膜方法
JP2009231587A (ja) * 2008-03-24 2009-10-08 Nuflare Technology Inc 半導体製造装置および半導体製造方法
JP2010272765A (ja) * 2009-05-22 2010-12-02 Tokyo Electron Ltd 熱処理装置
JP2014074190A (ja) * 2012-10-02 2014-04-24 Tokyo Electron Ltd 成膜装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018035396A (ja) * 2016-08-31 2018-03-08 株式会社日本製鋼所 原子層成長装置および原子層成長方法
US10889893B2 (en) 2016-08-31 2021-01-12 The Japan Steel Works, Ltd. Atomic layer deposition apparatus and atomic layer deposition method

Also Published As

Publication number Publication date
CN105261553A (zh) 2016-01-20
CN105261553B (zh) 2018-09-28
KR20160006111A (ko) 2016-01-18
TWI584393B (zh) 2017-05-21
US10287684B2 (en) 2019-05-14
US20160010210A1 (en) 2016-01-14
TW201606910A (zh) 2016-02-16
JP5837962B1 (ja) 2015-12-24
KR101631031B1 (ko) 2016-06-15

Similar Documents

Publication Publication Date Title
JP5837962B1 (ja) 基板処理装置、半導体装置の製造方法およびガス整流部
JP5800964B1 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP5916909B1 (ja) 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
KR101612622B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP5944429B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
KR101665373B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101971326B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6001131B1 (ja) 基板処理装置、半導体装置の製造方法、プログラム
JP2017183393A (ja) 基板処理装置、半導体装置の製造方法及びプログラム
KR101611202B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP5800957B1 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP2019140146A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6691152B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP7118099B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151029

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151106

R150 Certificate of patent or registration of utility model

Ref document number: 5837962

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250