JP2012060147A - トレンチゲートmisデバイスの構造及び製造方法 - Google Patents

トレンチゲートmisデバイスの構造及び製造方法 Download PDF

Info

Publication number
JP2012060147A
JP2012060147A JP2011247832A JP2011247832A JP2012060147A JP 2012060147 A JP2012060147 A JP 2012060147A JP 2011247832 A JP2011247832 A JP 2011247832A JP 2011247832 A JP2011247832 A JP 2011247832A JP 2012060147 A JP2012060147 A JP 2012060147A
Authority
JP
Japan
Prior art keywords
trench
gate
conductive layer
opening
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011247832A
Other languages
English (en)
Other versions
JP5651097B2 (ja
Inventor
Bara Anap
アナップ・バラ
Dorman Pitzer
ドーマン・ピッツァー
Kollek Jack
ジャック・コレック
Shi Xiaolong
シャオロング・シー
Lui Sik
シク・ルイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Vishay Siliconix Inc
Original Assignee
Siliconix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siliconix Inc filed Critical Siliconix Inc
Publication of JP2012060147A publication Critical patent/JP2012060147A/ja
Application granted granted Critical
Publication of JP5651097B2 publication Critical patent/JP5651097B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7811Vertical DMOS transistors, i.e. VDMOS transistors with an edge termination structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0661Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body specially adapted for altering the breakdown voltage by removing semiconductor material at, or in the neighbourhood of, a reverse biased junction, e.g. by bevelling, moat etching, depletion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/407Recessed field plates, e.g. trench field plates, buried field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7804Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a pn-junction diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7806Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a Schottky barrier diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • H01L29/8725Schottky diodes of the trench MOS barrier type [TMBS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/905Plural dram cells share common contact or common trench

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

【課題】より単純に製造でき、かつ平坦なトポロジを得ることができ、更にトレンチの上部曲がり部における降伏電圧の問題が解消されたトレンチゲートMISデバイスを提供すること。
【解決手段】トランジスタセルを含む活性領域と、トランジスタセルを含まないゲート金属領域と、ゲート金属層とを含み、半導体チップの表面のパターンに、活性領域からゲート金属領域に至るトレンチが形成されており、このトレンチが絶縁材料の層で裏打ちされた壁部を有し、導電性ゲート材料がトレンチ内に設けられており、導電性ゲート材料の上面が半導体チップの上面より下側に位置し、非導電層が活性領域及びゲート金属領域の上に位置し、ゲート金属領域におけるトレンチの一部の上側の非導電層に開口が形成されており、ゲート金属がトレンチ内の接触領域のゲート材料と接触するように、開口が前記ゲート金属で満たされているトレンチゲートMISデバイス。
【選択図】図24

Description

本発明は金属−絶縁体−シリコン半導体(MIS:metal-insulator-siliconsemiconductor)デバイスに関し、特にトレンチ内にゲートが形成されたMISデバイスに関する。
シリコンまたは他の半導体材料の表面から下方に延びたトレンチ内にゲートが形成された金属−絶縁体−シリコン半導体(MIS)デバイスの種類がある。そのようなデバイスの電流の流れは主に垂直方向であるため、セルを高密度に配置できる。その他の点は全て同じであるため、こうすることにより電流が流れる能力を高めると共にデバイスのオン抵抗を減らすことができる。MISデバイスの一般的な範囲に含まれるデバイスには、酸化金属半導体電界効果トランジスタ(MOSFET)、バイポーラ型電界効果トランジスタ(IGBT)、及びMOSゲートサイリスタが含まれる。MOSFET、IGBT、及びMOSゲートサイリスタの1つのゲートトレンチの断面図をそれぞれ、図1、図2、及び図3に示す。
このようなデバイスでは、ポリシリコンである場合が多いゲート材料を、通常は金属である導電パッドを介してデバイスパッケージのリード及び外部回路に接続しなければならない。これを達成するために、オーバーフローするようにトレンチにゲート材料を充填してから、リソグラフィ及びエッチングによりパターン形成する。パターン形成の後、図1−図3に示されているように通常はデバイスの活性領域のトレンチ内にゲート材料を限定する。しかしながら、ゲート材料と接触している領域では、ゲート材料がトレンチの外側に延び、シリコンの表面上に延在している。これは、図4の従来のMISデバイス40の3次元的な断面図に示されている。不活性なゲート金属領域41において、ポリシリコン層42がトレンチ44の外側に延び、エピタキシャルシリコン層46の上に延在している。トレンチ44は、ポリシリコン層42をエピタキシャル層46から絶縁するゲート酸化層47で裏打ちされている。トレンチの端部は43として示されている。ポリシリコン層42の一部が、厚いフィールド酸化領域48の上に位置する。続くゲート金属層とポリシリコン層42との接触領域が45として示されている。
図5は、同じデバイスのゲート金属領域41の平面図である。図6は、図5の線6−6に沿って見た同じデバイスの断面図であるが縮尺は異なる。この実施形態では、活性領域56のMISセル54は正方形である。ポリシリコン層42、並びにゲート金属49とポリシリコン層42との接触領域45が示されている。図7は、このデバイスのゲートパッド縁部及びターミネーション領域の平面図である。
トレンチの曲がり部はストレス源として知られ、デバイスの欠陥に関連した問題につながる。これが、或るトレンチ44の端部付近に沿って見た断面を詳細に表す図8に示されている。上部のトレンチ曲がり部52を酸化すると、通常、酸化層が局部的に薄くなり、そのため酸化層の降伏電圧が低くなってしまう。曲がり部を鋭くすればするほどこの問題は深刻になる。更に、ゲートと近接する半導体材料(MOSFETでは通常、ソースに短絡される図8のPボディ)との間に電位差が加えられと、電界の集中によりトレンチの曲がり部において電界が最大値に達する。これにより、ゲート酸化膜を通るFowler-Nordheimトンネルからのリーク電流につながり、デバイスの使用できる最大ゲート電圧が制限されてしまう。電界の集中の問題は、ゲート酸化層が完全に均一であったとしても存在し、トレンチ曲がり部がより鋭くなると悪化する。
このような理由から、多くの製造者がトレンチ曲がり部を丸くするために様々な技術を用いている。しかしながら、過剰なゲートのリーク電流の問題を解消するべく、上部のトレンチ曲がり部を十分に丸くするのは困難であり、セルの密度が増せば更に困難になる。
更に、トレンチ−ゲートMOSFETの製造に用いられる工程には、通常は多くのマスク工程があるため、微小構造の精度を妨げる不均一な形状が生じる。図9−図17は、N+シリコン基板802上に施す従来の製造工程を例示する。この工程はまず、通常は酸化層804の上に形成される第1のフォトレジストマスクA1で始め、一般的なフォトリソグラフィ工程でパターン形成して、P−タブ806が形成される領域を画定する(図9)。P−タブ806は、トレンチの曲がり部の電界の強度を弱くするために用いられる。P型ドーパントをマスクA1の開口から注入してP−タブ806を形成し、その後でマスクA1を除去する。酸化層804を厚くするために加熱によりP−タブ806をドライブインした後(図10)、第2のマスクA2を堆積してパターン形成し、デバイスの活性領域808を画定し、フィールド酸化層となる酸化層804がデバイス(図11)のターミネーション領域810に残る。
マスクA2を除去した後、第3のトレンチマスクA3を形成して、トレンチが形成される部分を画定するべくパターン形成する。次に、一般的な反応性イオンエッチング(RIE)工程でトレンチ812をエッチングする。トレンチ812A及び812Bは相互に接続され(紙面の外側で3次元的に)、トレンチ812Cはターミネーション領域の外側の縁に位置する必要に応じて形成される「チャネルストッパー」トレンチである。トレンチがエッチングされてマスクA3が除去された後、犠牲酸化層を形成して除去し、RIE工程で生じたすべての結晶損傷を修理する。ゲート酸化層813をトレンチ812の壁部に形成する。
ポリシリコン層814を、トレンチ812を満たし、シリコンの表面にオーバーフローするように堆積してドープする。第4のポリシリコンマスクA4をポリシリコン層814上に堆積しパターン形成する(図13)。ポリシリコン層814を、トレンチ812Bからゲートバス領域のフィールド酸化層804の上に至る部分を除き、トレンチ812内をエッチバックする。ポリシリコン層814の延長部により、トレンチ812内のポリシリコン層812の部分と電気的に接触する。
次に、マスクA4を除去し、P型ドーパントを注入してドライブインし、Pボディ領域816を形成する(図14)。このドーパントはポリシリコン層814にも進入するが、濃度が低いため如何なる問題も生じない。
第5のマスクA5を堆積しパターン形成し、N型ドーパントが注入されN+ソース領域818(図15)が形成される部分を画定する。N+ソース領域818が形成された後でマスクA5を除去し、ホウ素燐ケイ酸ガラス(BPSG)層820を堆積しリフローする。第6のマスクA6を形成してパターニングし、基板(Pボディ領域816とN+ソース領域818)及びゲート(ポリシリコン層814)が接触する部分を画定する。P型ドーパントを注入してP+ボディ接触領域821を形成して、金属層822を堆積する。第7のマスクA7(不図示)を金属層822上に形成してパターニングする。金属層822を第7のマスクを介してエッチングしてソース金属822A及びゲートバス822B(図17)を形成する。必要に応じて、パジベーション層を堆積し、第8のマスク(不図示)を形成してパターニングし、MOSFETに外部接触するソース及びゲートパッドを画定する。
この工程には幾つかの不利な点がある。第1に、8つのマスク工程が必要であり、これにより製造が相当複雑になり費用が嵩む。第2に、トレンチの外側のポリシリコン層814の延長部並びにフィールド酸化層804が存在するため、ゲートバス822Bの領域の形状が高くなってしまう。特にこれらのデバイスの寸法がサブミクロンの範囲に達すると、この高くなった領域によりフォトリソグラフィにおいて問題が生じる。第3に、トレンチ812Bの上部曲がり部におけるゲート酸化膜に降伏電圧が生じ得る。
従って、より単純に製造でき、かつ平坦なトポロジを得ることができ、更にトレンチの上部曲がり部における降伏電圧の問題を回避できる製造方法が要望されている。
本発明は、トレンチゲートMISデバイスのトレンチの上部曲がり部における降伏電圧の問題を解消するための構造及び技術を提供する。トレンチゲートMISデバイスは半導体チップに形成され、トランジスタセルを含む活性領域、トランジスタセルを含まないゲート金属領域、及びゲート金属層を含む。トレンチが、活性領域からゲート金属領域に延在するように半導体チップの表面にパターン形成され、絶縁材料の層で裏打ちされた壁部を有する。通常はポリシリコンである導電性ゲート材料がトレンチ内に堆積され、ゲート材料の上面が半導体チップの表面よりも低くされる。非導電層が活性領域及びゲート金属領域の上に位置し、ゲート金属領域のトレンチ部分の上の非導電層に開口が設けられている。この開口は、「ゲート金属」と呼ばれることが多い導電材料で満たされ、ゲート金属がトレンチ内の接触領域の導電性ゲート材料と接触している。
ゲート金属がトレンチから半導体チップの表面にオーバーフローしていないため、トレンチの上部曲がり部の周りにゲート金属が延在していない。こうすることで、ゲート金属と半導体チップとの間に電位差が生じた時に起こるストレスを回避できる。
本発明に従った様々な実施形態が可能である。例えば、ゲート材料とゲート金属を良好に電気的に接触するために、ゲート材料とゲート金属との接触領域におけるトレンチの幅を活性領域のトレンチの幅よりも広くすることができる。ゲート金属が第1のゲートフィンガーの導電性ゲート金属と接触し、この第1のゲートフィンガーは第2のゲートフィンガーと直角を成している。第2のゲートフィンガーは、活性領域からゲート金属領域に延び、第1のゲートフィンガーと交差している。
本発明の別の実施態様は、MISデバイスの製造方法に関する。この製造方法は、マスク工程数が従来の製造方法に比べて少なく、相対的に平坦な形状にすることができ、微細なフォトリソグラフィ工程に適している。製造方法は、トレンチの位置を画定する開口を有するトレンチマスクを半導体チップの表面に形成する工程と、トレンチマスクの開口を介してエッチングして基板にトレンチを形成する工程と、トレンチマスクを除去する工程と、トレンチの壁部に第1の非導電層を形成する工程と、導電性ゲート材料がトレンチの外側の基板表面にオーバーフローするように導電性ゲート材料を堆積させる工程と、マスクを用いずに、トレンチ内のゲート材料の上面が基板の表面よりも低くなるようにゲート材料をエッチングする工程と、基板の表面に第2の非導電層を形成する工程と、開口を有する接触マスクを第2の非導電層上に形成する工程と、接触マスクの開口を介してエッチングして第2の非導電層にゲート接触開口を形成する工程と、接触マスクを除去する工程と、ゲート接触開口を介してゲート材料と接触するように第2の導電層を第2の非導電層上に堆積させる工程とを含む。必要に応じて、接触マスクを用いるエッチングにより、第2の非導電層に基板接触開口を形成して、この基板接触開口を介して基板と接触するように第2の導電層が延在するようにし、製造方法に、開口を有する金属マスクを第2の導電層上に形成する工程と、金属マスクの開口を介して第2の導電層をエッチングする工程とを加えることができる。この製造方法は、ゲート接触材料の一部のエッチングのためのマスク工程、並びに酸化層の一部をエッチングしてフィールド酸化層を形成するためのマスク工程を含まない。
製造方法は、様々に変更することができ、MOSFET、IGBT、MOSゲートサイリスタを含む様々なMISデバイスの製造に用いることができる。この製造方法はまた、Schottkyまたはポリシリコンダイオードを含むMOSFETの製造にも用いることができる。
本発明はまた、相対的に平坦な形状のMISデバイスを含む。具体的には、ゲートバスが厚いフィールド酸化層領域の上に位置しない。代わりに、非導電層(例えば、BPSG)が半導体基板の上面に位置する。通常は金属である導電層が非導電層上に位置する。非導電層は開口を含み、この開口により金属層がMISデバイスの活性領域(例えば、MOSFETのソース及びボディ)における基板と電気的に接触する。ゲートバスもまた、同じ非導電層上に位置する。ゲートバスの下側の非導電層の厚みは、デバイスの活性領域における非導電層の厚みと実質的に同一である。或る実施形態では、導電性ゲート材料で満たされたゲート接触トレンチが、ゲートバスの下側の基板に形成され、ゲートバスが非導電層の開口を介してゲート材料と電気的に接触している。
本発明の別の実施態様に従えば、ゲート接触トレンチの両側に2或いはそれ以上の保護トレンチが形成される。こうすることにより、ゲート接触トレンチ底部の降伏電圧に悪影響を与えることなく、デバイスの活性領域のトレンチよりも幅が広くて深いゲート接触トレンチを形成することができる。
より単純に製造でき、かつ平坦なトポロジを得ることができ、更にトレンチの上部曲がり部における降伏電圧の問題を回避できる製造方法が提供される。
MOSFETにおける1つのゲートトレンチの断面図。 IGBTにおける1つのゲートトレンチの断面図。 MOSゲートサイリスタにおける1つのゲートトレンチの断面図。 従来のMISデバイスにゲートがどのように形成されているかを示す三次元的な断面図。 図4に示すMISデバイスの金属ゲート領域の平面図。 図4に示すMISデバイスの金属ゲート領域の断面図。 MISデバイスのゲートパッドエッジ及びターミネーション領域を示す平面図。 トレンチの上部曲がり部におけるストレスの領域を示すゲートトレンチの詳細図。 トレンチゲートMOSFETの従来の製造工程を示す図。 トレンチゲートMOSFETの従来の製造工程を示す図。 トレンチゲートMOSFETの従来の製造工程を示す図。 トレンチゲートMOSFETの従来の製造工程を示す図。 トレンチゲートMOSFETの従来の製造工程を示す図。 トレンチゲートMOSFETの従来の製造工程を示す図。 トレンチゲートMOSFETの従来の製造工程を示す図。 トレンチゲートMOSFETの従来の製造工程を示す図。 トレンチゲートMOSFETの従来の製造工程を示す図。 本発明に従ったMISデバイスの三次元的な断面図。 本発明に従ったメサにP−タブを含むMISデバイスの三次元的な断面図。 本発明に従ったトレンチの下側まで延在するP−タブを含むMISデバイスの三次元的な断面図。 走査型電子顕微鏡(SEM)を用いて作成した本発明に従って製造されたMOSキャパシタの断面図。 様々な種類のMOSキャパシタにおけるゲートとシリコンとの間の電圧に関連するFowler-Nordheimトンネル電流を示すグラフ。 活性領域、ゲートパッド領域、ターミネーション領域、及びゲート金属領域がどのように構成されているかを示すMISチップの全体を示す平面図。 本発明の第1の実施形態に従ったMISデバイスのゲート金属及び活性領域お示す平面図。 第1の実施形態のエッジターミネーション領域及びゲートパッド領域を示す平面図。 第1の実施形態におけるゲート接触領域を示す断面図。 本発明の第2の実施形態に従ったMISデバイスのゲート金属及び活性領域を示す平面図。 第2の実施形態のエッジターミネーション領域及びゲートパッド領域を示す平面図。 第2の実施形態のゲート接触領域を示す断面図。 第2の実施形態に従った、ゲート金属とポリシリコンとの間に接触が形成された、ゲートフィンガーとゲートフィンガーとの間の交差部を示す詳細な平面図。 第2の実施形態のトレンチを示す断面図。 第2の実施形態に従った、あるゲートフィンガーと、ゲート金属とポリシリコンとの間で接触が形成されるゲートフィンガーとの間の交差部の断面図。 本発明の第3の実施形態に従った、MISデバイスのゲート金属及び活性領域を示す平面図。 第3の実施形態のエッジターミネーション領域及びゲートパッド領域を示す平面図。 本発明の第4の実施形態に従った、MISデバイスのゲート金属領域及び活性領域を示す平面図。 本発明の第5の実施形態に従った、MISデバイスのゲート金属領域及び活性領域を示す平面図。 本発明に従った、MISデバイスのゲートポリシリコンとゲート金属との間の接触を形成する製造工程を例示する図面。 本発明に従った、MISデバイスのゲートポリシリコンとゲート金属との間の接触を形成する製造工程を例示する図面。 本発明に従った、MISデバイスのゲートポリシリコンとゲート金属との間の接触を形成する製造工程を例示する図面。 本発明に従った、MISデバイスのゲートポリシリコンとゲート金属との間の接触を形成する製造工程を例示する図面。 本発明に従った、MISデバイスのゲートポリシリコンとゲート金属との間の接触を形成する製造工程を例示する図面。 本発明に従った、MISデバイスのゲートポリシリコンとゲート金属との間の接触を形成する製造工程を例示する図面。 本発明に従った、トレンチMOSFETを製造するための製造工程を例示する図。 本発明に従った、トレンチMOSFETを製造するための製造工程を例示する図。 本発明に従った、トレンチMOSFETを製造するための製造工程を例示する図。 本発明に従った、トレンチMOSFETを製造するための製造工程を例示する図。 本発明に従った、トレンチMOSFETを製造するための製造工程を例示する図。 本発明に従った、トレンチMOSFETを製造するための製造工程を例示する図。 本発明に従った、トレンチMOSFETを製造するための製造工程を例示する図。 本発明に従った、トレンチMOSFETを製造するための製造工程を例示する図。 本発明に従った、トレンチMOSFETを製造するための製造工程を例示する図。 集積Schottkyダイオードを備えたトレンチMOSFETの製造工程を例示する図。 集積Schottkyダイオードを備えたトレンチMOSFETの製造工程を例示する図。 集積Schottkyダイオードを備えたトレンチMOSFETの製造工程を例示する図。 集積Schottkyダイオードを備えたトレンチMOSFETの製造工程を例示する図。 集積Schottkyダイオードを備えたトレンチMOSFETの製造工程程を例示する図。 集積Schottkyダイオードを備えたトレンチMOSFETの製造工程を例示する図。 集積Schottkyダイオードを備えたトレンチMOSFETの製造工程を例示する図。 集積Schottkyダイオードを備えたトレンチMOSFETの製造工程を例示する図。 集積Schottkyダイオードを備えたトレンチMOSFETの製造工程を例示する図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する従来の工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する従来の製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する従来の製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する従来の工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する従来の製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する従来の製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する従来の製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する従来の製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する従来の製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する従来の製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する本発明に従った製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する本発明に従った製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する本発明に従った製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する本発明に従った製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する本発明に従った製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する本発明に従った製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する本発明に従った製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する本発明に従った製造工程を示す図。 集積ポリシリコンダイオードを備えたトレンチMOSFETを形成する本発明に従った製造工程を示す図。 本発明に従った、エピタキシャル層を成長させてボディ領域を形成するMOSFETの製造工程を例示する図。 本発明に従った、エピタキシャル層を成長させてボディ領域を形成するMOSFETの製造工程を例示する図。 本発明に従った、エピタキシャル層を成長させてボディ領域を形成するMOSFETの製造工程を例示する図。 本発明に従った、エピタキシャル層を成長させてボディ領域を形成するMOSFETの製造工程を例示する図。 本発明に従った、エピタキシャル層を成長させてボディ領域を形成するMOSFETの製造工程を例示する図。 本発明に従った、エピタキシャル層を成長させてボディ領域を形成するMOSFETの製造工程を例示する図。 従来のゲート接触トレンチの断面図。 本発明に従った、一対の保護トレンチ及びゲート接触トレンチの断面図。 図71−図79に示す工程を用いて形成することができるポリシリコンMOSFETを示す図。 特定の実施形態のポリシリコンMOSFETを示す平面図。 特定の実施形態のポリシリコンMOSFETを示す断面図。
本発明に従えば、トレンチ−ゲートMISデバイスのトレンチの充填に用いられるポリシリコンまたは他の材料は、エッチバック或いは別の方法でトレンチ内を平坦化し、ゲート充填材とゲート材料との間の接触がトレンチ内でできるようにする。ゲート充填材量がトレンチの上部曲がり部に重ならないため、トレンチの上部曲がり部で発生するストレスの問題を解消できる。(注:本明細書で用いる語「ポリシリコン」は、ゲート材料としてトレンチ内に堆積される導電材料を意味し、或る実施形態ではポリシリコンの代わりに金属や他の導電材料が用いることができることを理解されたい。同様に本明細書で用いる語「ゲート金属」は、トレンチ内のゲート材料と接触を形成するために用いられる導電材料を意味し、或る実施形態では「ゲート金属」として金属の代わりにポリシリコンや他の導電材料を用いることができることを理解されたい。)図18は、N+基板81上に成長させられたN−エピタキシャル層82に形成されたトレンチゲートMISデバイス80の部分図である。Pボディ領域83は、N−エピタキシャル層82に形成されている。ゲートトレンチ84は、N−エピタキシャル層82の上面上の酸化層89と接触しているゲート酸化層85によって裏打ちされている。
トレンチ84は、トレンチ84内にある上面87を有するポリシリコンゲート86で部分的に満たされている(即ち、N−エピタキシャル層82の上面より下側)。この実施形態では、トレンチ84が、やや幅の広い横方向の部分84Aを含む。横方向部分84Aの上面87の部分88は、ポリシリコンゲート86とゲート金属層(不図示)との間で後に形成される接触領域を示す。
図4に示されている類似のMISデバイス40とは対照的に、トレンチ84内のポリシリコンは、ポリシリコン層42の様にはトレンチの上部曲がり部の周りに延在していない。これにより、上記したストレスの問題を解消できる。
図19は、MISデバイス80に類似したMISデバイス90を示すが、ゲートトレンチ84を覆うトレンチセグメント間のメサにおけるN−エピタキシャル層82にP−タブ91が形成されているという点が異なる。図20に示されているMISデバイス100では、タブ101がトレンチ84の真下の領域にも延在する。MISデバイス90及び100は、高い降伏電圧を有するように設計されている。
図21は、本発明に従って製造されたMOSキャパシタの走査型電子顕微鏡(SEM)による断面図である。ポリシリコンゲートは110として示され、ゲート金属は112として示されている。BPSG誘電体層116が、トレンチ114のセグメント間のメサの上に延在しており、ゲート金属112とトレンチ114の上部曲がり部との間を絶縁している。
図22は、様々なタイプのMOSキャパシタにおけるゲートとシリコンとの間の電圧に関連するFowler-Nordheimトンネル電流を示すグラフである。曲線A−Eは、以下に示すデバイスについての曲線である。
Figure 2012060147
図22によれば、本発明に従ったデバイスにおけるFNトンネル電流は約25Vから50Vの範囲で従来のトレンチゲートデバイスよりもかなり低い。実際、本発明のデバイスは従来のプレナーデバイスの電流に近い。
本発明の原理を様々な構造に適用することができる。網羅的ではないが幾つかの例が図24−図36に示されている。これらの例のすべては、MISデバイスの活性領域からターミネーション或いはゲートパッド領域のゲート金属領域に至る一連の平行な「ゲートフィンガー」を示す。本明細書で用いる語「ゲートフィンガー」は、ゲートトレンチから、例えば「ゲート金属」または「ゲートバス」領域、或いは「ターミネーション」または「エッジターミネーション」領域とも呼ばれるMISデバイスの活性領域の外側領域まで延びた延長部を指す。図23は、どのように活性なゲートパッド及びエッジターミネーション領域が構成されているかを示すMISチップの全体の平面図である。当業者であれば、様々な改変が可能であることを理解できよう。
図24は本発明の第1の実施形態を示す。正方形セル型MOSFET140は、活性領域141及びゲート金属領域142を含む。一連の平行なゲートフィンガー143が、活性領域141からゲート金属領域142に延びている。ソース金属の縁部は144として示され、ゲート金属の縁部は145として示されている。ゲートフィンガー143内のポリシリコンとゲート金属145との間の接触領域は領域146として示されている。ゲートフィンガー143の幅の広い部分147が、接触領域146を包含していることが分かるであろう。こうすることにより、活性なセルの寸法を極めて小さくでき、かつトレンチの上部曲がり部から離間したトレンチに良好な電気的接触を形成することができる。図26は、断面26−26における接触領域146の断面図であり、ゲート金属148及びBPSG層149を示す。図25は、ターミネーション領域150及びゲートパッド151に隣接したMOSFET140の一部の平面図である。
図27は本発明の第2の実施形態を示す。MOSFET160は、活性領域161及びゲート金属領域162を含む。ソース金属の縁部は164として示され、ゲート金属168の縁部は165として示されている。一連の平行なゲートフィンガー163が、活性領域161からゲート金属領域162に延びている。ゲートポリシリコンとゲート金属168との間の接触領域166が、ゲートフィンガー163と交差するように延在するゲートフィンガー167において形成されている。この実施形態では、ゲートフィンガー167はゲートフィンガー163よりも幅が広くなっているが、必ずしもそうする必要はない。図29は、図27の断面29−29に沿って見た詳細な断面図である。図28は、ターミネーション領域170及びゲートパッド171に隣接したMOSFET160の平面図である。
ゲートフィンガー167がゲートフィンガー163よりも広い場合は、ゲートフィンガー163とゲートフィンガー167の交差部におけるトレンチ充填に問題が生じ得る。この問題が図29−図32に例示されている。図30は、ゲートフィンガー163とゲートフィンガー167との1つの交差部の詳細な平面図である。図30の断面31−31を示す図31は、トレンチがポリシリコン173で充填された部分を示す。一方、ゲートフィンガー163とゲートフィンガー167との交差部は図30の断面32−32を示す図32に示されており、ポリシリコン173がトレンチを完全には満たしていない。
この問題は、図33及び図34に示されている第3の実施形態により解決することができる。MOSFET180は図27に示されているMOSFET160に類似しているが、ゲートフィンガー183がゲートフィンガー163よりも広く、ゲートフィンガー187がゲートフィンガー183との交差部で狭くなっているという点が異なる。ゲートポリシリコンとゲート金属との間の接触領域186は、ゲートフィンガー183と187とが交差する領域には至っていない。従って、ゲートフィンガー183との交差部のゲートフィンガー187の幅によって起こりうる問題が解消されている。もちろん、ゲートフィンガー183とのスペースは様々に変更することができ、MOSFET160におけるゲートフィンガー163間のスペースより広くする必要はない。図34は、ターミネーション領域190及びゲートパッド191に隣接したMOSFET180の平面図である。
図35に示されている第4の実施形態は、上記したトレンチ充填の問題を解決するための別の方法を示す。MOSFET200は、活性領域201からゲート金属領域202に延在する平行なゲートフィンガー203が直角にゲートフィンガー207と交差しているという点で図27に示されているMOSFET160にやや類似しているが、MOSFET200では、ゲートフィンガー207と一側からのゲートフィンガー203との交差部と、反対側からのゲートフィンガー203との交差部がオフセットをされているため、T字状の交差部となっている。その結果、交差部におけるトレンチの充填は、図27に示されている構造と比べて改善されている。ポリシリコンゲートとゲート金属との間の接触は、ゲートフィンガー207に沿って、縦方向に延在する接触領域206において形成されている。
図36に示されている第5の実施形態は、ゲートフィンガー223が、ゲートポリシリコンとゲート金属との間の接触が形成されている広い部分227を含むという点で図24に示されているMOSFET140に類似している。しかしながら、MOSFET220では、幅の広い各部分227は、ゲートフィンガー223の長手方向において互いにオフセットされているため、各ゲートフィンガー223間の距離が他の方法では不可能なまで短縮することが可能である。
トレンチ内でゲート接触を形成するための工程が図37−図48に示されている。この工程は、既知の工程を用いてN+基板300に成長させられたN−エピタキシャル層301を含む半導体チップで開始する。図37に示されているように、フォトレジストトレンチマスク302がN−エピタキシャル層301の表面に形成される。図38に示されているように、トレンチ303がトレンチマスク302の開口を介して反応性イオンエッチング(RIE)によって形成される。トレンチのある部分がゲート接触を可能とするために広くなっている実施形態(図24、図27、図33、及び図34)では、トレンチはトレンチマスクの開口の幅を調節して形成することができる。次にトレンチマスク302を除去する。
通常は、犠牲酸化層(不図示)が、RIEエッチングの際に生じた結晶ダメージを修復するためにトレンチの壁部に形成され、次にこの犠牲酸化層が除去される。ゲート酸化層304がトレンチの壁部で熱成長させられる。ポリシリコン層305がN−エピタキシャル層301の上面に堆積され、トレンチ303を満たし、図38に示されている構造が形成される。
次に、図39に示されているように、ポリシリコン層305の上面306がN−エピタキシャル層301の上面307の下側に来るまでポリシリコン層305がエッチバックされる。ポリシリコン層305は、トレンチ303の上部曲がり部と重ならないように十分にエッチバックされることが重要である。ポリシリコン層305の表面306は、N−エピタキシャル層301の上面より下側に調節することができる。ポリシリコンがチップ全体にわたって均一にエッチバックされるため、この工程はマスクを用いないで行われ、これにより製造コストを軽減することができることに注目されたい。
次に、ホウ素燐ケイ酸ガラス(BPSG)層308が構造の上面に堆積され、フォトレジスト層309でマスクされる。フォトレジストマスクの開口310が、開口310の縁がトレンチ303の両壁部に対して内側に横方向に延在するようにトレンチ303の中心部分の上に形成される。得られる構造が図40に示されている。
BPSG層308がフォトレジスト層309の開口310を介してエッチングされ、開口310と実質的に一致し、ポリシリコン305の上面306に至るゲート接触開口311が形成される。次にフォトレジスト層309が除去され、図41に示される構造が得られる。
図42に示されているように、金属層312は堆積される。金属層312とポリシリコン305との間の接触がトレンチ303の中心領域内で完全に形成されるため、またゲート接触開口311の幅がポリシリコン層305の上面306の幅よりも狭いため、ポリシリコン層305とトレンチ303の上部曲がり部のN−エピタキシャル層301との近接を回避することができる。上記したストレスの問題が生じるのはこの近接によるものである。
上記したように、トレンチゲートMOSFETを形成するための従来の工程は、様々なマスク工程(図9−図17の例では8回)を必要とし、ゲートバスの領域にリッジが残り、微小寸法のフォトリソグラフィが困難となる。本発明の別の実施態様は、これらの問題を解消する改良された工程である。
図43−図51は、本発明に従った工程を例示する。この工程は、N+基板上に位置するエピタキシャル層を用いることができるN−層402で始める。必要に応じて、薄い酸化層404を、フォトレジストマスクを接着するため、或いは選択的エッチングに耐えるための硬いマスクを提供するため、または後の酸化を回避するために層402の表面に形成され得る。次に、第1のフォトレジストマスクB1を設けてパターン形成し、トレンチの位置を画定する。層402が極めて平坦であるため、マスクB1は従来技術(例えば、図12に示されているマスクA3)に必要なマスクの厚さよりも薄くすることができ、従って小さな構造(トレンチ)を画定することが可能である。トレンチ406はマスクB1を介してRIEでエッチングされる。トレンチ406は、活性領域407におけるトレンチ406A、ターミネーション領域409におけるゲートバス接触トレンチ406B、およびオプションのチャネルストッパー領域411におけるチャネルストッパートレンチ406Cを含む(図43)。トレンチ406A及び406Bは第3の次元において互いに接続されている。
トレンチ406がエッチングされた後、マスクB1を除去し、RIE工程によって生じた結晶の欠陥を除去するべく犠牲酸化層(不図示)をトレンチ406の壁部に成長させ、エッチングされる。ゲート酸化層408はトレンチ406の壁部で成長させられる。ポリシリコン層410が堆積され、ドープされ、ポリシリコン層410がトレンチ406の内部にのみ残ようになるまでエッチバックされる(図44)。図9−図17に示された工程とは異なり、この工程はポリシリコン層410をパターン形成するためにポリシリコンマスクを必要としない。メサ領域及びターミネーション領域の上に厚い酸化層が必要な場合は、再び酸化工程を実施することができる。
第2のマスクB2が堆積され、パターン形成され、ボディ注入が層402の中に導入される領域が画定される。P型ドーパントがマスクBの開口を介して注入され、ドライブインされ、Pボディ領域412(図45)が形成される。図9−図17に示された工程とは異なり、この工程は活性領域を画定するためにマスク(例えば、図11のマスクA2)を必要としない。設計者が、J. Zeng et al.,ISPSD 2000, pp 145-148に記載されているような「スプリット−ウェル」構造を達成するべくメサ領域内においてボディを形成したいのであれば、層402の表面は極めて平坦であるため小さな構造のリソグラフィを容易に行うことができる。P型ドーパントの注入エネルギーは、このドーパントが酸化層408は浸透するがマスクB2は浸透しないように選択される。酸化層408が厚すぎる場合は、注入による浸透を容易にするためにエッチバックを行うことができる。
次にマスクB2を除去し、構造を洗浄し、P型ドーパントをアニールし、拡散させ、N−型層内の目的の接合深さを達成する。
第3のマスクB3を堆積し、パターン形成し、ソース領域の位置を画定する。N型ドーパントをマスクB3の開口を介して注入し、N+ソース領域414(図46)を形成する。N型ドーパントが、ターミネーション領域及び活性領域の周辺に注入されないようにするが、「チャネルストッパー」トレンチ406Cの近傍領域には注入してN+領域415を形成し、表面逆転層が形成されるのを防止することに注目されたい。構造の表面は極めて平坦であるため、フォトリソグラフィーを比較的容易に実施することができる。酸化層408は、酸化層408を介して注入されるイオンの種類によっては、マスクB3を配置して、エッチングで薄くしなければならない場合もあろう。
マスクB3を除去して、その構造を再び洗浄する。
BPSGなどの誘電体層416を堆積し、必要に応じて高密化する。第4のマスクB4をBPSG層416上に堆積し、パターン形成し、接触開口を画定する(図47)。なお構造がかなり平坦であるため、図16に示されているマスクA6よりも薄い層のフォトレジストを用いてリソグラフィ工程を実施することができる。BPSG層416をマスクB4の開口を介してエッチングした後、マスクB4を除去し、P型ドーパントを注入してP型接触領域418を形成する(図48)。この注入により、堆積される金属層とボディとの間の抵抗を小さくし、またこれを用いて、アバランシェ降伏をトレンチ近傍領域からトレンチ間のメサの中心領域に移すことができる。これについては、Buluceaらに付与された米国特許第5,072,266号に開示されている。ボディ接合部が深すぎてこの技術を用いることができない場合は、ボディ注入がブロックされた領域をパターン形成して一連の分散アバランシェクランプ(distributed avalanche clamps)を形成し、ドーパントが横方向に浸透する曲線接合部を形成する。曲線接合部間の間隔は、活性なトレンチの降伏電圧よりも低く設定して制御することができる。これらの領域におけるソース接触は回避しなければならない。別法では、ターミネーション領域409における降伏電圧を活性領域407における降伏電圧よりも低く設計して設定することもできる。
トレンチ406B内のゲートへの接触を形成することができるため、ポリシリコンマスクを用いる必要がないことに注意されたい。また層402が平坦であるため、BPSG層416に小さな接触開口を形成することが容易である。
別法では、1つのマスクB4を用いる代わりに2つの別のマスクを用いて、BPSG層416を介してゲートバス接触トレンチ406Bのポリシリコン層410に至る接触開口及びN−層402に至る接触開口をそれぞれ形成することができる。
本構造を、750℃〜950℃の範囲の高温でアニールする。こうすることにより、P+接触の注入、及びN+ソースの注入(まだ起こっていない場合)が起こり、BPSG層416が高密化され円滑になる。
金属層419を堆積し、第5のマスクB5を金属層419上に堆積してパターン形成する(図49)。金属層419を、マスクB5の開口を介してソース金属部分419S、ゲート金属部分419G、フィールドプレート419F、及びエッジターミネーション419Eの中にエッチングする。パシベーション層420を金属層419上に堆積し、第6のマスクB6を堆積してパターン形成する(図50)。パシベーション層420をマスクB6の開口を介してエッチングし、ソース金属部分419S(図51)を露出させる。次に、このウエハを後面から研削して薄くし、通常どおり後面に金属層を設けてドレイン接触を形成する。
図43−図51に例示されている工程は、図9−図17に示されているような従来の工程に対して幾つかの利点を有する。本発明の工程は、工程数が少なく、低コストである。例えば、マスク工程は8回ではなく6回にした。金属を堆積するまで全ての工程においてシリコンを高度に平坦に維持し、これにより、小さな構造のフォトリソグラフィ形状及び小さなセルピッチの製造が容易になっている。ゲートへの全ての接触がトレンチ内で行われるため、ポリシリコンゲート材料がトレンチの外からメサの上面まで延在する場合にトレンチの上部曲がり部でゲート酸化層を介するFowler-Nordheimトンネルにより生じる電流の漏れの問題が解消される。
更に、「チャネルストッパー」トレンチ406Cの周りの領域が、P型拡散を受けず、図51に示されるようにトレンチ406Cを介してドレインに接続されるフィールドプレートが形成され得る。チップの切断された縁部がドレインに対する抵抗短絡として振る舞うため、周囲のN+領域415がドレイン電位となる。この構造により、ターミネーション領域上の電荷から或いはホットキャリアに助けられるウォークアウト(walk-out)から生じ得る全ての反転層を終わらせて高電圧ターミネーションの信頼性が改善されている。
図43−図51に示される工程は、トレンチMOS Barrier Schottky(TMBS)デバイスなどの集積Schottkyダイオードを備えたトレンチMOSFETを製造するために用いることができる。図52−図60は、トレンチMOSFET Barrier Schottkyデバイスを備えたトレンチMOSFETを形成する別の工程を例示する。この工程には、図43−図51に示されている工程と比べ追加のマスク工程が含まれる。
工程は、重度にドープされた基板の上に位置するN−シリコン層502から始める。必要に応じて、薄い酸化層504を、フォトレジストマスクを接着するため、或いは選択的エッチングに耐えるための硬いマスクを提供するため、または後の酸化を回避するために層502の表面に形成され得る。次に、第1のフォトレジストマスクC1を設けてパターン形成し、トレンチの位置を画定する。層502の表面が極めて平坦であるため、マスクC1は従来技術に必要なマスク(例えば、図12に示されているマスクA3)の厚さよりも薄くすることができ、従って小さな構造(トレンチ)を画定することができる。トレンチ506はマスクC1を介してRIEでエッチングすることができる。トレンチ506は、活性領域507におけるトレンチ506A、ターミネーション領域509におけるゲートバス接触トレンチ506B、チャネルストッパー領域511におけるオプションのチャネルストッパートレンチ506C、及びSchottkyダイオード領域513におけるトレンチ506Dを含む(図52)。トレンチ506A及び506B(及びオプションのトレンチ506D)は、第3の次元で互いに接続される。
トレンチ506がエッチングされた後、マスクC1を除去し、RIE工程で生じた結晶の欠陥を除去するために、犠牲酸化層をトレンチ506の壁部で成長させエッチングする。ゲート酸化層508をトレンチ506の壁部で成長させる。ポリシリコン層510を堆積し、ドープし、ポリシリコン層510がトレンチ506の中にのみ残るまでエッチバックする(図53)。図43−図51に示されていた工程と同様に、この工程はポリシリコン層510のパターン成形にポリシリコンマスクを必要としない。メサ及びターミネーション領域上に、より厚い酸化層が必要な場合は、酸化工程を再び行うことができる。
第2のマスクC2を堆積し、パターン形成し、ボディ注入が層502内に導入される領域を画定する。P型ドーパントをマスクC2の開口を介して注入し、ドライブインし、Pボディ領域512を形成する(図54)。図43−図51に示した工程と同様に、この工程は活性領域を画定するためのマスク(例えば、図11のマスクA2)を必要としない。P型ドーパントの注入エネルギーは、ドーパントが酸化層508は浸透するがマスクC2は浸透しないように選択される。酸化層508が厚すぎる場合は、注入による浸透を容易にするためにエッチバックすることもできる。
次にマスクC2を除去し、本構造を洗浄し、P型ドーパントをアニールし、拡散して、N型層502における目的の接合深さを達成する。
第3のマスクC3を堆積しパターン形成し、ソース領域の位置を画定する。N型ドーパントをマスク3の開口を介して注入し、N+ソース領域514を形成する(図55)。N型ドーパントが、ターミネーション領域や活性領域の周辺に注入されないようにするが、「チャネルストッパー」トレンチ506Cの周辺領域には注入してN+領域515が形成されるようにする。本構造の表面は極めて平坦であるため、フォトリソグラフィを比較的容易に行うことができる。酸化層508を介して注入されるイオンの種類によっては、マスクC3を配置してエッチングし、酸化層508の高さを低くする必要がある。
マスクC3を除去し本構造を再び洗浄する。
BPSGなどの誘電体層516を堆積させ、必要に応じて高密化する。第4のマスクC4をBPSG層516上に堆積してパターン形成し、接触開口を画定する(図56)。まだなお本構造がかなり平坦であるため、例えば図16に示されているマスクA6よりも薄い層のフォトレジストを用いてリソグラフィを行うことができる。BPSG層516をマスクC4の開口を介してエッチングした後、マスクC4を除去する。追加の第5のマスク(接触ブロック)C5を堆積してパターン形成し、Schottkyダイオード領域513とチャネルストッパー領域511の一部とを覆う。マスクC5によりドーパントがSchottkyダイオード領域513(図57)に注入されないようにして、P型ドーパントを注入してP型接触領域518を形成する。この注入により、堆積される金属層とボディとの間の抵抗が減る。またこの注入により、Buluceaらに付与された米国特許第5,072,266号に開示されているように、アバランシェ降伏をトレンチに近接した領域からトレンチ間のメサ中心領域にシフトさせ得る。ボディ接合部が深すぎてこの技術を用いることができない場合は、ボディ注入が遮断される領域をパターン形成して一連の分散アバランシェクランプを形成して、ドーパントが横方向に拡散する曲線状接合部を形成する。曲線状接合部間の間隔は、活性なトレンチの降伏電圧よりも低い降伏電圧に設定して制御することができる。これらの領域におけるソース接触は回避されるべきである。別法では、降伏電圧は、ターミネーション領域509の降伏電圧を活性領域507の降伏電圧よりも低く設計して設定することができる。
トレンチ506B内でゲートに対する接触がなされるため、ポリシリコンマスクが必要ないことに注目されたい。層が平坦であるため、BPSG層516に小さな接触開口を形成することが容易である。
本構造を、750℃〜950℃の範囲の高温に曝す。こうすることにより、P+接触注入及びN+ソース注入が起こり(まだ起こってない場合は)、接合部の深さが浅くなり、BPSG層516が高密化され平滑になる。
金属層519を堆積し、特に、活性領域507におけるPボディ領域518及びN+ソース領域514、Schottkyダイオード領域513の層502の表面との接触が形成される。第6のマスクC6を金属層519上に堆積してパターン形成する(図58)。金属層519をマスクC6の開口を介してエッチングし、Schottkyダイオード領域513のソース金属部分519S、ゲート金属部分519G、フィールドプレート519F、及びエッジターミネーション519Eに分割する。パシベーション層520を金属層519上に堆積させ、第7のマスクC7を堆積してパターン形成する(図59)。パシベーション層520をマスクC7の開口を介してエッチングし、ソース金属部分519Sを露出させる(図60)。次に、ウエハをその後面から研削して薄くし、後面に金属の層を設けてドレイン接触を形成する。
また、第5のマスクC5を用いることにより、第4のマスクC4がトレンチ506Cを覆わないようにして、N+領域515を露出させるBPSG層516の開口を形成し、金属層がN+領域515及びトレンチ506Aのポリシリコンと接触できるようにする。こうすることにより、フィールドプレートエッジターミネーションとドレインとの間の接触が良好となる。
別法では、トレンチMOS Barrier Schottky(TMBS)、MPS整流器、または接合部Barrier Schottky(JBS)を同じ工程中に形成することができる。Schottkyダイオードを、活性領域におけるMOSFETセルの間に設けたり、図52−図60に示されているようにチップの別の部分にグループ化することもできる。図52−図60の工程により、現在入手できる2チップ型の従来のMOSFET−Schottkyの組み合わせに代わる低価な方法を提供することができる。図43−図51に示されている基本的な工程と比べ、接触ブロックマスク(図57のマスクC5)の工程のみを加えればよい。図9−図17に示されている従来の8回のマスクは、Schottkyダイオードをマスク2回とカウントすると(ボディブロックマスク及び接触ブロックマスク)、合計10回のマスクとなる。
基本的な工程の別の方法は、ポリシリコンダイオードをデバイスの中に含めることができる。図71−図79に、1つの追加のマスク工程を含むこのような工程が例示されている。
図61−図70に例示されている従来の工程は9回のマスクが必要である。酸化層604及び第1のフォトレジストマスクD1をN+シリコン層602上に堆積し、P型タブが形成されるべき領域に開口を形成するようにパターン形成する(図61)。
P型ドーパントをマスクD1の開口を介して注入してドライブインし、P型タブ606を形成する(図62)。マスクD1を除去した後、活性領域608の位置を画定する開口を備えた第2のフォトレジストマスクD2を形成する。酸化層604をマスクD2の開口を介してエッチングし(図63)、マスクD2を除去する。第3のフォトレジストマスクD3を形成し、トレンチの位置を画定する。層602をエッチングして、活性領域608のトレンチ610及びチャネルストッパー領域のトレンチ612を形成する(図64)。
犠牲酸化層をトレンチ610及び612に形成して除去し、次にトレンチの壁部にゲート酸化層を形成する。ポリシリコン層614を堆積し、N型バックグラウンドドーパントをポリシリコン層614内に注入する。低温酸化(LTO)層611を堆積する。第4のフォトレジストマスクD4を、ダイオードが形成されるべきポリシリコン層614の領域上に堆積する。フォトレジストマスクD4を用いて、LTO611層をエッチングしてマスクを形成し(図65)、フォトレジストマスクD4を除去する。次に、LTO層611をマスクとして用いて、ポリシリコン層614をPOCl3でドープする。
第5のマスクD5を堆積し、ポリシリコン層614を、領域616を除いてトレンチ616の中にエッチバックする。この領域616では、ポリシリコンの一部がトレンチの縁部に重なり酸化層604上に延在している(図66)。マスクD5を除去する。
P型ドーパントをトレンチ610の近傍に注入してドライブインし、Pボディ型領域618を形成する(図67)。
第6のN+ブロックマスクD6を堆積してパターン形成する。N型ドーパントを注入して、トレンチ610に近接してN+ソース領域620を形成する。N型ドーパントはまた、ポリシリコン層614のN型バックグラウンドドープ領域との接合部にダイオード622及び624を形成するポリシリコン層614の各部分に注入する(図68)。マスクD6を除去する。
BPSG層626を堆積し、第7の接触マスクD7を堆積してBPSG層626上にパターン形成する。マスクD7の開口により、デバイスの様々な領域に対する接触が形成される部分が画定される。BPSG層626をマスクD7の開口を介してエッチングし、P型ドーパントをBPSG層626の開口を介して注入し、P+接触領域625を形成する(図69)。マスクD7を除去する。
金属層628を堆積して、金属層628がBPSG層626の開口を介してデバイスと接触するようにする。第8のマスク(不図示)を金属層628上に形成する。金属層628を第8のマスクの開口を介してエッチングし、ダイオード622の陽極と接触する部分628A、ダイオード622の陰極及び活性領域におけるMOSFETのソース−ボディ領域と接触する部分628B、及びダイオード624の陰極と接触する部分628Cを形成する(図70)。金属層628の別の部分(不図示)は、第3の次元においてポリシリコンゲート(ダイオード624の陽極でもある)に接触している。
金属層628の部分628Aはポリシリコンゲートに接続され、金属層628の部分628Cはデバイスのドレインに接続される(ともに第3の次元において)。従って、ダイオード622はソース−ボディ及びゲートに接続され、ダイオード624はドレイン及びゲートに接続される。しかしながら、最終的なパシベーション及びパッドマスクを実施する場合は、デバイスの製造に9回のマスク工程が必要である。
上記した従来技術による工程を、マスク工程の回数が7回と減らされた図71−図79に示されている工程と比較する。
図71−図79に示されている工程は、N+基板の上層を成すエピタキシャル層が可能であるN−層702から始める。必要に応じて、薄い酸化層704を、フォトレジストマスクの接着のため、または選択的なエッチングに耐えるために硬いマスクを提供するため、或いは後の酸化を回避するために層702の表面に形成され得る。次に、第1のフォトレジストマスクE1を設けてパターン形成し、トレンチの位置を画定する。層702の表面が極めて平坦であるため、マスクE1の厚みは従来技術に必要なマスク(例えば、図12に示されているマスクA3)の厚みよりも薄い、従ってより小さな構造(トレンチ)を画定することができる。トレンチ706を、マスクE1を介してRIEでエッチングする。トレンチ706は、活性領域707におけるトレンチ706A、ターミネーション領域709におけるゲートバス接触トレンチ706B、チャネルストッパー領域711におけるオプションのチャネルストッパートレンチ706Cを含む(図71)。トレンチ706A及び706Bは第3の次元で互いに接続される。
トレンチ706をエッチングした後、マスクE1を除去し、RIE工程で生じた結晶の欠陥を除去するために、犠牲酸化層をトレンチ706の壁部で成長させエッチングする。ゲート酸化層708をトレンチ706の壁部で成長させる。ポリシリコン層710を堆積し、ドープし、ポリシリコン層710がトレンチ706の内部のみに残るようになるまで、エッチバックする(図72)。酸化層の厚みがメサ領域及びターミネーション領域に必要な場合は、酸化の工程を再び行うこともできる。
第2のマスクE2を堆積してパターン形成し、ボディ注入が層702内に導入される領域を画定する。P型ドーパントをマスクE2の開口を介して注入し、ドライブインしてPボディ領域712を形成する(図73)。マスクE2を除去する。
低温酸化(LTO)層714を層702の表面上に堆積し、例えばその厚みを2×10−5cm(2000オングストローム)とし、第2のポリシリコン層716をその層714上に堆積する。ポリシリコン層716をP型ドーパントでブランケット注入する。第3のマスクE3をポリシリコン層716上に堆積し、パターン形成してポリシリコンダイオードの位置を画定する(図74)。
ポリシリコン層716及びLTO層714をマスクE3の開口を介してエッチングし、ポリシリコンダイオードを画定し、マスクE3を除去する。第4のマスクE4を堆積し、N型ドーパントをマスクE4の開口を介して注入し、これと同時にN+ソース領域718及びダイオード720の陰極を形成する(図75)。マスクE4を除去する。
次にBPSG層722を堆積し、第5のマスクE5をそのBPSG層722上に堆積しパターン形成する(図76)。BPSG層722をマスクE5の開口を介してエッチングし、BPSG層722に接触開口を形成し、マスクE5を除去する。P型ドーパントをBPSG層722の開口を介して注入し、接触領域724を形成する(図77)。BPSG層722を加熱してリフローする。
金属層726をBPSG層722上に堆積し、BPSG層722の開口を介してデバイスとの電気的接触を確立する。第6のマスクE6を金属層726上に堆積してパターン形成する(図78)。金属層726をマスクE6の開口を介してエッチングし、金属層726をMOSFETのソース−ボディ端子及びダイオード720の陽極と接触する部分726Aと、ダイオード720の陰極及びデバイスのゲートバス領域におけるトレンチ706Bのポリシリコンと接触する部分726Bと、デバイスのターミネーション領域におけるフィールドプレートを形成する部分726Cと、デバイスのチャネルストッパー領域におけるトレンチ706Cのポリシリコンと接触する部分726Dとに分割する。
図71−図79に示されている工程はまた、図88−図90に示されているポリシリコンMOSFET730の製造に用いることもできる。トレンチ706Dを、マスクE1を介してエッチングする。ゲート酸化層708を成長させ、ポリシリコン層710を上記したように堆積する(図72を参照)。マスクE2を堆積し、P型ドーパントをマスクE2の開口を介して注入し、N−エピタキシャル層702にP型領域740を形成する。次に、マスクE2を除去したあと、LTO層714を層702の表面上に堆積し、第2のポリシリコン層716をLTO層714上に堆積する(図74を参照)。ポリシリコン層716のブランケットP型注入を行う。
ポリシリコンMOSFET730を様々な形状に形成することができる。例えば、ソース/ドレイン領域を図89の平面図に示されている互いに入り込むような構造にできる。図90は、図89に示されている構造を部分90−90に沿って見た断面図である。
マスクE3を用いて図88に示されているようにポリシリコン層716及びLTO層714をパターン形成する。これと同時にマスクE3を用いて、ポリシリコン層716及びLTO層714を通ってポリシリコン層710上のゲート接触領域748に至る開口を形成する。マスクE3を除去した後、マスクE4を堆積し、続くマスクE4の開口を介するN型ドーパントの注入により、ポリシリコン層716にN+ドレイン領域744及びN+ソース領域742を形成するようにパターン形成する。ダイオード720の陰極を形成するのと同時にN+領域742及び744を形成する(図75を参照)。ソース領域742及びドレイン領域744は、トレンチ706Dの真上に位置するPボディ領域746によって分離されている。
BPSG層722を堆積し、マスクE5の開口を用いてBPSG層722を経てソース接触750、ドレイン接触752、及びボディ接触754までエッチングする。BPSG層722の開口を金属層726で満たし、マスクE6を用いて金属層726をソース部分、ドレイン部分、ボディ部分、及びゲート部分(不図示)に分離する。多くの実施形態では、金属層726のソース部分及びボディ部分は互いに短絡されているか、或いは金属層726の単一ソース−ボディ部分の一部である。
別の工程を用いて、デバイスのボディ領域をエピタキシャル層を成長させて、MOSFETを製造することもできる。この工程が図80−図85に示されている。
まず、P型エピタキシャル層904をN−型層902の表面に成長させる。次に、第1のマスクF1をエピタキシャル層904の表面に堆積し、パターン形成する。マスクF1の開口を介してエッチングし、活性領域907におけるトレンチ906A、ターミネーション領域909におけるトレンチ906B、チャネルストッパー領域911におけるトレンチ906C、及びターミネーション領域909における幅の広いトレンチ906Dを含むトレンチ906を形成する(図80)。エッチングにより生じた結晶のダメージを修復するために、犠牲酸化層(不図示)をトレンチ906の壁部に成長させる。次に犠牲酸化層を除去し、ゲート酸化層908をトレンチ906の壁部に成長させる。次に、ポリシリコン層910をデバイスの表面上に堆積し、ドープし、トレンチ906A、906B,及び906C内にのみポリシリコンが残るようにエッチバックする(図81)。トレンチ906Dは幅が極めて広いため、ポリシリコン層910がトレンチ906Dから除去される。
第2のマスクF2を堆積してパターン形成し、N型ドーパントをマスクF2の開口を介して注入し、N+ソース領域914及びトレンチ906Cの周辺にN+領域915を形成する(図82)。マスクF2を除去する。
BPSG層922を堆積し、第3のマスクF3をBPSG層922上に堆積し、パターン形成する(図83)。BPSG層922をマスクF3を介してエッチングし、このマスクF3を除去する。P型ドーパントを注入してP+接触領域918を形成する(図84)。P型ドーパントのドープ濃度は、トレンチ906Bにおけるポリシリコン層910のドープに重大な影響を与えるほど高くはない。
金属層926を堆積し、第4のマスクF4を金属層926上に堆積して、パターン形成する。金属層926をマスクF4の開口を介してエッチングし、金属層926を、MOSFETのソース−ボディ領域と接触する部分926Aと、トレンチ906Aのポリシリコンと接触する部分926Bとに分離する(図85)。次にマスクF4を除去する。
この製造工程には幾つかの利点がある。マスクを必要とする回数が更に減って4回となる。注入ではなくエピタキシャル層の成長によりP型ボディ領域を形成するため、活性化及びドライブインを必要とせず、低温かつ低価格法である。これは、浅いトレンチ、閾値の低い電圧、及びPチャネルデバイスの製造において極めて有利である(勿論、ボディのドーパントはPチャネルデバイスの場合はN型とすることができる)。トレンチが充填された後に約900℃以上に温度を上げる必要がないため、タングステンやチタンシリサイドなどの材料をポリシリコンの代わりに用いてトレンチを充填することができる。図71−図79に示されているように、このような工程を適用して、同一デバイスにおいてポリシリコンダイオード及びMOSFETを形成することができる。
図24−図36に示されているように、ゲート接触トレンチをデバイスの活性領域のトレンチよりも広くする方が好ましいであろう。このようにした場合、エッチング工程で特別な注意を払わなければ、ゲート接触トレンチは活性領域のトレンチよりも深くなる。これが図86に例示されており、ゲート接触トレンチ950が2つのMOSFETトレンチ952間に位置する。トレンチの底部がボディと同じ極性の深い拡散によりシールド即ち覆われる場合は、トレンチ950の深さが増大しても影響はない。しかしながら、このような深い拡散がない場合は、ゲート接触トレンチ950の下側の降伏電圧は活性なMOSFETのトレンチ952の下側の降伏電圧よりも低い。図86に破線で示されている等電位面はトレンチ950の下で曲がっており、降伏電圧がトレンチ952よりも低いことを表している。
この問題は、図87のシールドトレンチ954によって例示されているように、トレンチ950の両側にシールドトレンチ954を設けて緩和或いは克服することができる。シールドトレンチ954は、活性なMOSFETトレンチ952と同じ大きさにすることができるが、必ずしもそうする必要はない。シールドトレンチ954は、ゲート接触トレンチ950に近接して配置されるべきである。シールドトレンチ954とゲート接触トレンチ950との間隔は、例えばこのデバイスの活性領域におけるトレンチ間のメサの幅と同じにして、N−エピタキシャル層の厚みよりも小さくするのが好ましい。シールドトレンチ954とゲート接触トレンチ950との間のメサを電気的に浮いた状態にしてもよい。場合によっては、ゲート接触トレンチのそれぞれの側に2或いはそれ以上のシールドトレンチを設けるのが好ましい。これらのシールドトレンチにより、シールドトレンチとゲート接触トレンチとの間に存在する制限電荷により、図87に破線で示されているように等電位面が平坦になるため、ゲート接触トレンチの降伏電圧が改善される。
本発明の広範な原理を、記載した特定の実施形態に加えて様々な実施形態を製造するために用いることができることを当業者であれば理解できよう。従って、ここに記載した実施形態は例示目的であって、限定することを意図としたものではない。
80 トレンチゲートMISデバイス
81 N+基板
82 N-エピタキシャル層
83 P-ボディ
84 トレンチ
85 ゲート酸化層
86 ポリシリコンゲート
87 上面
88 接触領域
140 正方形セル型MOSFET
141 活性領域
142 ゲート金属領域
143 ゲートフィンガー
144 ソース金属縁部
145 ゲート金属縁部
146 接触領域
147 幅の広い部分
148 ゲート金属
149 BPSG層
160 MOSFET
161 活性領域
162 ゲート金属領域
163 ゲートフィンガー
164 ソース金属縁部
165 ゲート金属縁部
166 接触領域
167 ゲートフィンガー
168 ゲート金属
300 N+基板
301 N−エピタキシャル層
302 フォトレジストトレンチマスク
303 トレンチ
304 ゲート酸化
305 ポリシリコン層
306 ポリシリコン層上面
307 N−エピタキシャル層の上面
308 BPSG層
309 フォトレジスト層
310 開口
311 ゲート接触開口
312 金属層

Claims (48)

  1. 半導体チップに形成されるトレンチゲートMISデバイスであって、トランジスタセルを含む活性領域と、トランジスタセルを含まないゲート金属領域と、ゲート金属層とを含み、前記半導体チップの表面のパターンに、前記活性領域から前記ゲート金属領域に至るトレンチが形成されており、前記トレンチが絶縁材料の層で裏打ちされた壁部を有し、導電性ゲート材料が前記トレンチ内に設けられており、前記導電性ゲート材料の上面が前記半導体チップの上面より下側に位置し、非導電層が前記活性領域及び前記ゲート金属領域の上に位置し、前記ゲート金属領域におけるトレンチの一部の上側の前記非導電層に開口が形成されており、ゲート金属が前記トレンチ内の接触領域の前記ゲート材料と接触するように、前記開口が前記ゲート金属で満たされていることを特徴とするトレンチゲートMISデバイス。
  2. 前記ゲート金属領域の前記非導電層の上に延在するゲート金属層を更に含み、前記ゲート材料と電気的に接触していることを特徴とする請求項1に記載のトレンチゲートMISデバイス。
  3. 前記ゲート金属層が、前記ゲート金属領域の前記トレンチの方向に対して直角をなす方向に細長く延在することを特徴とする請求項2に記載のトレンチゲートMISデバイス。
  4. 前記ゲート接触材料と前記ゲート材料との間の接触領域における前記トレンチの幅が、前記活性領域における前記トレンチの幅よりも広いことを特徴とする請求項1に記載のトレンチゲートMISデバイス。
  5. 第1のゲートフィンガーが、前記活性領域から前記ゲート金属領域に延在し、前記ゲート接触金属と前記導電性ゲート材料との間の前記接触領域が、第2のゲートフィンガーに位置し、前記第2のゲートフィンガーが前記第1のゲートフィンガーに対して直角をなしていることを特徴とする請求項1に記載のトレンチゲートMISデバイス。
  6. 前記第2のゲートフィンガーの幅が前記第1のゲートフィンガーの幅よりも広いことを特徴とする請求項5に記載のトレンチゲートMISデバイス。
  7. 前記第2のゲートフィンガーの幅が前記活性領域の前記トレンチの幅よりも広いことを特徴とする請求項5に記載のトレンチゲートMISデバイス。
  8. 前記第2のゲートフィンガーの幅が、前記第1のゲートフィンガーと前記第2のゲートフィンガーとが交差する位置において前記第1のゲートフィンガーの幅と同一であることを特徴とする請求項5に記載のトレンチゲートMISデバイス。
  9. 前記ゲート金属と前記ゲート材料との間の前記接触領域が、前記第1のゲートフィンガーと前記第2のゲートフィンガーとの間の前記交差部に至っていないことを特徴とする請求項8に記載のトレンチゲートMISデバイス。
  10. 前記第2のゲートフィンガーの幅が、前記ゲート金属と前記ゲート材料との間の前記接触領域において前記第1のゲートフィンガーの幅よりも広いことを特徴とする請求項9に記載のトレンチゲートMISデバイス。
  11. 前記第1のゲートフィンガーが前記第2のゲートフィンガーで終わり、これによりT字状交差部を形成していることを特徴とする請求項5に記載のトレンチゲートMISデバイス。
  12. 前記第2のゲートフィンガーの幅が前記第1のゲートフィンガーの幅よりも広いことを特徴とする請求項11に記載のトレンチゲートMISデバイス。
  13. 第3のゲートフィンガーが前記活性領域から延びて前記第2のゲートフィンガーで終わっており、これにより第2のT字状交差部が形成されていることを特徴とする請求項12に記載のトレンチゲートMISデバイス。
  14. 前記第1及び第3のゲートフィンガーが、前記第2のゲートフィンガーの反対側に位置することを特徴とする請求項13に記載のトレンチゲートMISデバイス。
  15. 前記活性領域から前記ゲート金属領域に延在する第1及び第2のゲートフィンガーを含むことを特徴とする請求項1に記載のトレンチゲートMISデバイス。
  16. 前記第1のゲートフィンガーが第1の幅の広い部分を含み、前記第2のゲートフィンガーが第2の幅の広い部分を含むことを特徴とする請求項15に記載のトレンチゲートゲートMISデバイス。
  17. 前記非導電層の前記開口が前記第1の幅の広い部分の上に位置することを特徴とする請求項16に記載のトレンチゲートMISデバイス。
  18. 前記非導電層における第2の開口が前記第2の幅の広い部分の上に位置し、前記第2の開口が、ゲート金属が前記半導体チップの表面よりも低い位置でゲート金属と接触するように前記ゲート金属で満たされていることを特徴とする請求項17に記載のトレンチゲートMISデバイス。
  19. 前記第1及び第2の幅の広い部分が、前記第1及び第2のゲートフィンガーに平行な方向に互いにオフセットされていることを特徴とする請求項18に記載のトレンチゲートMISデバイス。
  20. MISデバイスを製造する方法であって、
    半導体チップの表面にトレンチを形成する工程と、
    前記トレンチの壁部に非導電層を形成する工程と、
    前記ゲート材料が前記トレンチの外側の前記半導体チップの前記表面にオーバーフローするように導電性ゲート材料の層を堆積する工程と、
    前記半導体チップの全ての領域において前記ゲート材料の表面が前記半導体チップの表面より低くなるまで前記ゲート材料をエッチングする工程とを含む製造方法。
  21. 前記エッチングがマスクを用いないで行われることを特徴とする請求項20に記載の方法。
  22. 活性領域及びターミネーション領域を含むMISデバイスを製造するための方法であって、
    第1の導電型の材料でドープされた半導体基板の表面に、ターミネーショントレンチが形成される位置を画定する開口を有するトレンチマスクを形成する工程と、
    前記トレンチマスクの前記開口を介してエッチングして、前記基板に前記ターミネーショントレンチを形成する工程と、
    前記トレンチマスクを除去する工程と、
    前記ターミネーショントレンチの壁部に第1の非導電層を形成する工程と、
    導電性ゲート材料が前記ターミネーショントレンチの外側の前記基板の表面上にオーバーフローするように、前記ターミネーショントレンチの中に前記導電性ゲート材料の層を堆積する工程と、
    前記ターミネーショントレンチにおける前記ゲート材料の上面が前記基板の表面の位置よりも低くなるように、マスクを用いずに前記ゲート材料をエッチングする工程と、
    第2の非導電層を前記基板の前記表面に堆積する工程と、ゲート接触開口を有する接触マスクを前記第2の非導電層上に形成する工程と、
    前記第2の非導電層を前記接触マスクの前記ゲート接触開口を介してエッチングして、前記第2の非導電層にゲート接触開口を形成する工程と、
    前記接触マスクを除去する工程と、
    第2の導電層が前記ゲート接触開口を介して前記ターミネーショントレンチにおける前記導電性ゲート材料と接触するように、前記第2の導電層を前記第2の非導電層上に堆積する工程とを含むことを特徴とする製造方法。
  23. 前記接触マスクが基板接触開口を有し、前記製造方法が、前記第2の非導電層を前記接触マスクの前記基板接触開口を介してエッチングして、前記第2の非導電層に基板接触開口を形成する工程を含み、第2の導電層を前記第2の非導電層上に堆積して、前記第2の導電層が前記基板接触開口を介して前記基板と接触するようにすることを特徴とする請求項22に記載の方法。
  24. 前記第2の導電層上に開口を有する金属マスクを形成する工程と、前記第2の導電層を前記金属マスクの開口を介してエッチングし、前記ゲート材料と電気的に接触した前記第2の導電層の第1の部分、並びに前記基板と電気的に接触した前記第2の導電層の第2の部分を形成する工程とを含み、前記第2の導電層の前記第1の部分と前記第2の部分とが電気的に互いに分離されていることを特徴とする請求項23に記載の製造方法。
  25. 前記製造方法が、前記ターミネーション領域にフィールド酸化領域を形成するべく酸化層の一部をエッチングするためのマスクを含まないことを特徴とする請求項22に記載の製造方法。
  26. 前記基板の前記表面上に開口を有するボディマスクを形成する工程と、第2導電型のドーパントを前記ボディマスクの前記開口を介して前記基板の中に注入して、ボディ領域を形成する工程とを含むことを特徴とする請求項22に記載の製造方法。
  27. 前記トレンチマスクが前記活性領域における第2の開口と、チャネルストッパー領域における第3の開口とを含み、前記製造方法が、前記第2及び第3の開口を介して前記基板をエッチングして、前記活性領域における活性トレンチと、前記チャネルストッパー領域におけるチャネルストッパートレンチとを形成する工程とを含むことを特徴とする請求項22に記載の製造方法。
  28. 前記活性トレンチに近接した前記基板の領域上に開口を有するソースマスクを前記基板上に形成する工程と、前記第1の導電型のドーパントを前記ソースマスクの前記開口を介して注入し、前記MISデバイスのソース領域を形成する工程とを含むことを特徴とする請求項27に記載の製造方法。
  29. 前記ソースマスクが、前記チャネルストッパー領域における第2の開口を含み、前記製造方法が、前記第1の導電型のドーパントを前記チャネルストッパートレンチに近接した領域内に注入する工程を含むことを特徴とする請求項28に記載の製造方法。
  30. 前記第2導電型のドーパントを前記第2の非導電層の前記基板接触開口を介して注入し、前記基板に重度にドープされた接触領域を形成する工程とを含むことを特徴とする請求項23に記載の製造方法。
  31. 導電性ゲート材料の層を堆積する前記工程が、前記チャネルストッパートレンチ内に前記導電性ゲート材料を堆積することを含み、マスクを用いないで前記ゲート材料をエッチングする前記工程が、前記チャネルストッパートレンチにおける前記ゲート材料の表面を前記基板の表面より低くすることを含み、
    前記接触マスクが前記チャネルストッパートレンチ上に第2の開口を有し、
    前記製造方法が、前記第2の非導電層を前記接触マスクの前記第2の開口を介してエッチングして、前記チャネルストッパートレンチ内の前記導電性ゲート材料の表面を露出させる工程を含み、
    前記第2の導電層を堆積する前記工程が、前記第2の導電層が前記接触マスクの前記第2の開口内に流入して、前記チャネルストッパートレンチの前記導電性ゲート材料と電気的に接触させることを含むことを特徴とする請求項27に記載の製造方法。
  32. 前記第2の導電層が金属を含み、
    前記トレンチマスクが第2の開口を含み、
    前記製造方法が、前記基板を前記トレンチマスクの前記第2の開口を介してエッチングし、前記基板にSchottkyダイオードトレンチを形成する工程を含み、
    導電性ゲート材料の層を堆積する前記工程が、前記導電性ゲート材料を前記Schottkyトレンチ内に堆積することを含み、
    前記ボディマスクが、前記基板の前記Schottkyトレンチ及び近接領域を覆い、
    前記接触マスクが、前記Schottkyトレンチに近接した前記基板の領域の上に第2の開口を有し、
    前記製造方法が、前記接触マスクの前記第2の開口を介して前記第2の非導電層をエッチングして、前記Schottkyトレンチに近接した前記基板の前記領域を露出させることを含み、
    前記第2の導電層を堆積する前記工程が、前記第2の導電層が前記接触マスクの前記第2の開口を満たし、前記Schottkyトレンチに近接した前記基板の前記領域と電気的に接触するようにして、Schottkyダイオードを形成することを含むことを特徴とする請求項22に記載の製造方法。
  33. 前記トレンチマスクが前記活性領域における第2の開口を含み、
    前記製造方法が、前記第2の開口を介して前記基板をエッチングし、前記活性領域に活性トレンチを形成する工程を含むことを特徴とする請求項22に記載の製造方法。
  34. ポリシリコン層を前記基板上に堆積する工程と、
    第2の導電型のドーパントを前記ポリシリコン層に導入する工程と、
    ポリシリコンダイオードが形成されるべき位置を画定するポリシリコンマスクを前記ポリシリコン層上に形成する工程と、
    前記ポリシリコンマスクによってカバーされていない前記ポリシリコン層の領域をエッチングする工程と、
    前記ポリシリコンマスクを除去する工程と、
    前記トレンチに近接した前記基板の領域の上に位置する第1の開口と前記ポリシリコン層の領域の上に位置する第2の開口とを有するソースマスクを形成する工程と、
    前記ソースマスクの前記第1及び第2の開口を介して前記第1の導電型のドーパントを注入して、前記MISデバイスのソース領域及びポリシリコンダイオードの端子を形成する工程とを含むことを特徴とする請求項33に記載の製造方法。
  35. 第2非導電層を堆積する前記工程が、前記第1の導電型のドーパントを前記ソースマスクの前記第1及び第2の開口を介して注入した後に行われ、
    前記接触マスクが、前記ポリシリコンダイオードの陽極の上に位置する陽極開口と、前記ポリシリコンダイオードの陰極の上に位置する陰極開口とを有し、
    前記製造方法が、前記接触マスクの前記陽極開口及び前記陰極開口を介して前記第2の非導電層をエッチングして、前記第2の非導電層に陽極接触開口及び陰極接触開口を形成する工程を含み、
    第2の導電層を堆積する前記工程が、前記ポリシリコンダイオードの前記陽極及び前記陰極のそれぞれと電気的に接触するように、前記第2の導電層を前記陽極開口及び前記陰極接触開口内に流入させる工程を含むことを特徴とする請求項34に記載の製造方法。
  36. 前記ポリシリコンマスクが、ポリシリコンMOSFETが形成されるべき位置を画定し、
    前記トレンチマスクが、前記活性領域に第3の開口を含み、
    前記製造方法が、前記第3の開口を介して前記基板をエッチングしてポリシリコンMOSFETトレンチを形成する工程を含み、
    前記ソースマスクが、前記ポリシリコンMOSFET内に領域を画定する少なくとも1つの開口を有することを特徴とする請求項34に記載の製造方法。
  37. 基板接触開口を有する第2の接触マスクを前記第2の非導電層上に形成する工程と、
    前記第2の非導電層を前記第2の接触マスクの前記基板接触開口を介してエッチングし、前記第2の非導電層に基板接触開口を形成する工程とを含み、
    第2の導電層を前記第2の非導電層上に堆積する前記工程により、前記第2の導電層が前記基板接触開口を介して前記基板と接触するようになることを特徴とする請求項22に記載の製造方法。
  38. MISデバイスを製造するための方法であって、
    第1の導電型のドーパントでドープされた半導体基板を用意する工程と、
    第2の導電型のエピタキシャル層を前記半導体基板上に成長させる工程と、
    前記デバイスの活性領域における第1の開口と、前記活性領域とチャネルストッパー領域との間に位置する前記デバイスのターミネーション領域における第2の開口とを有するトレンチマスクを前記エピタキシャル層の表面上に形成する工程と、
    前記エピタキシャル層を前記トレンチマスクの前記第1及び第2の開口を介してエッチングし、第1のトレンチと、前記第1のトレンチより実質的に幅の広い第2のトレンチとを形成する工程と、
    前記トレンチマスクを除去する工程と、
    第1の非導電層を前記第1及び第2のトレンチの壁部に形成する工程と、
    前記第1及び第2のトレンチの外側の前記基板表面に導電性ゲート材料層がオーバーフローするように、前記導電性ゲート材料層を前記第1及び第2のトレンチ内に堆積する工程と、
    前記第1のトレンチの前記導電性ゲート材料の上面が前記基板の前記表面より低くなり、前記第2のトレンチの前記導電性ゲート材料が実質的に除去されるように、前記導電性ゲート材料をエッチングする工程と、
    第2の非導電層を、前記エピタキシャル層の表面の上、前記第1のトレンチの前記ゲート材料の上、並びに前記第2のトレンチ内に堆積させる工程と、
    基板接触開口及びゲート接触開口を有する接触マスクを前記第2の非導電層の上に形成する工程と、
    前記第2の非導電層を、前記接触マスクの前記開口を介してエッチングし、前記第2の非導電層に基板接触開口及びゲート接触開口を形成する工程と、
    前記接触マスクを除去する工程と、
    第2の導電層が、前記基板接触開口を介して前記基板と接触し、かつ前記ゲート接触開口を介して前記導電性ゲート材料と接触するように、前記第2の導電層を前記第2の非導電層上に堆積させる工程とを含むことを特徴とする製造方法。
  39. 開口を有する金属マスクを前記第2の導電層上に形成する工程と、前記第2の導電層を前記金属マスクの前記開口を介してエッチングする工程とを更に含むことを特徴とする請求項38に記載の製造方法。
  40. トレンチゲートMISデバイスであって、
    第1の導電型でドーパントで全体的にドープされた、活性領域にトレンチが形成された、半導体基板と、
    前記基板の表面よりも表面が低い位置にある導電性ゲート材料を含むトレンチの壁部に沿って設けられた絶縁層と、
    前記基板の前記表面上に位置する非導電層と、
    前記非導電層上に位置する導電層であって、互いに電気的に分離された電流が流れる部分とゲートバス部分とを有し、前記非導電層の開口により前記電流が流れる部分が前記デバイスの前記活性領域の前記基板と電気的に接触するようになっている、前記導電層とを含み、
    前記導電層の前記ゲートバス部分の下側に位置する前記非導電層の厚みが、前記導電層の前記電流が流れる部分の下側に位置する前記非導電層の厚みと実質的に同じであることを特徴とするトレンチゲートMISデバイス。
  41. 前記ゲートバス部分の下側に位置し、導電性ゲート材料を含む、前記基板に形成された第2のトレンチを更に含み、
    前記第2のトレンチ内の前記ゲート材料の表面が前記基板の前記表面よりも低くなっており、前記非導電層が第2の開口を有し、その開口を介して前記導電層の前記ゲートバス部分が前記第2のトレンチ内の前記ゲート材料と電気的に接触していることを特徴とする請求項40に記載のトレンチゲートMISデバイス。
  42. 前記導電層が金属を含み、
    前記デバイスが更に、前記基板に第2のトレンチを含み、
    前記絶縁層が前記第2のトレンチの壁部に沿って設けられており、前記第2のトレンチが導電性ゲート材料を含み、前記非導電層が、前記基板の前記第2のトレンチ及び近傍領域の上に位置する第2の開口を有し、前記導電層の前記電流が流れる部分が、前記第2のトレンチに近接した前記基板の前記領域において前記基板とSchottky境界を成していることを特徴とする請求項40に記載のトレンチゲートMISデバイス。
  43. 前記基板に第3のトレンチを更に含み、前記第3のトレンチが、前記ゲートバス部分の下側に位置し、導電性ゲート材料を含み、前記導電性ゲート材料の表面が前記基板の前記表面より低くなっており、前記非導電層が第3の開口を有し、その開口を介して前記導電層の前記ゲートバス部分が前記第3のトレンチ内の前記ゲート材料と電気的に接触していることを特徴とする請求項42に記載のトレンチゲートMISデバイス。
  44. 活性デバイス領域及びチャネルストッパー領域を含むトレンチゲートMISデバイスであって、
    第1の導電型で全体的にドープされた半導体基板と、前記基板の上に位置するエピタキシャル層と、
    前記デバイスの前記活性領域の前記エピタキシャル層に形成された第1のトレンチであって、前記トレンチの壁部に沿って絶縁層が設けられ、前記トレンチが導電性ゲート材料を含み、その表面が前記エピタキシャル層の表面より低くなっている、前記第1のトレンチと、
    前記活性領域と前記チャネルストッパー領域との間に位置する前記エピタキシャル層に形成された第2のトレンチであって、少なくとも或る部分において前記第1のトレンチよりも実質的に幅が広くなっている、前記第2のトレンチと、
    前記活性領域の前記エピタキシャル層上に位置し、前記活性領域に開口を有する非導電層と、
    前記非導電層上に位置する導電層であって、前記活性領域に位置する電流が流れる部分と、前記活性領域と前記チャネルストッパー領域との間に位置するゲートバス部分とを含み、前記電流が流れる部分が前記非導電層の前記開口を介して前記エピタキシャル層と電気的に接触している、前記導電層とを含み、
    前記導電層の前記ゲートバス部分の下側に位置する前記非導電層の厚みが、前記導電層の前記電流が流れる部分の下側に位置する前記非導電層の厚みと実質的に同じであることを特徴とするトレンチゲートMISデバイス。
  45. 前記ゲートバス部分の下側に位置する前記エピタキシャル層に第3のトレンチを更に含み、前記第3のトレンチが導電性ゲート材料を含み、前記非導電層が第2の開口を有し、その開口を介して前記導電層の前記ゲートバス部分が前記第3のトレンチ内の前記導電性ゲート材料と電気的に接触していることを特徴とする請求項44に記載のトレンチゲートMISデバイス。
  46. 活性領域及びゲートバス領域を有するトレンチゲートMISデバイスであって、
    前記活性領域に第1のトレンチが形成され、前記ゲートバス領域に第2のトレンチが形成され、前記第1及び第2の各トレンチの壁部に沿って絶縁層が設けられており、前記第1及び第2の各トレンチがその内部に導電性ゲート材料を含み、前記第2のトレンチが少なくとも一部分において前記第1のトレンチよりも幅が広く深くなっており、前記第2のトレンチの前記導電性ゲート材料がゲートバスと電気的に接触している半導体基板と、
    前記第2のトレンチの両側に、前記第2のトレンチよりも浅く形成され、前記第2のトレンチの前記導電性ゲート材料と電気的に接触している導電性ゲート材料を含む少なくとも一対の保護トレンチとを含むことを特徴とするトレンチゲートMISデバイス。
  47. 前記保護トレンチの少なくとも1つと前記第2のトレンチとの間のメサが電気的に浮いた状態にし得ることを特徴とする請求項46に記載のトレンチゲートMISデバイス。
  48. 前記保護トレンチが、前記第1のトレンチと実質的に同じ幅及び深さを有することを特徴とする請求項46に記載のトレンチゲートMISデバイス。
JP2011247832A 2002-03-22 2011-11-11 トレンチゲートmisデバイスの構造及び製造方法 Expired - Lifetime JP5651097B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/104,811 US6838722B2 (en) 2002-03-22 2002-03-22 Structures of and methods of fabricating trench-gated MIS devices
US10/104811 2002-03-22

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003079667A Division JP5379339B2 (ja) 2002-03-22 2003-03-24 トレンチゲートmisデバイスの構造及び製造方法

Publications (2)

Publication Number Publication Date
JP2012060147A true JP2012060147A (ja) 2012-03-22
JP5651097B2 JP5651097B2 (ja) 2015-01-07

Family

ID=28040697

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2003079667A Expired - Lifetime JP5379339B2 (ja) 2002-03-22 2003-03-24 トレンチゲートmisデバイスの構造及び製造方法
JP2011247832A Expired - Lifetime JP5651097B2 (ja) 2002-03-22 2011-11-11 トレンチゲートmisデバイスの構造及び製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2003079667A Expired - Lifetime JP5379339B2 (ja) 2002-03-22 2003-03-24 トレンチゲートmisデバイスの構造及び製造方法

Country Status (4)

Country Link
US (6) US6838722B2 (ja)
EP (2) EP2511955B1 (ja)
JP (2) JP5379339B2 (ja)
CN (3) CN100433366C (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014136802A1 (ja) * 2013-03-05 2014-09-12 ローム株式会社 半導体装置
WO2014136801A1 (ja) * 2013-03-05 2014-09-12 ローム株式会社 半導体装置
WO2022070304A1 (ja) * 2020-09-30 2022-04-07 三菱電機株式会社 半導体装置および半導体装置の製造方法
WO2023281767A1 (ja) * 2021-07-06 2023-01-12 株式会社デンソー 半導体装置と半導体装置の製造方法

Families Citing this family (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6838722B2 (en) * 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
US8629019B2 (en) 2002-09-24 2014-01-14 Vishay-Siliconix Method of forming self aligned contacts for a power MOSFET
US8080459B2 (en) 2002-09-24 2011-12-20 Vishay-Siliconix Self aligned contact in a semiconductor device and method of fabricating the same
US6861701B2 (en) * 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
US7638841B2 (en) 2003-05-20 2009-12-29 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US7754550B2 (en) * 2003-07-10 2010-07-13 International Rectifier Corporation Process for forming thick oxides on Si or SiC for semiconductor devices
US7186446B2 (en) * 2003-10-31 2007-03-06 International Business Machines Corporation Plasma enhanced ALD of tantalum nitride and bilayer
US20050112957A1 (en) * 2003-11-26 2005-05-26 International Business Machines Corporation Partial inter-locking metal contact structure for semiconductor devices and method of manufacture
US7279743B2 (en) * 2003-12-02 2007-10-09 Vishay-Siliconix Closed cell trench metal-oxide-semiconductor field effect transistor
JP3917144B2 (ja) * 2004-04-09 2007-05-23 株式会社東芝 半導体装置
US8183629B2 (en) * 2004-05-13 2012-05-22 Vishay-Siliconix Stacked trench metal-oxide-semiconductor field effect transistor device
US7268395B2 (en) * 2004-06-04 2007-09-11 International Rectifier Corporation Deep trench super switch device
US7186622B2 (en) * 2004-07-15 2007-03-06 Infineon Technologies Ag Formation of active area using semiconductor growth process without STI integration
WO2006035877A1 (ja) * 2004-09-29 2006-04-06 Matsushita Electric Industrial Co., Ltd. 半導体装置
US7948029B2 (en) * 2005-02-11 2011-05-24 Alpha And Omega Semiconductor Incorporated MOS device with varying trench depth
US8283723B2 (en) * 2005-02-11 2012-10-09 Alpha & Omega Semiconductor Limited MOS device with low injection diode
US8093651B2 (en) * 2005-02-11 2012-01-10 Alpha & Omega Semiconductor Limited MOS device with integrated schottky diode in active region contact trench
US7453119B2 (en) * 2005-02-11 2008-11-18 Alphs & Omega Semiconductor, Ltd. Shielded gate trench (SGT) MOSFET cells implemented with a schottky source contact
US7285822B2 (en) * 2005-02-11 2007-10-23 Alpha & Omega Semiconductor, Inc. Power MOS device
US8362547B2 (en) * 2005-02-11 2013-01-29 Alpha & Omega Semiconductor Limited MOS device with Schottky barrier controlling layer
US20070004116A1 (en) * 2005-06-06 2007-01-04 M-Mos Semiconductor Sdn. Bhd. Trenched MOSFET termination with tungsten plug structures
DE112006001516T5 (de) 2005-06-10 2008-04-17 Fairchild Semiconductor Corp. Feldeffekttransistor mit Ladungsgleichgewicht
JP2007048769A (ja) * 2005-08-05 2007-02-22 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7524726B2 (en) * 2005-08-17 2009-04-28 International Rectifier Corporation Method for fabricating a semiconductor device
JP2009505433A (ja) * 2005-08-17 2009-02-05 インターナショナル レクティファイアー コーポレイション ゲートトレンチで相互接続されたパワー半導体デバイス
US7943990B2 (en) 2005-08-17 2011-05-17 International Rectifier Corporation Power semiconductor device with interconnected gate trenches
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
KR20070046666A (ko) * 2005-10-31 2007-05-03 삼성에스디아이 주식회사 스페이서 및 이를 구비한 전자 방출 표시 디바이스
US7544545B2 (en) 2005-12-28 2009-06-09 Vishay-Siliconix Trench polysilicon diode
US7449354B2 (en) 2006-01-05 2008-11-11 Fairchild Semiconductor Corporation Trench-gated FET for power device with active gate trenches and gate runner trench utilizing one-mask etch
JP2009524248A (ja) 2006-01-18 2009-06-25 ビシェイ−シリコニクス 高い静電放電性能を有するフローティングゲート構造
US7358172B2 (en) * 2006-02-21 2008-04-15 International Business Machines Corporation Poly filled substrate contact on SOI structure
US20070228463A1 (en) * 2006-04-03 2007-10-04 Jun Cai Self-aligned complementary ldmos
US8471390B2 (en) * 2006-05-12 2013-06-25 Vishay-Siliconix Power MOSFET contact metallization
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
US7537994B2 (en) 2006-08-28 2009-05-26 Micron Technology, Inc. Methods of forming semiconductor devices, assemblies and constructions
US7759731B2 (en) * 2006-08-28 2010-07-20 Advanced Analogic Technologies, Inc. Lateral trench MOSFET with direct trench polysilicon contact and method of forming the same
US7791160B2 (en) 2006-10-19 2010-09-07 International Business Machines Corporation High-performance FET device layout
US7689946B2 (en) * 2006-10-19 2010-03-30 International Business Machines Corporation High-performance FET device layout
US7705426B2 (en) * 2006-11-10 2010-04-27 International Business Machines Corporation Integration of a SiGe- or SiGeC-based HBT with a SiGe- or SiGeC-strapped semiconductor device
US9437729B2 (en) 2007-01-08 2016-09-06 Vishay-Siliconix High-density power MOSFET with planarized metalization
US7800185B2 (en) * 2007-01-28 2010-09-21 Force-Mos Technology Corp. Closed trench MOSFET with floating trench rings as termination
US8384181B2 (en) * 2007-02-09 2013-02-26 Cree, Inc. Schottky diode structure with silicon mesa and junction barrier Schottky wells
US9947770B2 (en) 2007-04-03 2018-04-17 Vishay-Siliconix Self-aligned trench MOSFET and method of manufacture
US8368126B2 (en) * 2007-04-19 2013-02-05 Vishay-Siliconix Trench metal oxide semiconductor with recessed trench material and remote contacts
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
CN101868856B (zh) 2007-09-21 2014-03-12 飞兆半导体公司 用于功率器件的超结结构及制造方法
US9484451B2 (en) * 2007-10-05 2016-11-01 Vishay-Siliconix MOSFET active area and edge termination area charge balance
DE102007061191B4 (de) * 2007-12-17 2012-04-05 Infineon Technologies Austria Ag Halbleiterbauelement mit einem Halbleiterkörper
JP2009188294A (ja) * 2008-02-08 2009-08-20 Nec Electronics Corp パワーmosfet
US10600902B2 (en) 2008-02-13 2020-03-24 Vishay SIliconix, LLC Self-repairing field effect transisitor
US20090236670A1 (en) * 2008-03-21 2009-09-24 Himax Analogic, Inc. Semiconductor Device and a Manufacturing Process Thereof
TWI384625B (zh) * 2008-06-30 2013-02-01 Alpha & Omega Semiconductor 提高蕭特基崩潰電壓且不影響金氧半導體-蕭特基整合裝置之裝置佈局及方法
US7816229B2 (en) * 2008-09-30 2010-10-19 Infineon Technologies Austria Ag Semiconductor device with channel stop trench and method
US7897462B2 (en) * 2008-11-14 2011-03-01 Semiconductor Components Industries, L.L.C. Method of manufacturing semiconductor component with gate and shield electrodes in trenches
US8415739B2 (en) * 2008-11-14 2013-04-09 Semiconductor Components Industries, Llc Semiconductor component and method of manufacture
US20100123193A1 (en) * 2008-11-14 2010-05-20 Burke Peter A Semiconductor component and method of manufacture
US7915672B2 (en) * 2008-11-14 2011-03-29 Semiconductor Components Industries, L.L.C. Semiconductor device having trench shield electrode structure
US8362548B2 (en) * 2008-11-14 2013-01-29 Semiconductor Components Industries, Llc Contact structure for semiconductor device having trench shield electrode and method
US8552535B2 (en) 2008-11-14 2013-10-08 Semiconductor Components Industries, Llc Trench shielding structure for semiconductor device and method
US8304829B2 (en) 2008-12-08 2012-11-06 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US8174067B2 (en) 2008-12-08 2012-05-08 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US8664713B2 (en) 2008-12-31 2014-03-04 Stmicroelectronics S.R.L. Integrated power device on a semiconductor substrate having an improved trench gate structure
US8227855B2 (en) * 2009-02-09 2012-07-24 Fairchild Semiconductor Corporation Semiconductor devices with stable and controlled avalanche characteristics and methods of fabricating the same
US8148749B2 (en) * 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
US8049276B2 (en) 2009-06-12 2011-11-01 Fairchild Semiconductor Corporation Reduced process sensitivity of electrode-semiconductor rectifiers
TWI469221B (zh) 2009-06-26 2015-01-11 Pfc Device Co 溝渠式蕭基二極體及其製作方法
US9443974B2 (en) 2009-08-27 2016-09-13 Vishay-Siliconix Super junction trench power MOSFET device fabrication
US9230810B2 (en) 2009-09-03 2016-01-05 Vishay-Siliconix System and method for substrate wafer back side and edge cross section seals
US9425305B2 (en) 2009-10-20 2016-08-23 Vishay-Siliconix Structures of and methods of fabricating split gate MIS devices
US9419129B2 (en) 2009-10-21 2016-08-16 Vishay-Siliconix Split gate semiconductor device with curved gate oxide profile
US9306056B2 (en) 2009-10-30 2016-04-05 Vishay-Siliconix Semiconductor device with trench-like feed-throughs
US8604525B2 (en) 2009-11-02 2013-12-10 Vishay-Siliconix Transistor structure with feed-through source-to-substrate contact
US8021947B2 (en) * 2009-12-09 2011-09-20 Semiconductor Components Industries, Llc Method of forming an insulated gate field effect transistor device having a shield electrode structure
US8247296B2 (en) 2009-12-09 2012-08-21 Semiconductor Components Industries, Llc Method of forming an insulated gate field effect transistor device having a shield electrode structure
US8476698B2 (en) * 2010-02-19 2013-07-02 Alpha And Omega Semiconductor Incorporated Corner layout for superjunction device
WO2011109559A2 (en) 2010-03-02 2011-09-09 Kyle Terrill Structures and methods of fabricating dual gate devices
TWI438901B (zh) 2010-05-27 2014-05-21 Sinopower Semiconductor Inc 具有低閘極輸入電阻之功率半導體元件及其製作方法
US8432000B2 (en) * 2010-06-18 2013-04-30 Fairchild Semiconductor Corporation Trench MOS barrier schottky rectifier with a planar surface using CMP techniques
CN102299103B (zh) * 2010-06-25 2013-05-08 茂达电子股份有限公司 制作半导体组件的方法
JP5654818B2 (ja) * 2010-09-27 2015-01-14 ルネサスエレクトロニクス株式会社 パワー系半導体装置の製造方法
CN102569384B (zh) * 2010-12-17 2015-07-01 无锡华润上华半导体有限公司 沟槽mosfet器件及其制作方法
US8502346B2 (en) * 2010-12-23 2013-08-06 Alpha And Omega Semiconductor Incorporated Monolithic IGBT and diode structure for quasi-resonant converters
US8431470B2 (en) 2011-04-04 2013-04-30 Alpha And Omega Semiconductor Incorporated Approach to integrate Schottky in MOSFET
CN102184957B (zh) * 2011-04-22 2016-05-11 上海华虹宏力半导体制造有限公司 Umos晶体管及其形成方法
US8502302B2 (en) 2011-05-02 2013-08-06 Alpha And Omega Semiconductor Incorporated Integrating Schottky diode into power MOSFET
KR101619580B1 (ko) 2011-05-18 2016-05-10 비쉐이-실리코닉스 반도체 장치
US8507978B2 (en) 2011-06-16 2013-08-13 Alpha And Omega Semiconductor Incorporated Split-gate structure in trench-based silicon carbide power device
WO2013036641A1 (en) * 2011-09-09 2013-03-14 Cree, Inc. Semiconductor devices with non-implanted barrier regions and methods of fabricating same
US8536646B2 (en) * 2011-09-21 2013-09-17 Sinopower Semiconductor Inc. Trench type power transistor device
US10032878B2 (en) * 2011-09-23 2018-07-24 Infineon Technologies Ag Semiconductor device with a semiconductor via and laterally connected electrode
US9324829B2 (en) 2011-09-23 2016-04-26 Infineon Technologies Ag Method of forming a trench electrode device with wider and narrower regions
US8872278B2 (en) 2011-10-25 2014-10-28 Fairchild Semiconductor Corporation Integrated gate runner and field implant termination for trench devices
US9431249B2 (en) 2011-12-01 2016-08-30 Vishay-Siliconix Edge termination for super junction MOSFET devices
US9614043B2 (en) 2012-02-09 2017-04-04 Vishay-Siliconix MOSFET termination trench
JP2013232533A (ja) * 2012-04-27 2013-11-14 Rohm Co Ltd 半導体装置および半導体装置の製造方法
US9842911B2 (en) 2012-05-30 2017-12-12 Vishay-Siliconix Adaptive charge balanced edge termination
CN103633135B (zh) * 2012-08-15 2016-04-13 上海华虹宏力半导体制造有限公司 一种沟槽型双层栅功率mos器件的接触孔版图
JP6077251B2 (ja) * 2012-09-28 2017-02-08 エスアイアイ・セミコンダクタ株式会社 半導体装置
CN103854964B (zh) * 2012-11-30 2016-08-17 上海华虹宏力半导体制造有限公司 改善沟槽栅分立功率器件晶圆内应力的方法
ITMI20122226A1 (it) 2012-12-21 2014-06-22 St Microelectronics Srl Realizzazione di dispositivi elettronici in un wafer in materiale semiconduttore con trincee aventi direzioni diverse
JP6135181B2 (ja) * 2013-02-26 2017-05-31 サンケン電気株式会社 半導体装置
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
DE102013108518B4 (de) * 2013-08-07 2016-11-24 Infineon Technologies Ag Halbleitervorrichtung und verfahren zum herstellen derselben
US9299776B2 (en) 2013-10-21 2016-03-29 Semiconductor Components Industries, Llc Method of forming a semiconductor device including trench termination and trench structure therefor
CN103839801B (zh) * 2014-03-17 2017-06-16 上海华虹宏力半导体制造有限公司 肖特基二极管及其制作方法
US9508596B2 (en) 2014-06-20 2016-11-29 Vishay-Siliconix Processes used in fabricating a metal-insulator-semiconductor field effect transistor
US9887259B2 (en) 2014-06-23 2018-02-06 Vishay-Siliconix Modulated super junction power MOSFET devices
EP3183754A4 (en) 2014-08-19 2018-05-02 Vishay-Siliconix Super-junction metal oxide semiconductor field effect transistor
CN107078161A (zh) 2014-08-19 2017-08-18 维西埃-硅化物公司 电子电路
US9425304B2 (en) 2014-08-21 2016-08-23 Vishay-Siliconix Transistor structure with improved unclamped inductive switching immunity
CN104409503B (zh) * 2014-11-21 2017-05-17 中国科学院上海微系统与信息技术研究所 多叉指栅极结构mosfet的版图设计
DE102014119466A1 (de) * 2014-12-22 2016-06-23 Infineon Technologies Ag Halbleitervorrichtung mit streifenförmigen trenchgatestrukturen und gateverbinderstruktur
US20160247879A1 (en) * 2015-02-23 2016-08-25 Polar Semiconductor, Llc Trench semiconductor device layout configurations
JP6600475B2 (ja) * 2015-03-27 2019-10-30 ローム株式会社 半導体装置
US10388781B2 (en) 2016-05-20 2019-08-20 Alpha And Omega Semiconductor Incorporated Device structure having inter-digitated back to back MOSFETs
US10446545B2 (en) 2016-06-30 2019-10-15 Alpha And Omega Semiconductor Incorporated Bidirectional switch having back to back field effect transistors
US9812580B1 (en) 2016-09-06 2017-11-07 Qualcomm Incorporated Deep trench active device with backside body contact
US10056461B2 (en) 2016-09-30 2018-08-21 Alpha And Omega Semiconductor Incorporated Composite masking self-aligned trench MOSFET
US10103140B2 (en) 2016-10-14 2018-10-16 Alpha And Omega Semiconductor Incorporated Switch circuit with controllable phase node ringing
JP2018074126A (ja) 2016-11-04 2018-05-10 トヨタ自動車株式会社 半導体装置
US10199492B2 (en) * 2016-11-30 2019-02-05 Alpha And Omega Semiconductor Incorporated Folded channel trench MOSFET
US10103258B2 (en) 2016-12-29 2018-10-16 Texas Instruments Incorporated Laterally diffused metal oxide semiconductor with gate poly contact within source window
JP6726112B2 (ja) 2017-01-19 2020-07-22 株式会社 日立パワーデバイス 半導体装置および電力変換装置
TWI708342B (zh) * 2017-05-19 2020-10-21 力智電子股份有限公司 半導體結構及其製造方法以及半導體元件的終端區結構
US10263070B2 (en) 2017-06-12 2019-04-16 Alpha And Omega Semiconductor (Cayman) Ltd. Method of manufacturing LV/MV super junction trench power MOSFETs
US11081554B2 (en) * 2017-10-12 2021-08-03 Semiconductor Components Industries, Llc Insulated gate semiconductor device having trench termination structure and method
JP6925236B2 (ja) * 2017-10-30 2021-08-25 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10438813B2 (en) 2017-11-13 2019-10-08 Alpha And Omega Semiconductor (Cayman) Ltd. Semiconductor device having one or more titanium interlayers and method of making the same
CN108321193B (zh) * 2018-02-05 2019-12-10 电子科技大学 一种沟槽栅电荷存储型igbt及其制作方法
US11404567B2 (en) 2018-07-23 2022-08-02 Stmicroelectronics S.R.L. Trench-gate field effect transistor with improved electrical performances and corresponding manufacturing process
JP7036001B2 (ja) * 2018-12-28 2022-03-15 三菱電機株式会社 半導体装置の製造方法
US11362209B2 (en) 2019-04-16 2022-06-14 Semiconductor Components Industries, Llc Gate polysilicon feed structures for trench devices
US11217541B2 (en) 2019-05-08 2022-01-04 Vishay-Siliconix, LLC Transistors with electrically active chip seal ring and methods of manufacture
US11218144B2 (en) 2019-09-12 2022-01-04 Vishay-Siliconix, LLC Semiconductor device with multiple independent gates
JP7390868B2 (ja) 2019-11-18 2023-12-04 ルネサスエレクトロニクス株式会社 半導体装置
CN112992682A (zh) * 2019-12-13 2021-06-18 华润微电子(重庆)有限公司 沟槽型场效应晶体管结构及其制备方法
EP3863065A1 (en) * 2020-02-04 2021-08-11 Infineon Technologies Austria AG Semiconductor die and method of manufacturing the same
JP2022015781A (ja) 2020-07-10 2022-01-21 三菱電機株式会社 半導体装置
US11581215B2 (en) * 2020-07-14 2023-02-14 Newport Fab, Llc Body-source-tied semiconductor-on-insulator (SOI) transistor
US11776994B2 (en) 2021-02-16 2023-10-03 Alpha And Omega Semiconductor International Lp SiC MOSFET with reduced channel length and high Vth
CN113314589A (zh) * 2021-05-17 2021-08-27 无锡新洁能股份有限公司 沟槽型功率半导体器件及其制造方法
CN114783999B (zh) * 2022-06-20 2022-09-30 深圳芯能半导体技术有限公司 一种内置温度传感器的igbt器件及其制备方法
CN116884947B (zh) * 2023-09-05 2024-01-23 长电集成电路(绍兴)有限公司 半导体封装结构及其制备方法
CN117894684A (zh) * 2024-03-18 2024-04-16 泰科天润半导体科技(北京)有限公司 一种低导通电阻三栅纵向碳化硅mosfet的制造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0745817A (ja) * 1993-07-27 1995-02-14 Nissan Motor Co Ltd 絶縁電極およびその製造方法
JPH08167711A (ja) * 1994-12-13 1996-06-25 Mitsubishi Electric Corp 絶縁ゲート型半導体装置およびその製造方法
US5763915A (en) * 1996-02-27 1998-06-09 Magemos Corporation DMOS transistors having trenched gate oxide
US5877528A (en) * 1997-03-03 1999-03-02 Megamos Corporation Structure to provide effective channel-stop in termination areas for trenched power transistors
US6031265A (en) * 1997-10-16 2000-02-29 Magepower Semiconductor Corp. Enhancing DMOS device ruggedness by reducing transistor parasitic resistance and by inducing breakdown near gate runners and termination area
WO2000042665A1 (de) * 1999-01-11 2000-07-20 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Mos-leistungsbauelement und verfahren zum herstellen desselben
JP2000223705A (ja) * 1999-01-29 2000-08-11 Nissan Motor Co Ltd 半導体装置

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6070766A (ja) 1983-09-26 1985-04-22 Mitsubishi Electric Corp 半導体装置の製造方法
JP2570742B2 (ja) 1987-05-27 1997-01-16 ソニー株式会社 半導体装置
US5283201A (en) 1988-05-17 1994-02-01 Advanced Power Technology, Inc. High density power device fabrication process
US4881105A (en) * 1988-06-13 1989-11-14 International Business Machines Corporation Integrated trench-transistor structure and fabrication process
US5072266A (en) 1988-12-27 1991-12-10 Siliconix Incorporated Trench DMOS power transistor with field-shaping body profile and three-dimensional geometry
JPH03211885A (ja) 1990-01-17 1991-09-17 Matsushita Electron Corp 半導体装置及びその製造方法
US5726463A (en) 1992-08-07 1998-03-10 General Electric Company Silicon carbide MOSFET having self-aligned gate structure
JP3167457B2 (ja) 1992-10-22 2001-05-21 株式会社東芝 半導体装置
JP3311070B2 (ja) 1993-03-15 2002-08-05 株式会社東芝 半導体装置
JP3481287B2 (ja) 1994-02-24 2003-12-22 三菱電機株式会社 半導体装置の製造方法
US5597765A (en) * 1995-01-10 1997-01-28 Siliconix Incorporated Method for making termination structure for power MOSFET
JPH09102602A (ja) * 1995-10-05 1997-04-15 Nippon Telegr & Teleph Corp <Ntt> Mosfet
US5637898A (en) 1995-12-22 1997-06-10 North Carolina State University Vertical field effect transistors having improved breakdown voltage capability and low on-state resistance
JP3141769B2 (ja) 1996-02-13 2001-03-05 富士電機株式会社 絶縁ゲート型サイリスタ及びその製造方法
US5668026A (en) * 1996-03-06 1997-09-16 Megamos Corporation DMOS fabrication process implemented with reduced number of masks
JPH10173175A (ja) 1996-12-09 1998-06-26 Toshiba Corp 半導体装置及び半導体装置の製造方法
JPH1168102A (ja) 1997-08-21 1999-03-09 Toshiba Corp 半導体装置の製造方法
JPH1197689A (ja) * 1997-09-17 1999-04-09 Nec Corp 半導体装置
JP3431467B2 (ja) * 1997-09-17 2003-07-28 株式会社東芝 高耐圧半導体装置
JPH11121741A (ja) * 1997-10-14 1999-04-30 Toshiba Corp 半導体装置
US6242775B1 (en) * 1998-02-24 2001-06-05 Micron Technology, Inc. Circuits and methods using vertical complementary transistors
KR100295063B1 (ko) * 1998-06-30 2001-08-07 김덕중 트렌치게이트구조의전력반도체장치및그제조방법
FR2785090B1 (fr) 1998-10-23 2001-01-19 St Microelectronics Sa Composant de puissance portant des interconnexions
US5998833A (en) 1998-10-26 1999-12-07 North Carolina State University Power semiconductor devices having improved high frequency switching and breakdown characteristics
US6084264A (en) 1998-11-25 2000-07-04 Siliconix Incorporated Trench MOSFET having improved breakdown and on-resistance characteristics
US6255683B1 (en) 1998-12-29 2001-07-03 Infineon Technologies Ag Dynamic random access memory
US6351018B1 (en) * 1999-02-26 2002-02-26 Fairchild Semiconductor Corporation Monolithically integrated trench MOSFET and Schottky diode
US6404007B1 (en) 1999-04-05 2002-06-11 Fairchild Semiconductor Corporation Trench transistor with superior gate dielectric
US6413822B2 (en) * 1999-04-22 2002-07-02 Advanced Analogic Technologies, Inc. Super-self-aligned fabrication process of trench-gate DMOS with overlying device layer
GB9917099D0 (en) * 1999-07-22 1999-09-22 Koninkl Philips Electronics Nv Cellular trench-gate field-effect transistors
US6518621B1 (en) * 1999-09-14 2003-02-11 General Semiconductor, Inc. Trench DMOS transistor having reduced punch-through
JP4091242B2 (ja) * 1999-10-18 2008-05-28 セイコーインスツル株式会社 縦形mosトランジスタ及びその製造方法
US6548860B1 (en) 2000-02-29 2003-04-15 General Semiconductor, Inc. DMOS transistor structure having improved performance
JP3949869B2 (ja) * 2000-03-22 2007-07-25 セイコーインスツル株式会社 縦形mosトランジスタ及びその製造方法
JP4581179B2 (ja) 2000-04-26 2010-11-17 富士電機システムズ株式会社 絶縁ゲート型半導体装置
JP2002043574A (ja) * 2000-07-27 2002-02-08 Sanyo Electric Co Ltd Mosfetの保護装置およびその製造方法
US6309929B1 (en) * 2000-09-22 2001-10-30 Industrial Technology Research Institute And Genetal Semiconductor Of Taiwan, Ltd. Method of forming trench MOS device and termination structure
US6710403B2 (en) 2002-07-30 2004-03-23 Fairchild Semiconductor Corporation Dual trench power MOSFET
US6870220B2 (en) * 2002-08-23 2005-03-22 Fairchild Semiconductor Corporation Method and apparatus for improved MOS gating to reduce miller capacitance and switching losses
US7345342B2 (en) * 2001-01-30 2008-03-18 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US7132712B2 (en) * 2002-11-05 2006-11-07 Fairchild Semiconductor Corporation Trench structure having one or more diodes embedded therein adjacent a PN junction
US6683346B2 (en) * 2001-03-09 2004-01-27 Fairchild Semiconductor Corporation Ultra dense trench-gated power-device with the reduced drain-source feedback capacitance and Miller charge
TW484213B (en) * 2001-04-24 2002-04-21 Ememory Technology Inc Forming method and operation method of trench type separation gate nonvolatile flash memory cell structure
JP4823435B2 (ja) * 2001-05-29 2011-11-24 三菱電機株式会社 半導体装置及びその製造方法
JP2002373989A (ja) * 2001-06-13 2002-12-26 Toshiba Corp 半導体装置
US7009247B2 (en) * 2001-07-03 2006-03-07 Siliconix Incorporated Trench MIS device with thick oxide layer in bottom of gate contact trench
US6882000B2 (en) 2001-08-10 2005-04-19 Siliconix Incorporated Trench MIS device with reduced gate-to-drain capacitance
US6489204B1 (en) * 2001-08-20 2002-12-03 Episil Technologies, Inc. Save MOS device
US6621107B2 (en) * 2001-08-23 2003-09-16 General Semiconductor, Inc. Trench DMOS transistor with embedded trench schottky rectifier
US6573142B1 (en) * 2002-02-26 2003-06-03 Taiwan Semiconductor Manufacturing Company Method to fabricate self-aligned source and drain in split gate flash
DE10212149B4 (de) * 2002-03-19 2007-10-04 Infineon Technologies Ag Transistoranordnung mit Schirmelektrode außerhalb eines aktiven Zellenfeldes und reduzierter Gate-Drain-Kapazität
US6838722B2 (en) * 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
US6858494B2 (en) * 2002-08-20 2005-02-22 Taiwan Semiconductor Manufacturing Company Structure and fabricating method with self-aligned bit line contact to word line in split gate flash
US7576388B1 (en) * 2002-10-03 2009-08-18 Fairchild Semiconductor Corporation Trench-gate LDMOS structures
US7638841B2 (en) * 2003-05-20 2009-12-29 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
DE10339455B3 (de) * 2003-08-27 2005-05-04 Infineon Technologies Ag Vertikales Halbleiterbauelement mit einer eine Feldelektrode aufweisenden Driftzone und Verfahren zur Herstellung einer solchen Driftzone
GB0327791D0 (en) 2003-11-29 2003-12-31 Koninkl Philips Electronics Nv Trench insulated gate field effect transistor
GB0327793D0 (en) 2003-11-29 2003-12-31 Koninkl Philips Electronics Nv Trench mosfet
JP4903055B2 (ja) 2003-12-30 2012-03-21 フェアチャイルド・セミコンダクター・コーポレーション パワー半導体デバイスおよびその製造方法
US6906380B1 (en) 2004-05-13 2005-06-14 Vishay-Siliconix Drain side gate trench metal-oxide-semiconductor field effect transistor
DE102004029435B4 (de) * 2004-06-18 2017-02-16 Infineon Technologies Ag Feldplattentrenchtransistor
US7453119B2 (en) * 2005-02-11 2008-11-18 Alphs & Omega Semiconductor, Ltd. Shielded gate trench (SGT) MOSFET cells implemented with a schottky source contact
US7494876B1 (en) * 2005-04-21 2009-02-24 Vishay Siliconix Trench-gated MIS device having thick polysilicon insulation layer at trench bottom and method of fabricating the same
AT504289A2 (de) 2005-05-26 2008-04-15 Fairchild Semiconductor Trench-gate-feldeffekttransistoren und verfahren zum bilden derselben
US20070004116A1 (en) * 2005-06-06 2007-01-04 M-Mos Semiconductor Sdn. Bhd. Trenched MOSFET termination with tungsten plug structures
DE112006001516T5 (de) * 2005-06-10 2008-04-17 Fairchild Semiconductor Corp. Feldeffekttransistor mit Ladungsgleichgewicht
US7385248B2 (en) 2005-08-09 2008-06-10 Fairchild Semiconductor Corporation Shielded gate field effect transistor with improved inter-poly dielectric
US7446374B2 (en) * 2006-03-24 2008-11-04 Fairchild Semiconductor Corporation High density trench FET with integrated Schottky diode and method of manufacture
US7544571B2 (en) * 2006-09-20 2009-06-09 Fairchild Semiconductor Corporation Trench gate FET with self-aligned features
US7750398B2 (en) * 2006-09-26 2010-07-06 Force-Mos Technology Corporation Trench MOSFET with trench termination and manufacture thereof
DE102006045441B4 (de) 2006-09-26 2008-09-25 Infineon Technologies Austria Ag Verfahren zur Herstellung einer Halbleiterbauelementanordnung mit einer Trenchtransistorstruktur
US7732842B2 (en) * 2006-12-06 2010-06-08 Fairchild Semiconductor Corporation Structure and method for forming a planar schottky contact
JP5666135B2 (ja) * 2007-01-09 2015-02-12 マックスパワー・セミコンダクター・インコーポレイテッドMaxpower Semiconductor Inc. 半導体装置
US8035159B2 (en) 2007-04-30 2011-10-11 Alpha & Omega Semiconductor, Ltd. Device structure and manufacturing method using HDP deposited source-body implant block
US8497549B2 (en) 2007-08-21 2013-07-30 Fairchild Semiconductor Corporation Method and structure for shielded gate trench FET
US8686493B2 (en) 2007-10-04 2014-04-01 Fairchild Semiconductor Corporation High density FET with integrated Schottky
KR101396124B1 (ko) * 2007-12-21 2014-05-19 삼성전자주식회사 트렌치 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
WO2009151657A1 (en) * 2008-06-11 2009-12-17 Maxpower Semiconductor Inc. Super self-aligned trench mosfet devices, methods and systems
WO2010120704A2 (en) * 2009-04-13 2010-10-21 Maxpower Semiconductor Inc. Power semiconductor devices, methods, and structures with embedded dielectric layers containing permanent charges
US9419129B2 (en) * 2009-10-21 2016-08-16 Vishay-Siliconix Split gate semiconductor device with curved gate oxide profile
US8354711B2 (en) 2010-01-11 2013-01-15 Maxpower Semiconductor, Inc. Power MOSFET and its edge termination
JP5580150B2 (ja) 2010-09-09 2014-08-27 株式会社東芝 半導体装置
US8587059B2 (en) 2011-04-22 2013-11-19 Infineon Technologies Austria Ag Transistor arrangement with a MOSFET
US9385132B2 (en) 2011-08-25 2016-07-05 Micron Technology, Inc. Arrays of recessed access devices, methods of forming recessed access gate constructions, and methods of forming isolation gate constructions in the fabrication of recessed access devices

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0745817A (ja) * 1993-07-27 1995-02-14 Nissan Motor Co Ltd 絶縁電極およびその製造方法
JPH08167711A (ja) * 1994-12-13 1996-06-25 Mitsubishi Electric Corp 絶縁ゲート型半導体装置およびその製造方法
US5763915A (en) * 1996-02-27 1998-06-09 Magemos Corporation DMOS transistors having trenched gate oxide
US5877528A (en) * 1997-03-03 1999-03-02 Megamos Corporation Structure to provide effective channel-stop in termination areas for trenched power transistors
US6031265A (en) * 1997-10-16 2000-02-29 Magepower Semiconductor Corp. Enhancing DMOS device ruggedness by reducing transistor parasitic resistance and by inducing breakdown near gate runners and termination area
WO2000042665A1 (de) * 1999-01-11 2000-07-20 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Mos-leistungsbauelement und verfahren zum herstellen desselben
JP2003515915A (ja) * 1999-01-11 2003-05-07 フラウンホーファー−ゲゼルシャフト・ツール・フェルデルング・デル・アンゲヴァンテン・フォルシュング・アインゲトラーゲネル・フェライン パワーmos素子及びmos素子の製造方法
JP2000223705A (ja) * 1999-01-29 2000-08-11 Nissan Motor Co Ltd 半導体装置

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10559668B2 (en) 2013-03-05 2020-02-11 Rohm Co., Ltd. Semiconductor device with surface insulating film
US9590061B2 (en) 2013-03-05 2017-03-07 Rohm Co., Ltd. Semiconductor device with voltage resistant structure
US10790371B2 (en) 2013-03-05 2020-09-29 Rohm Co., Ltd. Semiconductor device with surface insulating film
US10804356B2 (en) 2013-03-05 2020-10-13 Rohm Co., Ltd. Semiconductor device with voltage resistant structure
US9905635B2 (en) 2013-03-05 2018-02-27 Rohm Co., Ltd. Semiconductor device with voltage resistant structure
US9923073B2 (en) 2013-03-05 2018-03-20 Rohm Co., Ltd. Semiconductor device with surface insulating film
US10256313B2 (en) 2013-03-05 2019-04-09 Rohm Co., Ltd. Semiconductor device with surface insulating film
US10546921B2 (en) 2013-03-05 2020-01-28 Rohm Co., Ltd. Semiconductor device with voltage resistant structure
US11967627B2 (en) 2013-03-05 2024-04-23 Rohm Co, Ltd. Wide band gap semiconductor device with surface insulating film
WO2014136801A1 (ja) * 2013-03-05 2014-09-12 ローム株式会社 半導体装置
US9601589B2 (en) 2013-03-05 2017-03-21 Rohm Co., Ltd. Semiconductor device with surface insulating film
US11257901B2 (en) 2013-03-05 2022-02-22 Rohm Co., Ltd. Semiconductor device with voltage resistant structure
WO2014136802A1 (ja) * 2013-03-05 2014-09-12 ローム株式会社 半導体装置
US11417743B2 (en) 2013-03-05 2022-08-16 Rohm Co., Ltd. Semiconductor device with surface insulating film
US11929394B2 (en) 2013-03-05 2024-03-12 Rohm Co., Ltd. Semiconductor device with voltage resistant structure
JP7330392B2 (ja) 2020-09-30 2023-08-21 三菱電機株式会社 半導体装置および半導体装置の製造方法
WO2022070304A1 (ja) * 2020-09-30 2022-04-07 三菱電機株式会社 半導体装置および半導体装置の製造方法
WO2023281767A1 (ja) * 2021-07-06 2023-01-12 株式会社デンソー 半導体装置と半導体装置の製造方法

Also Published As

Publication number Publication date
JP5379339B2 (ja) 2013-12-25
EP1351313B1 (en) 2016-01-20
CN101369532B (zh) 2010-10-27
EP1351313A3 (en) 2007-12-26
EP2511955B1 (en) 2017-07-05
CN101369532A (zh) 2009-02-18
US7868381B1 (en) 2011-01-11
JP2003309263A (ja) 2003-10-31
CN1455459A (zh) 2003-11-12
CN101980356B (zh) 2013-04-03
EP2511955A3 (en) 2013-07-03
US6838722B2 (en) 2005-01-04
CN101980356A (zh) 2011-02-23
JP5651097B2 (ja) 2015-01-07
US20030178673A1 (en) 2003-09-25
EP1351313A2 (en) 2003-10-08
EP2511955A2 (en) 2012-10-17
US9324858B2 (en) 2016-04-26
US20040113201A1 (en) 2004-06-17
US20110042742A1 (en) 2011-02-24
CN100433366C (zh) 2008-11-12
US7335946B1 (en) 2008-02-26
US7005347B1 (en) 2006-02-28

Similar Documents

Publication Publication Date Title
JP5651097B2 (ja) トレンチゲートmisデバイスの構造及び製造方法
US6825105B2 (en) Manufacture of semiconductor devices with Schottky barriers
US7649223B2 (en) Semiconductor device having superjunction structure and method for manufacturing the same
JP5616874B2 (ja) トレンチゲートmosfet
US7633119B2 (en) Shielded gate trench (SGT) MOSFET devices and manufacturing processes
US7763504B2 (en) Method for manufacturing silicon carbide semiconductor device
TWI502743B (zh) 降低凱爾文接觸阻抗以及擊穿電壓的整合mosfet元件及方法
US20110049564A1 (en) Integrated schottky diode in high voltage semiconductor device
US8963240B2 (en) Shielded gate trench (SGT) mosfet devices and manufacturing processes
TW201248851A (en) New and improved edge termination configurations for high voltage semiconductor power devices
KR101589904B1 (ko) 반도체장치
JPH09213951A (ja) 半導体装置
KR101595082B1 (ko) 쇼트키 접합 타입 전력 반도체 제조방법
US20230155020A1 (en) Semiconductor device

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131022

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140115

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140120

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140214

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140219

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140313

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140318

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140422

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141028

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141114

R150 Certificate of patent or registration of utility model

Ref document number: 5651097

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term