JP2010530645A - スループットを改善しウェハダメージを低減するサセプタ - Google Patents

スループットを改善しウェハダメージを低減するサセプタ Download PDF

Info

Publication number
JP2010530645A
JP2010530645A JP2010513378A JP2010513378A JP2010530645A JP 2010530645 A JP2010530645 A JP 2010530645A JP 2010513378 A JP2010513378 A JP 2010513378A JP 2010513378 A JP2010513378 A JP 2010513378A JP 2010530645 A JP2010530645 A JP 2010530645A
Authority
JP
Japan
Prior art keywords
susceptor
recess
wafer
semiconductor wafer
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010513378A
Other languages
English (en)
Other versions
JP2010530645A5 (ja
Inventor
学 ▲浜▼野
スリカント・コンム
ジョン・エイ・ピットニー
トーマス・エイ・トラック
ランス・ジー・ヘルウィグ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SunEdison Inc
Original Assignee
MEMC Electronic Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MEMC Electronic Materials Inc filed Critical MEMC Electronic Materials Inc
Publication of JP2010530645A publication Critical patent/JP2010530645A/ja
Publication of JP2010530645A5 publication Critical patent/JP2010530645A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

内部スペースを有する加熱されたチャンバー内において半導体ウェハを支持するサセプタに関する。当該サセプタは、上面、および当該上面と反対の下面を有する本体を備える。当該サセプタは、当該上面から当該本体に仮想の中央軸に沿って下方に延びる凹部を有する。当該凹部はその中に半導体ウェハを受容することができる大きさおよび形状に形成されている。当該サセプタは、当該本体を貫通し凹部から下面まで延びる複数のリフトピン開口部を有する。複数のリフトピン開口部のそれぞれは、ウェハを凹部に対して選択的に上昇または下降させるため、リフトピンを受容することができる大きさに形成されている。サセプタは、本体から中央軸に沿って凹部から下面まで延びる中央開口部を有する。

Description

本発明は、化学気相成長プロセスにおいて使用されるサセプタに関し、より詳細には化学気相成長プロセスにおいて一つの半導体ウェハを支持するサセプタに関する。
シリコンの薄膜層を半導体ウェハのフロント面に成長させるため、半導体ウェハは、エピタキシャル析出プロセス等の化学気相成長プロセスに供される。当該プロセスにより、デバイスが高品質のエピタキシャル層上に直接作製される。従来のエピタキシャル析出プロセスは、米国特許第5,904,769および第5,769,942に開示されている。これらは、本願に引用して援用する。
エピタキシャル析出の前に、半導体ウェハは析出チャンバーに導入され、サセプタ上に降下させる。当該ウェハをサセプタ上に降下させた後、水素、もしくは水素と塩酸との混合物等の洗浄ガスをウェハのフロント面(すなわち、サセプタから離れる方向に向いた面)に誘引しウェハのフロント面を予備加熱し洗浄することによりエピタキシャル析出を開始する。当該洗浄ガスは、当該フロント面から自然酸化物(native oxide)を除去し、これにより、析出プロセスの後続のステップにおいて、エピタキシャルシリコン層を当該面上において連続的にそして均一に成長させることができる。エピタキシャル析出プロセスは、シランもしくは塩素化シラン等の気相のシリコンソースガスをウェハのフロント面に誘引することにより維持され、それによりシリコンのエピタキシャル層をフロント面上に析出または成長させる。サセプタのフロント面と反対側のバック面も同時に水素ガスに供されうる。エピタキシャル析出の間析出チャンバー内において半導体ウェハを支持するサセプタは、確実にエピタキシャル層を均一に成長させるため当該プロセスの間回転させる。エピタキシャル成長プロセスにおいて使用される従来技術のサセプタは、米国特許第6,652,650、第6,596,095および第6,444,027に記載されている。これらは、本発明に引用して援用する。
共通のサセプタ構造(design)には、収容部を備えるディスクが含まれ、当該収容部は凹面状のフロアーを有する。当該形状により、ウェハはその端部においてサセプタと接触するが、ウェハの他の部分はサセプタと接触しない。半導体ウェハがその端部以外の部分においてサセプタに接触する場合、ウェハがサセプタのシリコンカーバイドコーティング上に載置されるとこれらのコンタクトポイントにおいて欠陥が発生しうる。これらの欠陥はフロント面の転位やずれを生じさせ、デバイスの不良を発生させる可能性がある。
本出願人は、ウェハをサセプタに載置した直後、ウェハ端部以外のウェハの一部がサセプタに接触しうることを見出した。半導体ウェハは、サセプタに載置されるとき、ほとんど外気温度に近い。対称的に、サセプタの温度はウェハが当該サセプタに載置されるとき約500℃〜約1000℃である。ウェハとサセプタとの温度差は、ウェハを急激に加熱し湾曲させる可能性がある。当該湾曲によりウェハのバック面がサセプタに接触し、特にウェハの中央近くのコンタクトポイントにおいて欠陥を発生させる。
ウェハのバック面のダメージを抑制する方法の一つは、より湾曲したフロアーを有するサセプタを使用することである。当該形状により、ウェハのバック面とサセプタとの間の距離は増大する。しかしながら、フロアーの空間が増加することによりウェハ端部においてウェハのスリップ転位が増加することを見出した。サセプタの体積は、半導体ウェハの体積より非常に大きいので、ウェハの温度は、サセプタ上に載置されたとき、ウェハの全体に亘って略均一に増加する。しかしながら、凹部の中央部の深さが凹部の端部の深さより非常に大きい場合、当該ウェハ全体に亘って半径方向の温度勾配が生じうる。これらの温度勾配により、ウェハの、特にウェハ端部においてスリップや転位を発生させうる。
従来のサセプタにより引き起こされる他の問題は、当該サセプタは加熱および冷却に長時間を要しそれにより処理時間が増加することである。さらに、従来のサセプタは、ウェハの下が中空でないので、水素がウェハのバック面に達し自然酸化物が取り除かれることが阻害され、ウェハのバック面から拡散されたドーパントが散逸することが抑制される。
このため、ウェハ表面の欠陥を低減もしくは排除し、ウェハにおいてスリップ転位が発生することを最小化することができるサセプタに対するニーズが存在する。さらに、サセプタをより速く加熱および冷却することを可能とすることにより処理時間を低減し、水素をウェハのバック面に到達させることができ、そして拡散されたドーパントをウェハのバック面から散逸させることができるサセプタに対するニーズが存在する。
本発明の一の態様は、内部スペースを有する加熱されたチャンバー内において半導体ウェハを支持するためのサセプタであって、当該ウェハは、フロント面、当該フロント面と反対のバック面、当該フロント面および当該バック面の外周に延在する外周側面を有し、当該サセプタは、半導体ウェハをチャンバーの内部スペースに受容し支持することができる大きさおよび形状に形成され、サセプタは上面と、当該上面と反対の下面と、を有する本体を備え、さらに、サセプタは当該上面から当該本体に仮想の中央軸に沿って下方に延びる凹部を備え、この凹部は、その中に半導体ウェハを受容することができる大きさおよび形状に形成され、さらに、サセプタは、当該本体を貫通し凹部から下面へ延びる複数のリフトピン開口部を備え、複数のリフトピン開口部のそれぞれは、ウェハを凹部に対して選択的に上昇または下降させるため、リフトピンを受容することができる大きさに形成され、さらに、サセプタは、本体から中央軸に沿って凹部から下面まで延びる中央開口部を備えるサセプタに関する。
本発明の別の態様では、内部スペースを有する加熱されたチャンバー内において半導体ウェハを支持するサセプタであって、当該ウェハは、フロント面、当該フロント面と反対のバック面、当該フロント面およびバック面の外周に延在する外周側面を有し、当該サセプタは、半導体ウェハをチャンバーの内部スペース内に受容し支持することができる大きさおよび形状に形成され、当該サセプタは、上面と、当該上面と反対の下面と、を有する本体を備え、さらに、サセプタは当該上面から当該本体に仮想の中央軸に沿って下方に延びる凹部を備え、当該凹部は、その中に半導体ウェハを受容することができる大きさおよび形状に形成されたウェハ係合面を備え、当該サセプタは、本体を通って中央軸に沿って凹部から下面まで延びる中央開口部を備えるサセプタが含まれる。
本発明のさらに別の態様では、内部スペースを有する加熱されたチャンバー内において半導体ウェハを支持するサセプタであって、当該ウェハは、フロント面、バック面、外周側面を有し、当該サセプタは、チャンバーの内部スペース内に半導体ウェハを支持することができる大きさおよび形状に形成され、当該サセプタは、上面と当該上面から下方に延びる第1凹部とを有し、当該第1凹部は、半導体ウェハを受容するよう適合され、当該第1凹部は、略円形の第1壁部と、当該第1壁部から凹部の中央に向かって延びる第1棚部と、を含み、第1棚部は、外周部と内周部とを有し、当該第1棚部は、ウェハの支持を容易にするため上記外周部から内周部に向かって下方に傾斜し、当該サセプタは、第1凹部から下方に延びる第2凹部を有し、当該第2凹部は、略円形の第2壁部と、当該第2壁部から内側へ延びる第2棚部と、を有し、さらに、サセプタは第2凹部から下方に延びる第3凹部を含み、当該第3凹部は、略円形の第3壁部と、当該第3壁部から内側に延在するフロアーと、を有し、第1、第2、第3凹部は、共通の中央軸を有するサセプタが含まれる。
さらに別の態様では、本発明は、内部スペースと、チャンバーの内部スペースにプロセスガスを導入するためのガス入口と、プロセスガスをチャンバーの内部スペースから排気するためのガス出口と、を有するチャンバー内において半導体ウェハを支持するサセプタであって、当該ウェハはフロント面、バック面、外周側面を有し、当該サセプタは、チャンバーの内部スペース内に半導体ウェハを支持することができる大きさおよび形状に形成され、当該サセプタは、上面と当該上面から下方に延びる第1凹部とを有し、当該第1凹部は、半導体ウェハを受容するよう適合され、当該第1凹部は、略円形の第1壁部と、当該第1壁部から凹部の中央に向かって延びる第1棚部と、を含み、第1棚部は、外周部と内周部とを有し、当該サセプタは、第1凹部から下方に延びる第2凹部を有し、当該第2凹部は、略円形の第2壁部と、当該第2壁部から内側へ延びる第2棚部と、を有し、さらに、サセプタは第2凹部から下方に延びる第3凹部を含み、当該第3凹部は、略円形の第3壁部と、当該第3壁部から内側に延在するフロアーと、を有し、加熱の間のウェハの歪みにより、ウェハの端部に近接する部分以外でウェハがサセプタと接触することを抑制するために、ウェハのバック面と第3凹部のフロアーとの間の距離が約0.005インチ〜約0.030インチであるサセプタが含まれる。
本発明においては、また、内部スペースと、チャンバーの内部スペースにプロセスガスを導入するためのガス入口と、プロセスガスをチャンバーの内部スペースから排気するためのガス出口と、を有するチャンバー内において半導体ウェハを支持するサセプタであって、当該ウェハは、フロント面、バック面、外周側面を有し、当該サセプタは、チャンバーの内部スペース内に半導体ウェハを支持することができる大きさおよび形状に形成され、当該サセプタは、上面と当該上面から下方に延びるウェハ受容凹部とを有し、当該凹部は、半導体ウェハを支持するための棚部を含み、さらに、当該凹部は、ウェハ受容凹部と同軸上にあって当該ウェハ受容凹部よりも深くサセプタに延びる中央凹部を有し、当該中央凹部の表面積に対するウェハ受容凹部の表面積の比率が、スリップを最小化するため少なくとも約13〜約1であるサセプタが含まれる。
本発明の上述の態様に関して記載した特徴の様々な改良が存在する。別の特徴を、本発明の上述の態様において同様に組み合わせてもよい。これらの改良および付加的な特徴は個々にもしくは如何なる組み合わせで存在しても良い。例えば、本発明の実施形態に関して以下に説明する様々な特徴を本発明の上述の態様のいずれかに単独でもしくは任意の組み合わせで組み入れてもよい。
図1は、第1の実施の形態に係るサセプタの断面図であり、これは半導体ウェハを支持している。 図2は、図1のサセプタの断面図であり、これは湾曲した半導体ウェハを支持している。 図3は、図1のサセプタの上面図である。 図4は、図1のサセプタの概略断面図であり、これはチャンバー内において半導体ウェハを支持している。 図5は、第2の実施の形態に係るサセプタの上面図である。 図6は、図5のサセプタの部分断面図であり、これは半導体ウェハを支持している。 図7は、第3の実施の形態に係るサセプタの上面図である。 図8は、図7のサセプタの部分断面図であり、これは半導体ウェハを支持している。対応する参照文字は、全図を通して対応する部材を指し示す。
以下図面、特に図1を参照する。全体を通してサセプタは概して参照数字10により示す。サセプタ10は、概して12により示される半導体ウェハを支持する。ウェハ12は、フロント面14、および当該フロント面と反対のバック面16を有する。ウェハ12は、フロント面14およびバック面16の外周に延在する外周側面18を有する。図1に示される外周側面18はおよそ丸く形成されているけれども、側面18は本発明の範囲を逸脱しない限り直線であってもよい。
サセプタ10は、仮想の中央軸22を囲む円形状を有する本体を含む。これは、概して20で示される。さらに、本体20は、上面24と下面26とを有する。概して30により示される第1のもしくは外側の凹部は上面24から本体20へ下方に延びる。第1凹部30は、略円柱状の壁部32と、当該壁部32の下端から内側へ延びる面34と、を含む。また、面34は、壁部32から本体20の中央軸22に向かって下方に傾斜している。面34はウェハ12を支持する。図1に示すように、面34が下方に傾斜していることにより、ウェハ12と面34との間のコンタクトラインは狭くなっている。面34は本発明の範囲を逸脱しない限り他の幅長を有していてもよいが、ある実施の形態では、当該面は約6ミリメートル(mm)の幅長を有する。当該実施の形態では、ウェハ12は、ウェハのバック面16の近くで外周側面18に沿って面34と接触する。
さらに図1に示すように、サセプタ10はまた第1凹部30から本体20へ下方に延びる第2のもしくは中央の凹部40を有する。第2凹部40は、略円柱状の壁部42と、壁部42の下端から中央軸22に向かって下方に延びる面44とを有する。第2面44は直線上に傾斜するように示されているけれども、第2棚部は本発明の範囲を逸脱しない限り略凹状であってもよい。ある実施の形態では、壁部42の高さは約0.002インチ(0.05mm)〜約0.003インチ(0.08mm)である。
第3のもしくは内側の凹部50は、第2凹部40から本体10へ下方に延びる。第3凹部50は、円柱状の壁部52と、壁部52から中央軸22に向かって内側に延びるフロアー54とを有する。ある実施の形態では、壁部52の高さは、約0.003インチ(0.08mm)である。当業者にとって明らかであるが、熱勾配により図2に示すようにウェハが下方に湾曲するとき、第3凹部50により、ウェハの中央付近においてウェハ12のバック面16がサセプタ10と接触することを防止する。フロアー54は本発明の範囲を逸脱しない限り他の形状を有していてもよいけれども、ある実施の形態では、フロアー54の形状は略平坦状である。
第2壁部42の下部と第3壁面52の上部との間の垂直距離が約0.010インチ(0.25mm)より大きいサセプタに支持されたウェハは典型的にウェハエッジにおいて極めて多くのウェハスリップ欠陥を有することを見出した。そのため、ある実施の形態では、第2壁部の下部と第3壁部52の上部との間の垂直距離は約0.007インチ(0.18mm)以下である。他の実施の形態では、当該距離は約0.005インチ(0.10mm)以下である。
別の実施の形態では、湾曲していないウェハ12のバック面16と第3凹部50のフロアー54との間の距離は、約0.005インチ(0.13mm)〜約0.030インチ(0.76mm)である。ウェハ12とフロアー54との間の距離が少なくとも約0.005インチ(0.13mm)である場合、ウェハのバック面16の中央付近において表面ダメージのないウェハを製造することができる。ウェハ12とフロアー54との間の距離が約0.030インチ(0.76mm)未満である場合、スリップ転位がそれ程発生しないウェハを製造することができる。他の実施の形態では、湾曲していないウェハ12のバック面16と第3凹部50のフロアー54との間の距離は、約0.008インチ(0.20mm)〜約0.030インチ(0.76mm)であり、さらに別の実施の形態では、当該距離は、約0.010インチ(0.25mm)〜約0.030インチ(0.76mm)である。
第3凹部30、40、50は、図3に示すように、略円形であり、そして仮想の中央軸22を軸としている。典型的には、凹部30、40、50は、サセプタ10を通ってサセプタの下面26まで延びているわけではない。しかしながら、ある実施の形態では、第3凹部50はサセプタ10の全厚に亘って延びる。
中央凹部40は、半導体ウェハの加熱の間、ウェハ12のバック面16とサセプタ10との間の接触を抑制するよう十分大きく形成すべきである。しかしながら、中央凹部40は、接触を抑制するに必要な量より多くのサセプタの体積が取り除かれるように大きく形成すべきではない。当該サセプタによれば、サセプタ10にウェハ12を載置する際ウェハ温度を均一に増加させることができる。そのためには、ある実施の形態では、中央凹部40の表面積に対する外側凹部30の表面積の比率はウェハのスリップを最小化するため少なくとも約13:1である。
サセプタ10は本発明の範囲を逸脱しない限り他の全体寸法を有していてもよいけれども、ある実施の形態では、サセプタは約14.7インチの外径、約0.15インチの全膜厚を有する。さらに、サセプタ10は本発明の範囲を逸脱しない限り、他の材料から構成されていてもよいが、ある実施の形態ではサセプタはシリコンカーバイド被覆グラファイトからなる。サセプタ10は、米国特許第6,652,650および第6,444,027に図示され記載されているように、上面14から下面16へ延びる複数のホールを有していてもよい。
上述のサセプタ10は、エピタキシャル析出プロセス等の化学気相成長プロセスのための装置の一部として使用してもよい。以下図4を参照する。化学気相成長プロセスのための装置は概して60により示されている。装置60は内部空間もしくは内部スペース64を有するエピタキシャル反応チャンバー62を有する。上述のサセプタは、チャンバー62の内部スペース64内に半導体ウェハ12を受容しそして支持することができる大きさおよび形状とする。サセプタ10は、エピタキシャルプロセスの間サセプタを回転させるための従来の回転可能な支持体66に取付けられる。また、反応チャンバー62は、エピタキシャル析出プロセスの間ウェハ12を加熱するため、例えばサセプタ10の上および下に配置されたランプアレイ68を加熱するための熱源を含んでいる。上部ガス入口70および下部ガス入口72により、ガスをチャンバー12の内部スペース64に導入することができる。
エピタキシャル析出プロセスの間、エピタキシャルシリコン層は半導体ウェハ12のフロント面14上に成長する。ウェハ12は、チャンバー62に誘導され、サセプタ10の面34上において中央に揃えられる。ウェハ12は、サセプタの温度まで加熱すると湾曲する。最初当該装置は予備加熱もしくは洗浄工程を実行する。水素もしくは水素と塩酸との混合物等の洗浄ガスをおよそ大気圧で、約1000℃〜約1250℃の温度、約5リットル/分〜約100リットル/分の流量でチャンバー62に導入する。ウェハ12のフロント面およびバック面の両方から自然酸化物層を取り除き、そして約1000℃〜約1250℃で反応チャンバー62において温度を安定化させるに十分な時間の後、シランもしくは塩素化シラン等のシリコン含有ソースガスをウェハ12のフロント面14真上の入口60から約1リットル/分〜約5リットル/分の流量で導入する。ソースガスのフローは、ウェハ12のフロント面14上においてエピタキシャルシリコン層を約0.1マイクロメートル〜約200マイクロメートルの膜厚で成長させるに十分な時間継続する。ソースガスを導入すると同時に、水素等のパージガスをウェハ12のバック面16真下の入口72を介して導入する。パージガス流量は、パージガスが半導体ウェハ12のバック面16に接触し、自然酸化物を低減し、拡散したドーパント原子がバック面から排出口74まで約5リットル/分〜約100リットル/分の流量で排出されるように選択される。
図5および6を参照する。本発明のサセプタの他の実施の形態が概して10で示されている。サセプタは、上述の実施の形態のサセプタと同様であるので、類似の構成部材は、対応する参照番号に100を加えたものにより示している。サセプタ110は、仮想の中央軸122を囲む円形の形状を有する円状体を含む。これは概して120で示す。さらに、円状体120は、上面124および下面126を有する。130により示される第1凹部は、上面124から円状体120へ下方に延びる。第1凹部130は、略円柱状の壁部132と、壁部132の下端から内側へ延びる面134とを有する。面134は、また、壁部132から円状体120の中央軸122に向かって下方向に傾斜している。面134は、ウェハ12を支持する(図6)。面134は本発明の範囲を逸脱しない限り他の幅長を有していてもよいが、ある実施の形態では、当該面は約6ミリメートル(mm)の幅長を有する。壁部132は本発明の範囲を逸脱しない限り他の高さを有していてもよいが、ある実施の形態では、壁部は約0.027インチの高さを有する。サセプタ10は、また、面134の内側に湾曲面180を含む。当該湾曲面180は本発明の範囲を逸脱しない限り他の幅長を有していてもよいが、ある実施の形態では、当該面は約1.38インチの幅を有する。
さらに図5に示すように、サセプタ110は、また、円状体20中に延在する開口部182を含む。ある実施の形態では、当該開口部は円状のホールとして円状体20を完全に貫通する。当業者により予期されるように、開口部182は、ウェハが熱勾配により下方に湾曲するとき、ウェハ中央付近においてウェハ12のバック面16(図6)がサセプタ110に接触することを防止する。開口部182は本発明の範囲を逸脱しない限り如何なる大きさを有していてもよいが、ある実施の形態では、当該開口部は約8.66インチの直径を有する。また、ある実施の形態では、開口部182は約0.10インチ〜約0.11インチの高さを有する壁部184により規定される。当該実施の形態のサセプタ10の他の特徴は上述のサセプタと同様であるので、これらについてはさらに詳細には記載しない。
図5および6に示すように、3つの等間隔に配置されたホール190は、表面180においてサセプタ110を貫通する。これらのホール190は、プロセスの間、サセプタの真上にウェハ12を持ち上げ、それをサセプタ上に下降させるため、従来のリフトピン(不図示)を受容する。これらのホール190およびリフトピンは当該技術分野においてよく知られているので、これらについてはより詳細に説明しない。さらに、3つの等間隔に配置された競技場型の開口部192が図4に関して上述した従来の回転可能支持体66の上端部を受容するため、下面126からサセプタ内に延在する。これらの開口部192は、プロセスの間、方向転換することができるように、支持体66に係合し、サセプタ110が支持体66上でスリップするのを防止する。これらの開口部192は従来のものであるので、これらについてはより詳細には説明しない。
従来のサセプタは、ゆっくり加熱し冷却する。例えば、従来のサセプタでは約700℃から約1150℃まで加熱されるとき、定常温度に達するのに25秒程度かかるであろう。さらに、従来のサセプタ上の温度勾配は、加熱の間50℃を超える可能性がある。対称的に、上述のサセプタ110は、より急速に加熱および冷却をする。例えば、サセプタは約700℃から約1150℃に加熱されるとき約10秒で定常状態に達し、そして加熱中温度勾配は決して20℃を超えない。
図7および8を参照する。本発明のサセプタのさらに別の態様が概して210で示されている。サセプタは、図5および6のサセプタと同様であるので、同様の構成部材は対応する参照番号に100を加えたものにより示される。サセプタ210は、仮想の中央軸222を囲む円形の形状を有する円状体を含む。これは概して220で示す。さらに、当該円状体220は、上面224および下面226を有する。230により示される凹部は、上面224から円状体220へ下方に延びる。凹部230は、略円柱状の壁部232と、壁部232の下端から内側へ延びる面234とを有する。面234は、また、壁部232から円状体220の中央軸222に向かって下方向に傾斜している。面234は、ウェハ12を支持する(図8)。面234は本発明の範囲を逸脱しない限り他の幅長を有していてもよいが、ある実施の形態では、当該面は約6.4ミリメートル(mm)の幅長を有する。壁部232は本発明の範囲を逸脱しない限り他の高さを有していてもよいが、ある実施の形態では、壁部は約0.027インチの高さを有する。さらに図7に示すように、サセプタ210は、また、円状体20中に延在する開口部282を含む。ある実施の形態では、当該開口部は円状のホールとして円状体20を完全に貫通する。当業者により予期されるように、開口部282は、ウェハが熱勾配により下方に湾曲するとき、ウェハ中央付近においてウェハ12のバック面16(図6)がサセプタ110に接触することを防止する。開口部282は本発明の範囲を逸脱しない限り如何なる大きさを有していてもよいが、ある実施の形態では、当該開口部は約5.774インチの直径を有する。ある実施の形態では、開口部282は約0.111インチ〜約0.115インチの高さを有する壁部284により規定される。当該実施の形態のサセプタ210の他の特徴は上述のサセプタ110と同様であるので、これらについてはさらに詳細には記載しない。図7および8に示すように、3つの等間隔に配置された競技場型の開口部292が図4に関して上述した従来の回転可能な支持体66の上端部を受容するため、下面226からサセプタ210内に延びる。これらの開口部292は、プロセスの間、方向転換することができるように、支持体66に係合し、サセプタ210が支持体66上でスリップするのを防止する。これらの開口部292は従来のものであるので、これらについてはより詳細には説明しない。
本発明の様々な側面およびその実施の形態の要素が導入されるとき、冠詞"a"、"an"、"the"、"said"は、その要素が1以上存在することを意味することを意図している。"comprising(含む)"、"including(包含する)""having(有する)"なる用語は、包括的であることを意図しており、挙げられた構成部材以外に別の構成要素が存在していてもよいことを意味する。さらに、"上部"および"下部"、"前部"および"後部"、"上方"および"下方"なる用語を使用することは便宜のためであり、当該構成要素の特定の方向を要求するものではない。
本発明の範囲を逸脱しない限り、上記構成、方法、製品において様々な変更をしうるので、上述の記載に含まれおよび添付の図面に示される全事項は当然例示であって限定する意味ではないと解釈すべきである。さらに、本明細書において説明される全ての寸法情報は、例示であり、本発明を制限することを意図したものではない。

Claims (32)

  1. 内部スペースを有する加熱されたチャンバー内において、半導体ウェハを支持するサセプタであって、
    当該半導体ウェハは、フロント面、該フロント面と反対のバック面、上記フロント面および上記バック面の外周に延在する外周側面を有し、
    当該サセプタは、半導体ウェハをチャンバーの内部スペースに受容し支持することができる大きさおよび形状に形成され、
    当該サセプタは、
    上面と、当該上面と反対の下面と、を有する本体と、
    当該上面から当該本体に仮想の中央軸に沿って下方に延び、半導体ウェハを受容することができる大きさおよび形状に形成された凹部と、
    上記本体を貫通し上記凹部から下面まで延びる複数のリフトピン開口部と、を有し、複数のリフトピン開口部のそれぞれは、ウェハを凹部に対して選択的に上昇または下降させるため、リフトピンを受容することができる大きさに形成され、
    さらに、当該サセプタは、上記本体から上記中央軸に沿って上記凹部から上記下面まで延びる中央開口部を備えるサセプタ。
  2. 上記凹部は、上記本体の上面に略対向する面を有する請求項1記載のサセプタ。
  3. 上記面は、外側縁から内側縁に向かって下方に傾斜する請求項2記載のサセプタ。
  4. 上記面は凹面であることを特徴とする請求項3記載のサセプタ。
  5. 上記凹部は円形状を有する請求項1記載のサセプタ。
  6. 上記開口部は円形状を有する請求項1記載のサセプタ。
  7. サセプタ本体の下面が、サセプタ支持体を受容することができる大きさおよび位置に形成された複数の開口部を有する請求項1記載のサセプタ。
  8. 上記凹部が約0.027インチの深さを有する請求項1記載のサセプタ。
  9. 上記凹部の面が、約6ミリメートルの幅長を有する請求項1記載のサセプタ。
  10. 内部スペースを有する加熱されたチャンバー内において、半導体ウェハを支持するサセプタであって、
    当該半導体ウェハは、フロント面、該フロント面と反対のバック面、上記フロント面および上記バック面の外周に延在する外周側面を有し、
    当該サセプタは、半導体ウェハをチャンバーの内部スペース内に受容し支持することができる大きさおよび形状に形成され、
    当該サセプタは、
    上面と、当該上面と反対の下面と、を有する本体と、
    当該上面から当該本体に仮想の中央軸に沿って下方に延び、半導体ウェハを受容することができる大きさおよび形状に形成されたウェハ係合面を含む凹部と、
    上記本体を通って上記中央軸に沿って上記凹部から上記下面まで延びる中央開口部と、を備えるサセプタ。
  11. 上記ウェハ係合面は、外側縁から内側縁に向かって下方に傾斜する請求項10記載のサセプタ。
  12. 上記ウェハ係合面は凹面である請求項11記載のサセプタ。
  13. 上記凹部は円形状を有する請求項10記載のサセプタ。
  14. 上記開口部は円形状を有する請求項10記載のサセプタ。
  15. 上記開口部は約8.66インチの直径を有する請求項14記載のサセプタ。
  16. 上記サセプタ本体は、当該本体を貫通する複数のリフトピン開口部を有し、当該複数のリフトピン開口部のそれぞれは、上記ウェハを上記凹部に対して選択的に上昇および下降させるためリフトピンを受容することができる大きさに形成された請求項10記載のサセプタ。
  17. 上記サセプタ本体の下面は、サセプタ支持体を受容することができる大きさおよび位置に形成された複数の開口部を有する請求項10記載のサセプタ。
  18. 上記凹部は、約0.027インチの深さを有する請求項10記載のサセプタ。
  19. 上記凹面は、約6ミリメートル(mm)の幅長を有する請求項10記載のサセプタ。
  20. 上記サセプタが800℃の初期温度を有し、約1150℃の温度を有するチャンバーに配置されたとき、15秒未満で定常温度に達する請求項10記載のサセプタ。
  21. 内部スペースを有する加熱されたチャンバー内において半導体ウェハを支持するサセプタであって、
    当該ウェハは、フロント面、バック面、外周側面を有し、チャンバーの内部スペース内に半導体ウェハを支持することができる大きさおよび形状に形成され、
    当該サセプタは、
    上面と、
    当該上面から下方に延び、半導体ウェハを受容するよう適合された第1凹部であって、略円形の第1壁部と、該第1壁部から上記第1凹部の中央に向かって延びる第1棚部と、を有し、該第1棚部は、外周部と内周部とを有し、上記第1棚部が、ウェハの支持を容易にするため上記外周部から内周部に向かって下方に傾斜する第1凹部と、
    上記第1凹部から下方に延びる第2凹部であって、略円形の第2壁部と、該第2壁部から内側へ延びる第2棚部と、を有する第2凹部と、
    上記第2凹部から下方に延びる第3凹部であって、略円形の第3壁部と、該第3壁部から内側に延在するフロアーと、を有する第3凹部と、を有し、
    上記第1、第2、第3凹部は、共通の中央軸を有するサセプタ。
  22. 上記ウェハのバック面と上記第3凹部のフロアーとの間の距離が、約0.005インチ〜約0.030インチである請求項21記載のサセプタ。
  23. 上記ウェハのバック面と上記第3凹部のフロアーとの間の距離が、約0.008インチ〜約0.030インチである請求項21記載のサセプタ。
  24. 上記ウェハのバック面と上記第3凹部のフロアーとの間の距離が、約0.010インチ〜約0.030インチである請求項21記載のサセプタ。
  25. 上記凹部のどの部分もサセプタ下面までサセプタを貫通しない請求項21記載のサセプタ。
  26. 上記凹部の棚部は略傾斜し凹状であり、
    上記の略円形の第2壁部の下端と上記の略円形の第3壁部の上端との間の垂直距離が、約0.010インチを超えない請求項21記載のサセプタ。
  27. 上記ウェハが、バック面の端部近郊の外周端部もしくは外周領域が、上記第1棚部と接触するようにサセプタ上に配置される請求項21記載のサセプタ。
  28. 上記凹部が略円形状である請求項21記載のサセプタ。
  29. 内部スペースと、チャンバーの内部スペースにプロセスガスを導入するためのガス入口と、プロセスガスをチャンバーの内部スペースから排気するためのガス出口と、を有するチャンバー内において半導体ウェハを支持するサセプタであって、
    当該ウェハは、フロント面、バック面、および外周側面を有し、チャンバーの内部スペース内において半導体ウェハを支持することができる大きさおよび形状に形成され、
    当該サセプタは、
    上面と
    当該上面から下方に延び、半導体ウェハを受容するよう適合された第1凹部であって、略円形の第1壁部と、当該第1壁部から凹部の中央に向かって延びる第1棚部と、を含み、第1棚部が、外周部と内周部とを有する第1凹部と、
    上記第1凹部から下方に延び、略円形の第2壁部と、当該第2壁部から内側に向かって延びる第2棚部と、を有する第2凹部と、
    第2凹部から下方に延び、略円形の第3壁部と、当該第3壁部から内側に延在するフロアーと、を有し、加熱の間のウェハの歪みによりウェハの端部に近接する部分以外でウェハがサセプタと接触することを抑制するために、ウェハのバック面と第3凹部のフロアーとの間の距離が約0.005インチ〜約0.030インチであるサセプタ。
  30. 上記第1および第2凹部の表面積の、上記第3凹部のフロアーの表面積に対する比率が、スリップを最小化するため、少なくとも約13〜約1である請求項29記載のサセプタ。
  31. 上記第1棚部は、上記ウェハの支持を容易にするため、外周部から内周部に向かって下方に傾斜する請求項29記載のサセプタ。
  32. 内部スペースと、チャンバーの当該内部スペースにプロセスガスを導入するためのガス入口と、プロセスガスをチャンバーの内部スペースから排気するためのガス出口と、を有するチャンバー内において半導体ウェハを支持するサセプタであって、
    当該ウェハは、フロント面、バック面、外周側面を有し、
    当該サセプタは、チャンバーの内部スペース内に半導体ウェハを支持することができる大きさおよび形状に形成され、
    当該サセプタは、
    上面と
    当該上面から下方に延び、半導体ウェハを支持する棚部を含むウェハ受容凹部と、
    当該ウェハ受容凹部と同軸上にあって当該ウェハ受容凹部よりも深くサセプタに延びる中央凹部と、を有し、
    当該中央凹部の表面積に対するウェハ受容凹部の表面積の比率が、スリップを最小化するため少なくとも約13〜約1であるサセプタ。
JP2010513378A 2007-06-19 2008-06-18 スループットを改善しウェハダメージを低減するサセプタ Pending JP2010530645A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US94491007P 2007-06-19 2007-06-19
US11/965,506 US20080314319A1 (en) 2007-06-19 2007-12-27 Susceptor for improving throughput and reducing wafer damage
PCT/US2008/067344 WO2008157605A1 (en) 2007-06-19 2008-06-18 Susceptor for improving throughput and reducing wafer damage

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012237034A Division JP2013093582A (ja) 2007-06-19 2012-10-26 スループットを改善しウェハダメージを低減するサセプタ

Publications (2)

Publication Number Publication Date
JP2010530645A true JP2010530645A (ja) 2010-09-09
JP2010530645A5 JP2010530645A5 (ja) 2011-02-03

Family

ID=40135179

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010513378A Pending JP2010530645A (ja) 2007-06-19 2008-06-18 スループットを改善しウェハダメージを低減するサセプタ
JP2012237034A Pending JP2013093582A (ja) 2007-06-19 2012-10-26 スループットを改善しウェハダメージを低減するサセプタ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012237034A Pending JP2013093582A (ja) 2007-06-19 2012-10-26 スループットを改善しウェハダメージを低減するサセプタ

Country Status (8)

Country Link
US (1) US20080314319A1 (ja)
EP (1) EP2165358B1 (ja)
JP (2) JP2010530645A (ja)
KR (1) KR20100029772A (ja)
CN (1) CN101772836B (ja)
AT (1) ATE521084T1 (ja)
TW (1) TWI352400B (ja)
WO (1) WO2008157605A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114141691A (zh) * 2021-12-14 2022-03-04 北京北方华创微电子装备有限公司 半导体工艺设备
JP7063493B2 (ja) 2020-09-14 2022-05-09 株式会社 天谷製作所 成膜用冶具及び気相成長装置

Families Citing this family (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009084154A1 (ja) * 2007-12-28 2009-07-09 Shin-Etsu Handotai Co., Ltd. エピタキシャル成長用サセプタ
JP5156446B2 (ja) * 2008-03-21 2013-03-06 株式会社Sumco 気相成長装置用サセプタ
JP2010016312A (ja) * 2008-07-07 2010-01-21 Sumco Corp エピタキシャルウェーハの製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
TW201205713A (en) * 2010-07-21 2012-02-01 Chi Mei Lighting Tech Corp Vapor deposition apparatus and susceptor
DE102010052689A1 (de) * 2010-11-26 2012-05-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Substrathalter für die Oberflächenbehandlung von Substraten und Verwendung des Substrathalters
CN102605341A (zh) * 2011-01-20 2012-07-25 奇力光电科技股份有限公司 气相沉积装置及承载盘
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI541928B (zh) * 2011-10-14 2016-07-11 晶元光電股份有限公司 晶圓載具
DE102011055061A1 (de) * 2011-11-04 2013-05-08 Aixtron Se CVD-Reaktor bzw. Substrathalter für einen CVD-Reaktor
CN102828238B (zh) * 2012-08-24 2015-11-04 东莞市中镓半导体科技有限公司 用于改良外延过程中衬底晶片表面温场的方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
EP2923376A4 (en) * 2012-11-21 2016-06-22 Ev Group Inc ADJUSTMENT DEVICE FOR ADJUSTING AND ASSEMBLING A WATER
CN103938186B (zh) * 2013-01-23 2016-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 托盘、mocvd反应腔和mocvd设备
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9799548B2 (en) * 2013-03-15 2017-10-24 Applied Materials, Inc. Susceptors for enhanced process uniformity and reduced substrate slippage
KR20160113724A (ko) * 2014-02-07 2016-09-30 어플라이드 머티어리얼스, 인코포레이티드 Dsa에서의 보우형 웨이퍼들에 대한 척킹 능력
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
SG10201810390TA (en) * 2014-05-21 2018-12-28 Applied Materials Inc Thermal processing susceptor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10269614B2 (en) * 2014-11-12 2019-04-23 Applied Materials, Inc. Susceptor design to reduce edge thermal peak
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10184193B2 (en) * 2015-05-18 2019-01-22 Globalwafers Co., Ltd. Epitaxy reactor and susceptor system for improved epitaxial wafer flatness
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20170032992A1 (en) * 2015-07-31 2017-02-02 Infineon Technologies Ag Substrate carrier, a method and a processing device
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105575800A (zh) * 2016-02-26 2016-05-11 上海华力微电子有限公司 一种晶圆托环及具有该晶圆托环的反应腔室
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10655224B2 (en) * 2016-12-20 2020-05-19 Lam Research Corporation Conical wafer centering and holding device for semiconductor processing
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11702748B2 (en) * 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN108962810A (zh) * 2017-05-24 2018-12-07 北京北方华创微电子装备有限公司 一种承载基座及预清洗装置
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
CN110172683A (zh) * 2019-06-27 2019-08-27 云谷(固安)科技有限公司 加热机构、等离子体腔室及在基片上成膜的方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7390142B2 (ja) 2019-09-20 2023-12-01 株式会社Screenホールディングス 基板処理装置および基板搬送方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220352006A1 (en) * 2021-04-30 2022-11-03 Asm Ip Holding B.V. Susceptors with film deposition control features
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116499840B (zh) * 2023-06-28 2023-09-08 盛吉盛半导体科技(北京)有限公司 一种晶圆切片制样装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003532612A (ja) * 2000-11-29 2003-11-05 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッド オートドーピングおよび後面ハローがないエピタキシャルシリコンウエハ
JP2003318116A (ja) * 2002-04-25 2003-11-07 Shin Etsu Handotai Co Ltd サセプタおよび半導体ウェーハの製造方法
JP2004052098A (ja) * 2002-05-31 2004-02-19 Tokyo Electron Ltd 基板処理装置およびそれに用いるサセプタ
JP2004079676A (ja) * 2002-08-13 2004-03-11 Toshiba Ceramics Co Ltd ウェーハホルダ
JP2004319623A (ja) * 2003-04-14 2004-11-11 Shin Etsu Handotai Co Ltd サセプタ及び気相成長装置
JP2005522294A (ja) * 2002-04-10 2005-07-28 テクニッシェ ユニヴァージテート デルフト イメージデータの記憶再生装置
JP2005311291A (ja) * 2004-03-26 2005-11-04 Toshiba Ceramics Co Ltd 縦型ボート

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3806360A (en) * 1966-12-15 1974-04-23 Western Electric Co Methods for heating and/or coating articles
US3675619A (en) * 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
US4322592A (en) * 1980-08-22 1982-03-30 Rca Corporation Susceptor for heating semiconductor substrates
US5242501A (en) * 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
US4728389A (en) * 1985-05-20 1988-03-01 Applied Materials, Inc. Particulate-free epitaxial process
US5373806A (en) * 1985-05-20 1994-12-20 Applied Materials, Inc. Particulate-free epitaxial process
US4823736A (en) * 1985-07-22 1989-04-25 Air Products And Chemicals, Inc. Barrel structure for semiconductor epitaxial reactor
US5200157A (en) * 1986-02-17 1993-04-06 Toshiba Ceramics Co., Ltd. Susceptor for vapor-growth deposition
US5116181A (en) * 1989-05-19 1992-05-26 Applied Materials, Inc. Robotically loaded epitaxial deposition apparatus
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5192371A (en) * 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
US5298107A (en) * 1992-02-27 1994-03-29 Applied Materials, Inc. Processing method for growing thick films
US5288364A (en) * 1992-08-20 1994-02-22 Motorola, Inc. Silicon epitaxial reactor and control method
JP2785614B2 (ja) * 1992-09-28 1998-08-13 信越半導体株式会社 シリンダー型エピタキシャル層成長装置
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
DE4305749A1 (de) * 1993-02-25 1994-09-01 Leybold Ag Vorrichtung zum Halten von flachen, kreisscheibenförmigen Substraten in der Vakuumkammer einer Beschichtungs- oder Ätzanlage
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5439523A (en) * 1994-02-14 1995-08-08 Memc Electronic Materials, Inc. Device for suppressing particle splash onto a semiconductor wafer
JPH0897159A (ja) * 1994-09-29 1996-04-12 Handotai Process Kenkyusho:Kk エピタキシャル成長方法および成長装置
US5562947A (en) * 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US5518549A (en) * 1995-04-18 1996-05-21 Memc Electronic Materials, Inc. Susceptor and baffle therefor
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
JP3725598B2 (ja) * 1996-01-12 2005-12-14 東芝セラミックス株式会社 エピタキシャルウェハの製造方法
US5960555A (en) * 1996-07-24 1999-10-05 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
JP3596710B2 (ja) * 1996-09-10 2004-12-02 信越半導体株式会社 気相成長装置用サセプタ
JP3336897B2 (ja) * 1997-02-07 2002-10-21 三菱住友シリコン株式会社 気相成長装置用サセプター
US5968277A (en) * 1997-10-10 1999-10-19 Seh America, Inc. Susceptor apparatus for epitaxial deposition and method for reducing slip formation on semiconductor substrates
KR100660416B1 (ko) * 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 개량된 저질량 웨이퍼 지지 시스템
US6129048A (en) * 1998-06-30 2000-10-10 Memc Electronic Materials, Inc. Susceptor for barrel reactor
JP2000133187A (ja) 1998-10-22 2000-05-12 Hitachi Ltd 電子顕微鏡の試料ステージ
US6184154B1 (en) * 1999-10-13 2001-02-06 Seh America, Inc. Method of processing the backside of a wafer within an epitaxial reactor chamber
JP4592849B2 (ja) * 1999-10-29 2010-12-08 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
US6444027B1 (en) * 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
US20030037723A9 (en) * 2000-11-17 2003-02-27 Memc Electronic Materials, Inc. High throughput epitaxial growth by chemical vapor deposition
KR100765539B1 (ko) * 2001-05-18 2007-10-10 엘지.필립스 엘시디 주식회사 화학기상 증착장비
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US7048316B1 (en) * 2002-07-12 2006-05-23 Novellus Systems, Inc. Compound angled pad end-effector
DE10328842B4 (de) * 2003-06-26 2007-03-01 Siltronic Ag Suszeptor für eine chemische Gasphasenabscheidung, Verfahren zur Bearbeitung einer Halbleiterscheibe durch chemische Gasphasenabscheidung und nach dem Verfahren bearbeitete Halbleiterscheibe
US7285483B2 (en) * 2003-06-26 2007-10-23 Silitronic Ag Coated semiconductor wafer, and process and apparatus for producing the semiconductor wafer
JP4417669B2 (ja) * 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP2005183834A (ja) * 2003-12-22 2005-07-07 Toshiba Ceramics Co Ltd バレル型サセプタ
JP4551106B2 (ja) * 2004-03-31 2010-09-22 東洋炭素株式会社 サセプタ
EP1749900B1 (en) * 2004-05-18 2014-09-03 SUMCO Corporation Susceptor for vapor deposition apparatus
DE102004058521A1 (de) * 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US7462246B2 (en) * 2005-04-15 2008-12-09 Memc Electronic Materials, Inc. Modified susceptor for barrel reactor
JP4841873B2 (ja) * 2005-06-23 2011-12-21 大日本スクリーン製造株式会社 熱処理用サセプタおよび熱処理装置
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003532612A (ja) * 2000-11-29 2003-11-05 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッド オートドーピングおよび後面ハローがないエピタキシャルシリコンウエハ
JP2005522294A (ja) * 2002-04-10 2005-07-28 テクニッシェ ユニヴァージテート デルフト イメージデータの記憶再生装置
JP2003318116A (ja) * 2002-04-25 2003-11-07 Shin Etsu Handotai Co Ltd サセプタおよび半導体ウェーハの製造方法
JP2004052098A (ja) * 2002-05-31 2004-02-19 Tokyo Electron Ltd 基板処理装置およびそれに用いるサセプタ
JP2004079676A (ja) * 2002-08-13 2004-03-11 Toshiba Ceramics Co Ltd ウェーハホルダ
JP2004319623A (ja) * 2003-04-14 2004-11-11 Shin Etsu Handotai Co Ltd サセプタ及び気相成長装置
JP2005311291A (ja) * 2004-03-26 2005-11-04 Toshiba Ceramics Co Ltd 縦型ボート

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7063493B2 (ja) 2020-09-14 2022-05-09 株式会社 天谷製作所 成膜用冶具及び気相成長装置
CN114141691A (zh) * 2021-12-14 2022-03-04 北京北方华创微电子装备有限公司 半导体工艺设备
CN114141691B (zh) * 2021-12-14 2022-06-17 北京北方华创微电子装备有限公司 半导体工艺设备

Also Published As

Publication number Publication date
ATE521084T1 (de) 2011-09-15
CN101772836B (zh) 2012-07-25
CN101772836A (zh) 2010-07-07
US20080314319A1 (en) 2008-12-25
WO2008157605A1 (en) 2008-12-24
TW200910513A (en) 2009-03-01
EP2165358B1 (en) 2011-08-17
KR20100029772A (ko) 2010-03-17
TWI352400B (en) 2011-11-11
EP2165358A1 (en) 2010-03-24
JP2013093582A (ja) 2013-05-16
WO2008157605A4 (en) 2009-02-26

Similar Documents

Publication Publication Date Title
JP2010530645A (ja) スループットを改善しウェハダメージを低減するサセプタ
JP2011522393A (ja) サポートボスを有するサセプタ
CN107851560B (zh) 基座、外延生长装置、及外延晶圆
US20050092439A1 (en) Low/high temperature substrate holder to reduce edge rolloff and backside damage
US7648579B2 (en) Substrate support system for reduced autodoping and backside deposition
US6596095B2 (en) Epitaxial silicon wafer free from autodoping and backside halo and a method and apparatus for the preparation thereof
JP4669476B2 (ja) 半導体製造時にウェハを支持するホルダ
JP5444607B2 (ja) エピタキシャル膜形成装置用のサセプタ、エピタキシャル膜形成装置、エピタキシャルウェーハの製造方法
JP5659493B2 (ja) 気相成長方法
JP6424726B2 (ja) サセプタ及びエピタキシャル成長装置
JP2004319623A (ja) サセプタ及び気相成長装置
US20110073037A1 (en) Epitaxial growth susceptor
JP6459801B2 (ja) エピタキシャルシリコンウェーハの製造方法
WO2001086035A1 (en) Epitaxial silicon wafer free from autodoping and backside halo
JP4599816B2 (ja) シリコンエピタキシャルウェーハの製造方法
JP5347288B2 (ja) シリコンエピタキシャルウェーハの製造方法
JP2004119859A (ja) サセプタ、半導体ウェーハの製造装置及び製造方法
US10184193B2 (en) Epitaxy reactor and susceptor system for improved epitaxial wafer flatness
JP3541838B2 (ja) サセプタ、エピタキシャルウェーハの製造装置および製造方法
JP2004063865A (ja) サセプタ、気相成長装置およびエピタキシャルウェーハの製造方法
JP2004186650A (ja) シリコンエピタキシャルウェーハの製造方法
JP5440589B2 (ja) 気相成長装置及びエピタキシャルウェーハの製造方法
JP2013191889A (ja) シリコンエピタキシャルウェーハ
KR101259006B1 (ko) 웨이퍼 제조장치의 서셉터
JP3297267B2 (ja) 熱処理用ウェハボート及びこれを用いた熱処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101208

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120620

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120626

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120920

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121026

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130409