KR20100029772A - 수율을 향상시키고 웨이퍼 손실을 감소시키기 위한 서셉터 - Google Patents

수율을 향상시키고 웨이퍼 손실을 감소시키기 위한 서셉터 Download PDF

Info

Publication number
KR20100029772A
KR20100029772A KR1020097026567A KR20097026567A KR20100029772A KR 20100029772 A KR20100029772 A KR 20100029772A KR 1020097026567 A KR1020097026567 A KR 1020097026567A KR 20097026567 A KR20097026567 A KR 20097026567A KR 20100029772 A KR20100029772 A KR 20100029772A
Authority
KR
South Korea
Prior art keywords
susceptor
wafer
depression
chamber
extending
Prior art date
Application number
KR1020097026567A
Other languages
English (en)
Inventor
마나부 하마노
스리칸쓰 콤무
존 에이. 피트니
토마스 에이. 토랙
랜스 지. 헬위그
Original Assignee
엠이엠씨 일렉트로닉 머티리얼즈, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엠이엠씨 일렉트로닉 머티리얼즈, 인크. filed Critical 엠이엠씨 일렉트로닉 머티리얼즈, 인크.
Publication of KR20100029772A publication Critical patent/KR20100029772A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

본 발명은 내부 공간을 가지는 가열된 챔버 내에서 반도체 웨이퍼를 지지하기 위한 서셉터에 관한 것이다. 서셉터는 상부면 및 상부면의 반대편에 있는 하부면을 가지는 몸체를 포함한다. 서셉터는 또한 가상의 중앙축을 따라 상부면으로부터 몸체 안쪽 하부 방향으로 연장되는 함몰부를 가진다. 함몰부는 그 내에 반도체 웨이퍼를 수용하기 위한 크기 및 형태를 가진다. 서셉터는 함몰부로부터 하부면으로 몸체를 통해 연장되는 복수의 리프트 핀 개구를 포함한다. 각각의 리프트 핀 개구들은 함몰부에 대해 웨이퍼를 선택적으로 상승시키고 하강시킬 수 있는 리프트 핀을 위한 크기를 가진다. 서셉터는 중앙축을 따라 함몰부로부터 하부면으로 몸체를 통해 연장되는 중앙 개구를 가진다.
Figure P1020097026567
웨이퍼, 서셉터, 슬립, 전위

Description

수율을 향상시키고 웨이퍼 손실을 감소시키기 위한 서셉터{SUSCEPTOR FOR IMPROVING THROUGHPUT AND REDUCING WAFER DAMAGE}
본 발명은 화학 기상 성막 공정(chemical vapor deposition process)에서의 사용을 위한 서셉터(susceptor)에 관한 것으로, 보다 구체적으로는 화학 기상 성막 공정 동안 단일 반도체 웨이퍼를 지지하기 위한 서셉터에 관한 것이다.
반도체 웨이퍼는 웨이퍼의 전면에 실리콘 박막을 성장시키는 에피텍셜 성막 공정(epitaxial deposition process)과 같은 화학 기상 성막 공정을 받을 수 있다. 이 공정에 의해 장치들이 고품질의 에피텍셜 층에 직접적으로 제조될 수 있다. 종래의 에피텍셜 성막 공정들이 미국특허 제5,904,769호 및 제5,769,942호에 개시되어 있으며, 전문이 본 명세서에 참조로 포함된다.
에피텍셜 성막 공정 이전에, 반도체 웨이퍼는 성막 챔버 상으로 적재되어 서셉터 상으로 하강한다. 에피텍셜 성막 공정은 웨이퍼가 서셉터 위로 하강한 후 수소 또는 수소와 염화 수소산(hydrochloric acid)의 혼합물과 같은 세정 가스(cleaning gas)를 웨이퍼의 전면(예컨대, 서셉터로부터 떨어져 대면하는 표면)으로 주입하여 웨이퍼의 전면을 예열하고 세정하는 것으로 개시된다. 세정 가스가 전면으로부터 자연 산화막(native oxide)을 제거하여, 에피텍셜 실리콘 층이 성막 공정의 후속 단계 동안 표면 상에 연속적이고 평탄하게 성장할 수 있다. 에피텍셜 성막 공정은 시레인(silane) 또는 클로리네이티드 시레인(chlorinated silane)과 같은 기상 실리콘 소스 가스를 웨이퍼의 전면으로 주입하여 전면 상에 실리콘의 에피텍셜 층을 성막하고 성장시키는 것으로 이어진다. 동시에 서셉터의 전면의 반대편에 있는 후면에는 수소 가스를 가할 수 있다. 에피텍셜 성막 공정 동안 성막 챔버에서 반도체 웨이퍼를 지지하는 서셉터는 에피텍셜 층이 평탄하게 성장하는 것을 보장하기 위해 공정 동안 회전한다. 에피텍셜 성장 공정에서 이용되는 종래의 서셉터들이 미국특허 제6,652,650호 및 제6,596,095호에 설명되어 있으며, 이들은 전문이 본 명세서에 참조로 포함된다.
통상의 서셉터 설계는 오목한 바닥(concave floor)을 가지는 함몰부(recess)를 가지는 디스크를 포함한다. 이러한 형태에 의해, 웨이퍼는 웨이퍼의 모서리가 서셉터와 접촉하는 반면, 웨이퍼의 잔여 부분은 서셉터와 접촉하지 않는다. 반도체 웨이퍼가 웨이퍼의 모서리 이외의 지점에서 서셉터와 접촉하는 경우, 웨이퍼가 서셉터 상의 실리콘 카바이드 코팅(silicon carbide coating) 위에 놓여져 있으면 결함들(defects)이 이러한 접촉 지점에서 발생할 수 있다. 이러한 결함들은 전면 전위들(front surface dislocations) 및 슬립(slip)을 야기할 수 있으며, 장치를 고장나게 할 가능성이 있다.
출원인은 웨이퍼 모서리 이외의 부분들이 웨이퍼가 서셉터 상으로 적재된 직후 서셉터와 접촉할 수 있다는 것을 발견하였다. 반도체 웨이퍼는 통상적으로 서셉터 상에 적재될 때 주위 온도에 가깝다. 반면, 서셉터는 웨이퍼가 서셉터 상에 적재될 때 약 500℃와 약 1000℃ 사이에 있다. 웨이퍼와 서셉터 간의 온도 차이로 인해 웨이퍼가 빠르게 가열되고, 구부러진다. 이러한 구부러짐에 의해 웨이퍼의 후면이 서셉터와 접촉하게 되고, 그로 인해 결함들이 접촉 지점들, 특히 웨이퍼 중앙 근처의 접촉 지점들에서 발생한다.
웨이퍼 후면 손상을 방지하기 위한 한 가지 접근 방식은 보다 오목한 바닥을 가지는 서셉터를 이용하는 것이다. 이러한 형태는 웨이퍼의 후면과 서셉터 간의 거리를 증가시킨다. 그러나 바닥의 오목함을 증가시키는 것은 웨이퍼 모서리에서 웨이퍼 슬립 전위들을 증가시킨다는 것이 발견되었다. 서셉터의 질량이 반도체 웨이퍼의 질량보다 현저히 크기 때문에, 일반적으로 웨이퍼 온도는 서셉터 상에 적재될 때 웨이퍼에 걸쳐 균일하게 증가한다. 그러나 함몰부 중앙의 두께가 함몰부 모서리 부근의 두께보다 현저히 큰 경우, 방사 온도 구배(radial temperature gradients)가 웨이퍼에 걸쳐 형성될 수 있다. 이러한 온도 구배는 웨이퍼, 특히 웨이퍼 모서리에서 슬립과 전위들을 야기할 수 있다.
통상의 서셉터들에 의해 발생하는 또 다른 문제점은 서셉터를 가열하고 냉각시키는데 시간이 오래 걸리고 그로 인해 공정시간이 증가한다는 것이다. 또한, 통상의 서셉터들은 웨이퍼 전체 밑에서 자리잡고 있기 때문에 수소가 자연 산화막을 제거하기 위해 웨이퍼 후면에 도달하는 것을 차단하고, 웨이퍼 후면으로부터 초과 확산된 도펀트(outdiffused dopant)가 이탈하는 것을 차단한다.
따라서, 웨이퍼 후면 결함을 감소시키거나 제거하고 웨이퍼에서의 슬립 전위들의 발생을 최소화하는 서셉터에 대한 필요가 존재한다. 또한, 서셉터를 보다 신 속히 가열하고 냉각할 수 있게 함으로써 공정 시간을 감소시키고, 수소가 웨이퍼 후면에 도달할 수 있으며, 초과 확산된 도펀트가 웨이퍼의 후면으로부터 이탈할 수 있게 하는 서셉터에 대한 필요가 존재한다.
본 발명의 일 태양은 내부 공간을 가지는 가열된 챔버에서 반도체 웨이퍼를 지지하기 위한 서셉터에 관한 것이다. 웨이퍼는 전면, 전면의 반대편에 있는 후면 및 전면과 후면 둘레로 연장되어 있는 원주방향 측면을 가진다. 서셉터는 챔버의 내부 공간 내로 수용되고 반도체 웨이퍼를 지지하기 위한 크기 및 형태를 가진다. 서셉터는 상부면 및 상부면의 반대편에 있는 하부면을 가지는 몸체를 포함한다. 또한, 서셉터는 가상의 중앙축을 따라 상부면으로부터 몸체 안쪽 하부 방향으로 연장되고, 그 안으로 반도체 웨이퍼를 수용하기 위한 크기 및 형태를 가지는 함몰부를 포함한다. 추가적으로, 서셉터는 함몰부로부터 하부면으로 몸체를 통해 연장되고, 각각 함몰부에 대해 웨이퍼를 선택적으로 상승시키고 하강시킬 수 있는 리프트 핀들(lift pins)을 위한 크기를 가지는 복수의 리프트 핀 개구를 포함한다. 또한, 서셉터는 중앙축을 따라 함몰부로부터 하부면으로 몸체를 통해 연장되는 중앙 개구를 포함한다.
본 발명의 또 다른 태양은 내부 공간을 가지는 가열된 챔버에서 반도체 웨이퍼를 지지하기 위한 서셉터에 관한 것이다. 웨이퍼는 전면, 전면의 반대편에 있는 후면 및 전면과 후면 둘레로 연장되어 있는 원주방향 측면을 가진다. 서셉터는 챔버의 내부 공간 내로 수용되고 반도체 웨이퍼를 지지하기 위한 크기 및 형태를 가진다. 서셉터는 상부면 및 상부면의 반대편에 있는 하부면을 가지는 몸체를 포함한다. 또한, 서셉터는 가상의 중앙축을 따라 상부면으로부터 몸체 안쪽 하부 방향으로 연장되고, 그 위로 반도체 웨이퍼를 수용하기 위한 크기 및 형태를 가지는 웨이퍼-결합 인접면(wafer-engaging face)을 포함하는 함몰부를 포함한다. 서셉터는 또한 중앙축을 따라 함몰부로부터 하부면으로 몸체를 통해 연장되는 중앙 개구를 포함한다.
또 다른 태양에서, 본 발명은 내부 공간을 가지는 가열된 챔버에서 반도체 웨이퍼를 지지하기 위한 서셉터를 포함한다. 웨이퍼는 전면, 후면 및 원주방향 모서리를 가지고, 서셉터는 챔버의 내부 공간 내에서 반도체 웨이퍼를 지지하기 위한 크기 및 형태를 가진다. 서셉터는 상부면 및 상부면으로부터 하부 방향으로 연장된 제1 함몰부를 포함한다. 제1 함몰부는 반도체 웨이퍼를 수용하도록 구성된다. 제1 함몰부는 대체로 환형인 제1 측벽 및 제1 측벽으로부터 함몰부의 중앙으로 연장되는 제1 렛지(ledge)를 포함한다. 제1 렛지는 바깥쪽 둘레 및 안쪽 둘레를 가진다. 제1 렛지는 웨이퍼를 지지하는 것을 용이하게 하기 위해 바깥쪽 둘레로부터 안쪽 둘레로 하부 방향의 기울기를 가진다. 서셉터는 제1 함몰부로부터 하부 방향으로 연장되는 제2 함몰부를 포함한다. 제2 함몰부는 대체로 환형인 제2 측벽 및 제2 측벽으로부터 내부 방향으로 연장되는 제2 렛지를 포함한다. 또한, 서셉터는 제2 함몰부로부터 하부 방향으로 연장되는 제3 함몰부를 포함한다. 제3 함몰부는 대체로 환형인 제3 측벽 및 제3 측벽으로부터 내부 방향으로 연장되는 바닥을 포함한다. 제1, 제2 및 제3 함몰부들은 공통적인 중앙축을 가진다.
본 발명의 또 다른 태양은 내부 공간을 가지는 챔버에서 반도체 웨이퍼를 지지하기 위한 서셉터를 포함한다. 챔버는 공정 가스가 챔버의 내부 공간 내로 유동하도록 유도하기 위한 가스 인입구 및 공정 가스가 챔버의 내부 공간으로부터 배출되는 가스 분출구를 가진다. 웨이퍼는 전면, 후면 및 원주방향 모서리를 가진다. 서셉터는 챔버의 내부 공간 내에서 반도체 웨이퍼를 지지하기 위한 크기 및 형태를 가진다. 서셉터는 상부면 및 상부면으로부터 하부 방향으로 연장되는 제1 함몰부를 포함한다. 제1 함몰부는 반도체 웨이퍼를 수용하도록 구성된다. 또한, 제1 함몰부는 대체로 환형인 제1 측벽 및 제1 측벽으로부터 함몰부의 중심으로 연장되는 제1 렛지를 포함한다. 제1 렛지는 바깥쪽 둘레 및 안쪽 둘레를 가진다. 또한, 서셉터는 제1 함몰부로부터 하부 방향으로 연장되는 제2 함몰부를 포함한다. 제2 함몰부는 대체로 환형인 제2 측벽 및 제2 측벽으로부터 내부 방향으로 연장되는 제2 렛지를 포함한다. 또한, 서셉터는 제2 함몰부로부터 하부 방향으로 연장되는 제 3 함몰부를 포함한다. 제3 함몰부는 대체로 환형인 제3 측벽 및 제3 측벽으로부터 내부 방향으로 연장되는 바닥을 포함한다. 가열하는 동안 웨이퍼가 구부러지기 때문에 웨이퍼의 모서리의 인접부를 제외한 웨이퍼와 서셉터 간의 접촉을 방지하기 위해 웨이퍼의 후면과 제3 함몰부의 바닥 간의 거리가 약 0.005인치와 약 0.030인치 사이이다.
본 발명은 또한 내부 공간을 가지는 챔버에서 반도체 웨이퍼를 지지하기 위한 서셉터를 포함한다. 챔버는 공정 가스가 챔버의 내부 공간 내로 유동하도록 유도하기 위한 가스 인입구 및 공정 가스가 챔버의 내부 공간으로부터 배출되는 가스 분출구를 가진다. 웨이퍼는 전면, 후면 및 원주방향 모서리를 가진다. 서셉터는 챔버의 내부 공간 내에서 반도체 웨이퍼를 지지하기 위한 크기 및 형태를 가진다. 서셉터는 상부면 및 상부면으로부터 하부 방향으로 연장되는 웨이퍼-수용 함몰부를 포함한다. 웨이퍼-수용 함몰부는 웨이퍼를 지지하기 위한 렛지를 포함한다. 또한, 서셉터는 웨이퍼-수용 함몰부와 동일한 축을 가지고 웨이퍼-수용 함몰부보다 서셉터 내로 더 깊이 연장되는 중앙 함몰부를 포함한다. 웨이퍼-수용 함몰부의 표면적 대 중앙 함몰부의 표면적의 비율은 슬립을 최소화하기 위해 적어도 약13 대 1이다.
본 발명의 상술한 태양과 관련하여 기술된 특징들에 대한 다양한 개량이 존재한다. 또한, 추가적인 특징들이 본 발명의 상술한 태양에 또한 포함될 수 있다. 상기 개량 및 추가적인 특징은 개별적으로 존재하거나 임의의 결합 형태로 존재할 수 있다. 예를 들어, 본 발명의 예시적인 실시예 중 임의의 것과 관련하여 후술할 다양한 특징들은 본 발명의 상술한 태양 중 하나 또는 임의의 결합에 포함될 수 있다.
도 1은 반도체 웨이퍼를 지지하는 제1 실시예의 서셉터의 단면도.
도 2는 구부러진 반도체 웨이퍼를 지지하는 도 1의 서셉터의 단면도.
도 3은 도 1의 서셉터의 평면도.
도 4는 챔버에서 반도체 웨이퍼를 지지하는 도 1의 서셉터의 도식적인 단면도.
도 5는 제2 실시예의 서셉터의 평면도.
도 6은 반도체 웨이퍼를 지지하는 도 5의 서셉터의 부분적인 단면도.
도 7은 제3 실시예의 서셉터의 평면도.
도 8은 반도체 웨이퍼를 지지하는 도 7의 서셉터의 부분적인 단면도.
도면부호는 전 도면에 걸쳐 그에 대응하는 구성 요소를 나타낸다.
도면 중 특히 도 1을 참고하면, 서셉터는 본 명세서 전체에서 일반적으로 도면부호 10으로 표시된다. 서셉터(10)는 일반적으로 도면부호 12로 표시된 반도체 웨이퍼를 지지한다. 웨이퍼(12)는 전면(14) 및 전면의 반대편에 있는 후면(16)을 가진다. 웨이퍼(12)는 또한 전면(14) 및 후면(16) 둘레로 연장되는 원주방향 측면(18)을 가진다. 도 1에 도시된 원주방향 측면(18)이 대체로 둥근 형태이지만, 측벽은 본 발명의 범위에서 벗어나지 않고 직선 형태(straight)일 수 있다.
서셉터(10)는 일반적으로 도면부호 20으로 표시된 몸체를 포함하고, 몸체는 가상 중앙축(22)을 둘러싼 원 형태를 가진다. 또한, 몸체(20)는 상부면(24) 및 하부면(26)을 포함한다. 일반적으로 도면부호 30으로 표시되는 제1, 즉 바깥쪽 함몰부가 상부면(24)으로부터 몸체(20) 안쪽 하부 방향으로 연장된다. 제1 함몰부(30)는 대체로 원통형인 측벽(32) 및 측벽(32)의 하단으로부터 내부 방향으로 연장되는 인접면(34)을 포함한다. 인접면(34)은 또한 몸체(20)의 중앙축(22)을 향해 측벽(32)으로부터 하부 방향으로 기울어진다. 인접면(34)은 웨이퍼(12)를 지지한다. 도 1에 도시된 바와 같이, 인접면(34)의 하부 방향의 기울기로 인해 웨이 퍼(12)와 인접면 간의 접촉이 좁은 선으로 이루어진다. 인접면(34)은 본 발명의 범위에서 벗어나지 않고 다양한 폭을 가질 수 있지만, 일 실시예에서 인접면은 약 6mm의 폭을 가진다. 본 실시예에서, 웨이퍼(12)는 웨이퍼의 후면(16) 부근에서 원주방향 측면(18)을 따라 인접면(34)과 접촉한다.
도 1 에 더 도시된 바와 같이, 서셉터(10)는 또한 제1 함몰부(30)로부터 몸체(20) 안쪽 하부 방향으로 연장되는 제2, 즉 중간 함몰부(40)를 포함한다. 제2 함몰부(40)는 대체로 원통형인 측벽(42) 및 측벽(42)의 하단으로부터 중앙축(22)을 향해 내부 방향으로 연장되는 인접면(44)을 포함한다. 제2 인접면(44)이 선형으로 기울어지는 것으로 도시되어 있지만, 제2 렛지(ledge)는 본 발명의 범위에서 벗어나지 않고 대체로 오목한 형태일 수 있다. 일 실시예에 따르면, 측벽(42)의 높이는 약 0.002인치(0.05mm)와 약 0.003인치(0.08mm) 사이이다.
제3, 즉 안쪽 함몰부(50)는 제2 함몰부(40)로부터 몸체(10) 안쪽 하부 방향으로 연장된다. 제3 함몰부(50)는 측벽으로부터 원통형 측벽(52) 및 중앙축(22)으로 내부 방향으로 연장되는 바닥(54)을 포함한다. 일 실시예에 따르면, 측벽(52)의 높이는 약 0.003인치(0.08mm)이다. 관련 기술 분야의 당업자가 이해할 수 있는 바와 같이, 제3 함몰부(50)는 도 2에 도시된 바와 같이 열 구배로 인해 웨이퍼가 하부 방향으로 구부러질 때 웨이퍼의 중앙 부근의 웨이퍼(12)의 후면(16)이 서셉터(10)와 접촉하는 것을 방지한다. 바닥(54)은 본 발명의 범위에서 벗어나지 않고 다양한 형태를 가질 수 있지만, 일 실시예에서 바닥(54)은 대체로 평면이다.
제2 측벽(42)의 하부와 제3 측벽(52)의 상부 간의 수직 높이가 약 0.010인 치(0.25mm)보다 큰 서셉터 상에 지지된 웨이퍼가 통상적으로 웨이퍼 모서리에서 용인될 수 없는 양의 웨이퍼 슬립 전위들을 가진다는 것이 발견되었다. 따라서, 일 실시예에 따르면, 제2 측벽(42)의 하부 및 제3 측벽(52)의 상부 간의 수직 길이가 약 0.007인치(0.18mm)보다 크지 않다. 또 다른 실시예에 따르면, 이 길이는 약 0.005인치(0.10mm)보다 크지 않다.
추가 실시예에 따르면, 구부러지지 않은 웨이퍼(12)의 후면(16)과 제3 함몰부(50)의 바닥(54) 간의 거리는 약 0.005인치(0.13mm)와 약 0.030인치(0.76mm) 사이이다. 웨이퍼(12)와 바닥(54) 간의 거리가 적어도 약 0.005인치(0.13mm)일 경우, 웨이퍼가 웨이퍼 후면(16)의 중앙 부근의 표면 손상 없이 생산될 수 있다. 웨이퍼(12)와 바닥(54) 간의 거리가 약 0.030인치(0.76mm)보다 작을 경우, 웨이퍼가 현저한 수의 슬립 전위들 없이 생산될 수 있다. 또 다른 실시예에 따르면, 구부러지지 않은 웨이퍼(12)의 후면(16)과 제3 함몰부(50)의 바닥(54) 간의 거리는 약 0.008인치(0.20mm)와 약 0.030인치(0.76mm) 사이이고, 또 다른 실시예에서 이 거리는 약 0.010인치(0.25mm)과 약 0.030인치(0.76mm) 사이이다.
세 개의 함몰부들(30, 40, 50)은 도 3에 도시된 바와 같이 대체로 원형이고 가상 중앙축(22)을 중심으로 갖는다. 통상적으로, 함몰부들(30, 40, 50)은 서셉터(10)를 통해 서셉터의 하부면(26)으로 연장되지 않는다. 그러나 일 실시예에 따르면 제3 함몰부(50)는 서셉터(10)의 전체 두께를 통해 연장된다.
중간 함몰부(40)는 반도체 웨이퍼를 가열하는 동안 웨이퍼(12)의 후면(16)과 서셉터(10) 간의 접촉을 방지하기에 충분할 정도로 커야 한다. 그러나 중간 함몰 부(40)는 접촉을 방지하기에 필요한 것보다 더 많은 서셉터 질량이 제거될 정도로 크지는 않아야 한다. 서셉터에 의해 웨이퍼 온도는 웨이퍼(12)가 서셉터(10) 상에 적재될 때 균일하게 증가할 수 있다. 따라서, 일 실시예에 따르면, 웨이퍼 슬립을 최소화하기 위해 바깥쪽 함몰부(30)의 표면적 대 중간 함몰부(40)의 표면적의 비율이 적어도 약 13:1이다.
서셉터(10)는 발명의 범위에서 벗어나지 않고 다양한 전체 직경(overall diameter)을 가질 수 있지만, 일 실시예에서 서셉터는 약 14.7인치의 전체 직경과 약 0.15인치의 전체 두께를 가진다. 또한, 서셉터(10)는 본 발명의 범위에서 벗어나지 않고 다양한 재료로 구성될 수 있지만, 일 실시예에서 서셉터는 SiC 코팅된 그래파이트(silicon carbide coated graphite)로 구성된다. 서셉터(10)는 미국특허 제6,652,650호 및 제6,444,027에 도시되고 설명된 바와 같이 상부면(14)으로부터 하부면(16)으로 연장된 복수의 구멍을 가질 수 있다.
상술한 서셉터(10)는 에피텍셜 성막 공정과 같은 화학 기상 성막 공정을 위한 장치의 부분으로 이용될 수 있다. 도 4를 참조하면, 화학 기상 성막 공정을 위한 장치는 일반적으로 도면부호 60으로 표시된다. 장치(60)는 내부 볼륨, 즉 공간(64)을 가지는 에피텍셜 반응 챔버(62)를 포함한다. 상술한 서셉터는 챔버(62)의 내부 공간(64) 내로의 수용을 위한, 그리고 반도체 웨이퍼(12)를 지지하기 위한 크기 및 형태를 가진다. 서셉터(10)는 에피텍셜 공정 동안 서셉터를 회전시키기 위해 통상의 회전 가능 지지부들(66)에 부착된다. 반응 챔버(62)는 또한 에피텍셜 성막 공정 동안 웨이퍼(12)를 가열하기 위해 가열 소스, 예컨대 서셉터(10) 위 아 래에 위치한 가열 램프 어레이(68)를 포함할 수 있다. 상부 가스 인입구(70) 및 하부 가스 인입구(72)에 의해 가스가 챔버(12)의 내부 공간(64) 내로 주입될 수 있다.
에피텍셜 성막 공정 동안, 에피텍셜 실리콘 층은 반도체 웨이퍼(12)의 전면(14) 상에서 성장한다. 웨이퍼(12)는 챔버(62) 내로 반입되고 서셉터(10)의 인접면(34)의 중앙에 위치한다. 웨이퍼(12)는 서셉터(10)의 온도로 가열되는 동안 구부러진다. 우선, 장치는 예열 또는 세정 단계를 수행한다. 수소 또는 수소와 염화 수소산(hydrochloric acid)의 혼합물과 같은 세정 가스가 약 주위 압력, 약 1000℃와 약 1250℃ 사이의 온도, 및 분당 약 5리터와 약 100리터 사이의 플로우 레이트(flow rate)로 챔버(62) 내로 주입된다. 자연 산화 층을 웨이퍼(12)의 전면 및 후면으로부터 제거하고 반응 챔버(62) 내의 온도를 약 1000℃과 약 1250℃ 사이로 안정화시키기에 충분한 기간을 가진 후, 실리콘-포함 소스 가스, 예컨대 시레인 또는 클로리네이티드 시레인이 인입구(60)를 통해 웨이퍼(12)의 전면(14) 위로 분당 약 1리터와 약 50리터 사이의 플로우 레이트로 주입된다. 소스 가스 유동은 웨이퍼(12)의 전면(14)에 에피텍셜 실리콘 층을 약 0.1μm와 약 200μm 사이의 두께로 성장시키기에 충분한 기간 동안 계속된다. 소스 가스가 주입되는 것과 동시에, 퍼지 가스(purge gas), 예컨대 수소가 웨이퍼(12)의 후면(16) 아래로 인입구(72)를 통해 유동한다. 퍼지 가스 플로우 레이트는, 퍼지 가스가 반도체 웨이퍼(12)의 후면(16)과 접촉하고, 자연 산화막을 감소시키며, 초과 확산된 도펀트 원소들을 후면으로부터 배기 분출구(74)로 운반할 수 있도록 분당 약 5리터와 약 100리터 사이의 플로우 레이트로 선택된다.
도 5 및 6을 참조하면, 본 발명의 또 다른 실시예의 서셉터는 일반적으로 도면부호 110으로 표시된다. 서셉터가 이전 실시예의 서셉터와 유사하기 때문에, 100만큼 증분된 도면부호는 그에 대응하는 구성 요소를 나타낸다. 서셉터(110)는 일반적으로 도면부호 120으로 표시되고, 가상 중앙축(122)을 둘러싼 원 형태를 가지는 환형(annual) 몸체를 포함한다. 또한, 몸체(120)는 상부면(124) 및 하부면(126)을 포함한다. 일반적으로 도면부호 130으로 표시되는 제1 함몰부는 상부면(124)으로부터 몸체(120) 안쪽 하부 방향으로 연장된다. 제1 함몰부(130)는 대체로 원통형인 측벽(132) 및 측벽(132)의 하단으로부터 내부 방향으로 연장되는 인접면(134)을 포함한다. 인접면(134)은 또한 몸체(120)의 중앙축(122)을 향해 측벽(132)으로부터 하부 방향으로 기울어진다. 인접면(134)은 웨이퍼(12; 도 6)를 지지한다. 인접면(134)이 본 발명의 범위에서 벗어나지 않고 다양한 폭을 가질 수 있지만, 일 실시예에서 인접면은 약 6mm의 폭을 가진다. 측벽(132)은 본 발명의 범위에서 벗어나지 않고 다양한 높이를 가질 수 있지만, 일 실시예에서 측벽은 약 0.027인치의 높이를 가진다. 서셉터(110)는 또한 인접면(134) 안쪽으로 오목한 표면(180)을 포함한다. 표면(180)은 본 발명의 범위에서 벗어나지 않고 다양한 폭을 가질 수 있지만, 일 실시예에서 표면은 약 1.38인치의 폭을 가진다.
도 5에 도시된 바와 같이, 서셉터(110)는 또한 몸체(20)를 통해 연장되는 개구(182)를 포함한다. 일 실시예에서, 개구는 원형 구멍으로 몸체(20)를 완전히 통해 연장된다. 관련 기술의 당업자가 이해할 수 있는 바와 같이, 개구(182)는 웨이 퍼가 열 구배(thermal gradients)로 인해 하부 방향으로 구부려질 때 웨이퍼(12)의 후면(16; 도 6)이 웨이퍼의 중앙 부근에서 서셉터(110)와 접촉하는 것을 방지한다. 개구(182)는 본 발명의 범위에서 벗어나지 않고 다양한 직경을 가질 수 있지만, 일 실시예에서 개구는 약 8.66인치의 직경을 가진다. 일 실시예에 따르면, 개구(182)는 약 0.10인치와 약 0.11인치 사이의 높이를 가지는 측벽(184)에 의해 정의된다. 본 실시예의 서셉터(110)의 다양한 특징들이 상술한 서셉터와 동일하기 때문에, 이에 대해서는 추가 설명을 하지 않는다.
도 5 및 6에서 도시된 바와 같이, 세 개의 동일한 공간을 가진 구멍들(190)이 표면(180)에서 서셉터(110)를 통해 연장된다. 구멍들(190)은 통상의 리프트 핀들(미도시)을 수용하여 공정 동안 서셉터 위로 웨이퍼(12)를 상승시키고 서셉터 상으로 하강시킨다. 구멍들(190) 및 리프트 핀들은 통상의 것이기 때문에, 이들에 대한 보다 상세한 설명은 하지 않는다. 추가하여, 도 4와 연관해서 상술한 통상의 회전가능한 지지부들(66)의 상부단을 수용하기 위해, 세 개의 동일한 공간을 가지는 레이스-트랙(race-track) 형태의 개구들(192)이 하부면(126)으로부터 서셉터(110) 내로 연장된다. 공정이 진행되는 동안 지지부들(66)이 회전하기 때문에 개구들(192)은 지지부들(66)과 결합되어 서셉터(110)가 지지부들(66)에서 미끄러지는 것을 방지한다. 개구들(192)은 통상의 것이기 때문에, 보다 상세한 설명은 하지 않는다.
통상의 서셉터들은 천천히 가열되고 냉각된다. 예를 들어, 통상의 서셉터가 약 700℃에서 약 1150℃로 가열될 때 안정된 상태의 온도에 도달하는데 25초 정도 소요된다. 또한, 통상의 서셉터에 걸친 온도 구배는 가열되는 동안 50℃ 또는 그 이상을 초과할 수 있다. 반면, 본 명세서에 설명한 서셉터(110)는 보다 신속하게 가열되고 냉각된다. 예를 들어, 서셉터는 약 700℃에서 약 1150℃로 가열될 때 약 10초 내로 안정한 상태에 도달할 수 있고, 온도 구배는 가열하는 동안 20℃에 결코 도달하지 않을 수 있다.
도 7 및 8을 참조하면, 본 발명의 또 다른 실시예의 서셉터가 일반적으로 도면부호 210으로 표시된다. 서셉터가 도 5 및 6의 서셉터와 유사하기 때문에, 100만큼 증분된 도면부호는 그에 대응하는 구성 요소를 나타낸다. 서셉터(210)는 일반적으로 도면부호 220으로 표시되고, 가상 중앙축(222)을 둘러싼 원 형태를 가지는 환형 몸체를 포함한다. 또한, 몸체(220)는 상부면(224) 및 하부면(226)을 포함한다. 일반적으로 도면부호 230으로 표시되는 함몰부는 상부면(224)으로부터 몸체(220) 안쪽 하부 방향으로 연장된다. 함몰부(230)는 대체로 원통형인 측벽(232) 및 측벽(232)의 하단으로부터 내부 방향으로 연장되는 인접면(234)을 포함한다. 인접면(234)은 측벽(232)으로부터 몸체(220)의 중앙축(222)을 향해 하부 방향으로 기울어진다. 인접면(234)은 웨이퍼(12; 도 8)를 지지한다. 인접면(234)은 본 발명의 범위에서 벗어나지 않고 다양한 폭을 가질 수 있지만, 일 실시예에서 인접면은 약 6.4mm의 폭을 가진다. 측벽(232)은 본 발명의 범위에서 벗어나지 않고 다양한 높이를 가질 수 있지만, 일 실시예에서 측벽은 약 0.027인치의 높이를 가진다. 또한, 도 7에 도시된 바와 같이, 서셉터(210)는 또한 몸체(20)를 통해 연장되는 개구(282)를 포함한다. 일 실시예에서, 개구는 원형 구멍으로 몸체(20)를 통해 완전 하게 연장된다. 관련 기술의 당업자가 이해할 수 있는 바와 같이, 개구(282)는 웨이퍼가 열 구배로 인해 하부 방향으로 기울어질 때 웨이퍼(12)의 후면(16; 도 6)이 웨이퍼 중앙 부근에서 서셉터(110)와 접촉하는 것을 방지한다. 개구(282)는 본 발명의 범위에서 벗어나지 않고 다양한 직경을 가질 수 있지만, 일 실시예에서 개구는 약 5.774인치의 직경을 가진다. 일 실시예에 따르면, 개구(282)는 약 0.111인치와 약 0.115인치 사이의 높이를 가지는 측벽(284)에 의해 정의된다. 본 실시예의 서셉터(210)의 다양한 특징들이 상술한 서셉터(110)와 동일하기 때문에, 이에 대한 자세한 설명은 하지 않는다. 도 7 및 8에 도시된 바와 같이, 세 개의 동일한 공간을 가지는 레이스-트랙 형태의 개구들(292)이 도 4와 관련하여 상술한 통상의 회전가능한 지지부들(66)의 상부단을 수용하기 위해 하부면(226)으로부터 서셉터(210) 내로 연장된다. 공정이 진행되는 동안 지지부들(66)이 회전하기 때문에, 개구들(292)은 지지부들(66)과 결합하여 서셉터(210)가 지지부들(66) 상에서 미끌어지는 것을 방지한다. 개구들(292)은 통상의 것이기 때문에, 이에 대한 자세한 설명은 하지 않는다.
본 발명 또는 본 발명의 실시예의 다양한 태양의 요소를 소개할 때, 관사 "일", "본", "상기"는 하나 이상의 요소가 존재한다는 것을 의미하도록 의도된 것이다. 용어 "포함하는" 또는 "가지는"은 포괄적인 의미를 갖고, 언급된 요소 이외의 추가적인 요소가 존재할 수 있다는 것을 의미하도록 의도된 것이다. 또한, "상"과 "하", "전"과 "후", "위"과 "아래", 이들의 변형어 및 방향에 관한 다른 용어의 사용은 편의를 위해 이루어진 것이며, 컴포넌트가 임의의 특정 방향만을 가질 것을 필요로 하지 않는다.
본 발명의 범위에서 벗어나지 않고 본 구성, 방법 및 제품을 다양하게 변경할 수 있기 때문에, 지금까지의 설명에 포함되고 첨부된 도면에 도시된 모든 것들은 한정의 의미가 아닌 예시의 의미로 해석되어야 한다. 또한, 본 명세서에서의 치수에 관한 정보는 예시적이며 본 발명의 범위를 제한하지 않도록 의도된 것이다.

Claims (31)

  1. 내부 공간을 가지는 가열된 챔버에서 반도체 웨이퍼를 지지하기 위한 서셉터(susceptor)로서, 상기 웨이퍼는 전면, 상기 전면의 반대편에 있는 후면 및 상기 전면과 상기 후면 둘레로 연장되어 있는 원주방향 측면을 가지고, 상기 서셉터는 상기 챔버의 내부 공간 내로 수용되고 상기 반도체 웨이퍼를 지지하기 위한 크기 및 형태를 가지며, 상기 서셉터는
    상부면 및 상기 상부면의 반대편에 있는 하부면을 가지는 몸체(body);
    가상의 중앙축을 따라 상기 상부면으로부터 상기 몸체 안쪽 하부 방향으로 연장되고, 그 안으로 상기 반도체 웨이퍼를 수용하기 위한 크기 및 형태를 가지는 함몰부(recess);
    상기 함몰부로부터 상기 하부면으로 상기 몸체를 통해 연장되고, 각각 상기 함몰부에 대해 상기 웨이퍼를 선택적으로 상승시키고 하강시킬 수 있는 리프트 핀들(lift pins)을 위한 크기를 가지는 복수의 리프트 핀 개구; 및
    상기 중앙축을 따라 상기 함몰부로부터 상기 하부면으로 상기 몸체를 통해 연장되는 중앙 개구
    를 포함하는 서셉터.
  2. 제1항에 있어서,
    상기 함몰부는 상기 몸체의 상부면을 대체로 대면하는 인접면을 포함하는, 서셉터.
  3. 제2항에 있어서,
    상기 인접면은 바깥쪽 마진으로부터 안쪽 마진으로 하부 방향으로 기울어지는, 서셉터.
  4. 제3항에 있어서,
    상기 인접면은 오목한, 서셉터.
  5. 제1항에 있어서,
    상기 함몰부는 원형인, 서셉터.
  6. 제1항에 있어서,
    상기 개구는 원형인, 서셉터.
  7. 제1항에 있어서,
    상기 서셉터의 몸체의 하부면은 서셉터 지지부들을 수용하기 위한 크기와 위치를 가지는 복수의 개구를 포함하는, 서셉터.
  8. 제1항에 있어서,
    상기 함몰부는 약 0.027인치의 깊이를 가지는, 서셉터.
  9. 제1항에 있어서,
    상기 함몰부의 인접면은 약 6mm의 폭을 가지는, 서셉터.
  10. 내부 공간을 가지는 가열된 챔버에서 반도체 웨이퍼를 지지하기 위한 서셉터로서, 상기 웨이퍼는 전면, 상기 전면의 반대편에 있는 후면 및 상기 전면과 상기 후면 둘레로 연장되어 있는 원주방향 측면을 가지고, 상기 서셉터는 상기 챔버의 내부 공간 내로 수용되고 상기 반도체 웨이퍼를 지지하기 위한 크기 및 형태를 가지며, 상기 서셉터는
    상부면 및 상기 상부면의 반대편에 있는 하부면을 가지는 몸체;
    가상의 중앙축을 따라 상기 상부면으로부터 상기 몸체 안쪽 하부 방향으로 연장되고, 그 위로 상기 반도체 웨이퍼를 수용하기 위한 크기 및 형태를 가지는 웨이퍼-결합 인접면(wafer-engaging face) - 상기 웨이퍼-결합 인접면은 바깥쪽 마진으로부터 안쪽 마진으로 하부 방향으로 기울어짐 - 을 포함하는 함몰부; 및
    상기 중앙축을 따라 상기 함몰부로부터 상기 하부면으로 상기 몸체를 통해 연장되는 중앙 개구
    를 포함하는, 서셉터.
  11. 제10항에 있어서,
    상기 웨이퍼-결합 인접면은 오목한, 서셉터.
  12. 제10항에 있어서,
    상기 함몰부는 원형인, 서셉터.
  13. 제10항에 있어서,
    상기 개구는 원형인, 서셉터.
  14. 제13항에 있어서,
    상기 개구는 약 8.66인치의 직경을 가지는, 서셉터.
  15. 제10항에 있어서,
    상기 서셉터의 몸체는 상기 몸체를 통해 연장되고, 각각 상기 함몰부에 대해 상기 웨이퍼를 선택적으로 상승시키고 하강시킬 수 있는 리프트 핀들을 위한 크기를 가지는 복수의 리프트 핀 개구를 포함하는, 서셉터.
  16. 제10항에 있어서,
    상기 서셉터의 몸체의 하부면은 서셉터 지지부들을 수용하기 위한 크기와 위치를 가지는 복수의 개구를 포함하는, 서셉터.
  17. 제10항에 있어서,
    상기 함몰부는 약 0.027인치의 깊이를 가지는, 서셉터.
  18. 제10항에 있어서,
    상기 함몰부의 인접면은 약 6mm의 폭을 가지는, 서셉터.
  19. 제10항에 있어서,
    상기 서셉터는, 800℃의 초기 온도를 가질 때 약 1150℃의 온도를 가지는 챔버에 위치한 후 15초 이내로 안정적인 상태의 온도에 도달하는, 서셉터.
  20. 내부 공간을 가지는 가열된 챔버에서 반도체 웨이퍼를 지지하기 위한 서셉터로서, 상기 웨이퍼는 전면, 후면 및 원주방향 모서리를 가지고, 상기 서셉터는 상기 챔버의 내부 공간 내에서 상기 반도체 웨이퍼를 지지하기 위한 크기 및 형태를 가지고, 상기 서셉터는
    상부면;
    제1 함몰부로서, 상기 상부면으로부터 하부 방향으로 연장되고, 상기 반도체 웨이퍼를 수용하도록 구성되며, 대체로 환형인 제1 측벽 및 상기 제1 측벽으로부터 상기 함몰부의 중앙으로 연장되는 제1 렛지(ledge) - 상기 제1 렛지는 바깥쪽 둘레 및 안쪽 둘레를 가지고, 상기 웨이퍼를 지지하는 것을 용이하게 하기 위해 상기 바깥쪽 둘레로부터 상기 안쪽 둘레로 하부 방향의 기울기를 가짐 - 를 포함하는 제1 함몰부;
    상기 제1 함몰부로부터 하부 방향으로 연장되고, 대체로 환형인 제2 측벽 및 상기 제2 측벽으로부터 내부 방향으로 연장되는 제2 렛지를 포함하는 제2 함몰부; 및
    상기 제2 함몰부로부터 하부 방향으로 연장되고, 대체로 환형인 제3 측벽 및 상기 제3 측벽으로부터 내부 방향으로 연장되는 바닥을 포함하는 제3 함몰부
    를 포함하고,
    상기 제1, 제2 및 제3 함몰부들은 공통적인 중앙축을 가지는, 서셉터.
  21. 제20항에 있어서,
    상기 웨이퍼의 후면과 상기 제3 함몰부의 바닥 간의 거리는 약 0.005인치와 약 0.030인치 사이인, 서셉터.
  22. 제20항에 있어서,
    상기 웨이퍼의 후면과 상기 제3 함몰부의 바닥 간의 거리는 약 0.008인치와 약 0.030인치 사이인, 서셉터.
  23. 제20항에 있어서,
    상기 웨이퍼의 후면과 상기 제3 함몰부의 바닥 간의 거리는 약 0.010인치와 약 0.030인치 사이인, 서셉터.
  24. 제20항에 있어서,
    상기 함몰부들의 어떤 부분도 상기 서셉터를 통해 상기 서셉터의 하부면으로 연장되지 않는, 서셉터.
  25. 제20항에 있어서,
    상기 제2 함몰부의 렛지는 대체로 경사지거나 또는 오목한 형태를 가지고, 상기 대체로 환형인 제2 측벽의 하부와 상기 대체로 환형인 제3 측벽의 상부 간의 수직 거리는 약 0.010인치를 초과하지 않는, 서셉터.
  26. 제20항에 있어서,
    상기 웨이퍼는 상기 원주방향 모서리 또는 상기 모서리에 인접한 상기 후면의 영역이 상기 제1 렛지와 접촉하도록 상기 서셉터 상에 위치하는, 서셉터.
  27. 제20항에 있어서,
    상기 함몰부들은 대체로 원형인, 서셉터.
  28. 내부 공간을 가지는 챔버에서 반도체 웨이퍼를 지지하기 위한 서셉터로서, 상기 챔버는 공정 가스가 상기 챔버의 내부 공간 내로 유동하도록 유도하기 위한 가스 인입구 및 공정 가스가 상기 챔버의 내부 공간으로부터 배출되는 가스 분출구 를 가지고, 상기 웨이퍼는 전면, 후면 및 원주방향 모서리를 가지며, 상기 서셉터는 상기 챔버의 내부 공간 내에서 상기 반도체 웨이퍼를 지지하기 위한 크기 및 형태를 가지고, 상기 서셉터는
    상부면;
    제1 함몰부로서, 상기 상부면으로부터 하부 방향으로 연장되고, 상기 반도체 웨이퍼를 수용하도록 구성되며, 대체로 환형인 제1 측벽 및 상기 제1 측벽으로부터 상기 함몰부의 중심으로 연장되는 제1 렛지(ledge) - 상기 제1 렛지는 바깥쪽 둘레 및 안쪽 둘레를 가짐 - 를 포함하는 제1 함몰부;
    상기 제1 함몰부로부터 하부 방향으로 연장되고, 대체로 환형인 제2 측벽 및 상기 제2 측벽으로부터 내부 방향으로 연장되는 제2 렛지를 포함하는 제2 함몰부; 및
    상기 제2 함몰부로부터 하부 방향으로 연장되고, 대체로 환형인 제3 측벽 및 상기 제3 측벽으로부터 내부 방향으로 연장되는 바닥을 포함하는 제3 함몰부
    를 포함하고,
    가열하는 동안 상기 웨이퍼가 구부러지기 때문에 상기 웨이퍼의 모서리의 인접부를 제외한 상기 웨이퍼와 상기 서셉터 간의 접촉을 방지하기 위해 상기 웨이퍼의 후면과 상기 제3 함몰부의 바닥 간의 거리가 약 0.005인치와 약 0.030인치 사이인, 서셉터.
  29. 제28항에 있어서,
    상기 제1 및 제2 함몰부들의 표면적 대 상기 제3 함몰부의 바닥의 표면적의 비율은 슬립을 최소화하기 위해 적어도 약 13 대 1인, 서셉터.
  30. 제28항에 있어서,
    상기 제1 렛지는 상기 웨이퍼를 지지하는 것을 용이하게 하기 위해 상기 바깥쪽 둘레로부터 상기 안쪽 둘레로 하부 방향의 기울기를 가지는, 서셉터.
  31. 내부 공간을 가지는 챔버에서 반도체 웨이퍼를 지지하기 위한 서셉터로서, 상기 챔버는 공정 가스가 상기 챔버의 내부 공간 내로 유동하도록 유도하기 위한 가스 인입구 및 공정 가스가 상기 챔버의 내부 공간으로부터 배출되는 가스 분출구를 가지고, 상기 웨이퍼는 전면, 후면 및 원주방향 모서리를 가지며, 상기 서셉터는 상기 챔버의 내부 공간 내에서 상기 반도체 웨이퍼를 지지하기 위한 크기 및 형태를 가지고, 상기 서셉터는
    상부면;
    상기 상부면으로부터 하부 방향으로 연장되고, 상기 웨이퍼를 지지하기 위한 렛지를 포함하는 웨이퍼-수용 함몰부; 및
    상기 웨이퍼-수용 함몰부와 동일한 축을 가지고 상기 웨이퍼-수용 함몰부보다 상기 서셉터 내로 더 깊이 연장되는 중앙 함몰부
    를 포함하고,
    상기 웨이퍼-수용 함몰부의 표면적 대 상기 중앙 함몰부의 표면적의 비율이 슬립을 최소화하기 위해 적어도 약 13 대 1인, 서셉터.
KR1020097026567A 2007-06-19 2008-06-18 수율을 향상시키고 웨이퍼 손실을 감소시키기 위한 서셉터 KR20100029772A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US94491007P 2007-06-19 2007-06-19
US60/944,910 2007-06-19
US11/965,506 US20080314319A1 (en) 2007-06-19 2007-12-27 Susceptor for improving throughput and reducing wafer damage
US11/965,506 2007-12-27

Publications (1)

Publication Number Publication Date
KR20100029772A true KR20100029772A (ko) 2010-03-17

Family

ID=40135179

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097026567A KR20100029772A (ko) 2007-06-19 2008-06-18 수율을 향상시키고 웨이퍼 손실을 감소시키기 위한 서셉터

Country Status (8)

Country Link
US (1) US20080314319A1 (ko)
EP (1) EP2165358B1 (ko)
JP (2) JP2010530645A (ko)
KR (1) KR20100029772A (ko)
CN (1) CN101772836B (ko)
AT (1) ATE521084T1 (ko)
TW (1) TWI352400B (ko)
WO (1) WO2008157605A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170012359A (ko) * 2014-05-21 2017-02-02 어플라이드 머티어리얼스, 인코포레이티드 열 처리 서셉터

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009084154A1 (ja) * 2007-12-28 2009-07-09 Shin-Etsu Handotai Co., Ltd. エピタキシャル成長用サセプタ
JP5156446B2 (ja) * 2008-03-21 2013-03-06 株式会社Sumco 気相成長装置用サセプタ
JP2010016312A (ja) * 2008-07-07 2010-01-21 Sumco Corp エピタキシャルウェーハの製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
TW201205713A (en) * 2010-07-21 2012-02-01 Chi Mei Lighting Tech Corp Vapor deposition apparatus and susceptor
DE102010052689A1 (de) * 2010-11-26 2012-05-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Substrathalter für die Oberflächenbehandlung von Substraten und Verwendung des Substrathalters
CN102605341A (zh) * 2011-01-20 2012-07-25 奇力光电科技股份有限公司 气相沉积装置及承载盘
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI541928B (zh) * 2011-10-14 2016-07-11 晶元光電股份有限公司 晶圓載具
DE102011055061A1 (de) * 2011-11-04 2013-05-08 Aixtron Se CVD-Reaktor bzw. Substrathalter für einen CVD-Reaktor
CN102828238B (zh) * 2012-08-24 2015-11-04 东莞市中镓半导体科技有限公司 用于改良外延过程中衬底晶片表面温场的方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
EP2923376A4 (en) * 2012-11-21 2016-06-22 Ev Group Inc ADJUSTMENT DEVICE FOR ADJUSTING AND ASSEMBLING A WATER
CN103938186B (zh) * 2013-01-23 2016-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 托盘、mocvd反应腔和mocvd设备
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9799548B2 (en) * 2013-03-15 2017-10-24 Applied Materials, Inc. Susceptors for enhanced process uniformity and reduced substrate slippage
KR20160113724A (ko) * 2014-02-07 2016-09-30 어플라이드 머티어리얼스, 인코포레이티드 Dsa에서의 보우형 웨이퍼들에 대한 척킹 능력
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10269614B2 (en) * 2014-11-12 2019-04-23 Applied Materials, Inc. Susceptor design to reduce edge thermal peak
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10184193B2 (en) * 2015-05-18 2019-01-22 Globalwafers Co., Ltd. Epitaxy reactor and susceptor system for improved epitaxial wafer flatness
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20170032992A1 (en) * 2015-07-31 2017-02-02 Infineon Technologies Ag Substrate carrier, a method and a processing device
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105575800A (zh) * 2016-02-26 2016-05-11 上海华力微电子有限公司 一种晶圆托环及具有该晶圆托环的反应腔室
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10655224B2 (en) * 2016-12-20 2020-05-19 Lam Research Corporation Conical wafer centering and holding device for semiconductor processing
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11702748B2 (en) * 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN108962810A (zh) * 2017-05-24 2018-12-07 北京北方华创微电子装备有限公司 一种承载基座及预清洗装置
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
CN110172683A (zh) * 2019-06-27 2019-08-27 云谷(固安)科技有限公司 加热机构、等离子体腔室及在基片上成膜的方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7390142B2 (ja) 2019-09-20 2023-12-01 株式会社Screenホールディングス 基板処理装置および基板搬送方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7063493B2 (ja) 2020-09-14 2022-05-09 株式会社 天谷製作所 成膜用冶具及び気相成長装置
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220352006A1 (en) * 2021-04-30 2022-11-03 Asm Ip Holding B.V. Susceptors with film deposition control features
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114141691B (zh) * 2021-12-14 2022-06-17 北京北方华创微电子装备有限公司 半导体工艺设备
CN116499840B (zh) * 2023-06-28 2023-09-08 盛吉盛半导体科技(北京)有限公司 一种晶圆切片制样装置

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3806360A (en) * 1966-12-15 1974-04-23 Western Electric Co Methods for heating and/or coating articles
US3675619A (en) * 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
US4322592A (en) * 1980-08-22 1982-03-30 Rca Corporation Susceptor for heating semiconductor substrates
US5242501A (en) * 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
US4728389A (en) * 1985-05-20 1988-03-01 Applied Materials, Inc. Particulate-free epitaxial process
US5373806A (en) * 1985-05-20 1994-12-20 Applied Materials, Inc. Particulate-free epitaxial process
US4823736A (en) * 1985-07-22 1989-04-25 Air Products And Chemicals, Inc. Barrel structure for semiconductor epitaxial reactor
US5200157A (en) * 1986-02-17 1993-04-06 Toshiba Ceramics Co., Ltd. Susceptor for vapor-growth deposition
US5116181A (en) * 1989-05-19 1992-05-26 Applied Materials, Inc. Robotically loaded epitaxial deposition apparatus
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5192371A (en) * 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
US5298107A (en) * 1992-02-27 1994-03-29 Applied Materials, Inc. Processing method for growing thick films
US5288364A (en) * 1992-08-20 1994-02-22 Motorola, Inc. Silicon epitaxial reactor and control method
JP2785614B2 (ja) * 1992-09-28 1998-08-13 信越半導体株式会社 シリンダー型エピタキシャル層成長装置
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
DE4305749A1 (de) * 1993-02-25 1994-09-01 Leybold Ag Vorrichtung zum Halten von flachen, kreisscheibenförmigen Substraten in der Vakuumkammer einer Beschichtungs- oder Ätzanlage
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5439523A (en) * 1994-02-14 1995-08-08 Memc Electronic Materials, Inc. Device for suppressing particle splash onto a semiconductor wafer
JPH0897159A (ja) * 1994-09-29 1996-04-12 Handotai Process Kenkyusho:Kk エピタキシャル成長方法および成長装置
US5562947A (en) * 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US5518549A (en) * 1995-04-18 1996-05-21 Memc Electronic Materials, Inc. Susceptor and baffle therefor
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
JP3725598B2 (ja) * 1996-01-12 2005-12-14 東芝セラミックス株式会社 エピタキシャルウェハの製造方法
US5960555A (en) * 1996-07-24 1999-10-05 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
JP3596710B2 (ja) * 1996-09-10 2004-12-02 信越半導体株式会社 気相成長装置用サセプタ
JP3336897B2 (ja) * 1997-02-07 2002-10-21 三菱住友シリコン株式会社 気相成長装置用サセプター
US5968277A (en) * 1997-10-10 1999-10-19 Seh America, Inc. Susceptor apparatus for epitaxial deposition and method for reducing slip formation on semiconductor substrates
KR100660416B1 (ko) * 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 개량된 저질량 웨이퍼 지지 시스템
US6129048A (en) * 1998-06-30 2000-10-10 Memc Electronic Materials, Inc. Susceptor for barrel reactor
JP2000133187A (ja) 1998-10-22 2000-05-12 Hitachi Ltd 電子顕微鏡の試料ステージ
US6184154B1 (en) * 1999-10-13 2001-02-06 Seh America, Inc. Method of processing the backside of a wafer within an epitaxial reactor chamber
JP4592849B2 (ja) * 1999-10-29 2010-12-08 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
WO2001086035A1 (en) * 2000-05-08 2001-11-15 Memc Electronic Materials, Inc. Epitaxial silicon wafer free from autodoping and backside halo
US6444027B1 (en) * 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
US20030037723A9 (en) * 2000-11-17 2003-02-27 Memc Electronic Materials, Inc. High throughput epitaxial growth by chemical vapor deposition
KR100765539B1 (ko) * 2001-05-18 2007-10-10 엘지.필립스 엘시디 주식회사 화학기상 증착장비
NL1020351C2 (nl) * 2002-04-10 2003-10-13 Univ Delft Tech Inrichting voor opslag en weergave van beelddata.
JP4003527B2 (ja) * 2002-04-25 2007-11-07 信越半導体株式会社 サセプタおよび半導体ウェーハの製造方法
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
JP2004052098A (ja) * 2002-05-31 2004-02-19 Tokyo Electron Ltd 基板処理装置およびそれに用いるサセプタ
US7048316B1 (en) * 2002-07-12 2006-05-23 Novellus Systems, Inc. Compound angled pad end-effector
JP2004079676A (ja) * 2002-08-13 2004-03-11 Toshiba Ceramics Co Ltd ウェーハホルダ
JP4019998B2 (ja) * 2003-04-14 2007-12-12 信越半導体株式会社 サセプタ及び気相成長装置
DE10328842B4 (de) * 2003-06-26 2007-03-01 Siltronic Ag Suszeptor für eine chemische Gasphasenabscheidung, Verfahren zur Bearbeitung einer Halbleiterscheibe durch chemische Gasphasenabscheidung und nach dem Verfahren bearbeitete Halbleiterscheibe
US7285483B2 (en) * 2003-06-26 2007-10-23 Silitronic Ag Coated semiconductor wafer, and process and apparatus for producing the semiconductor wafer
JP4417669B2 (ja) * 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP2005183834A (ja) * 2003-12-22 2005-07-07 Toshiba Ceramics Co Ltd バレル型サセプタ
JP2005311291A (ja) * 2004-03-26 2005-11-04 Toshiba Ceramics Co Ltd 縦型ボート
JP4551106B2 (ja) * 2004-03-31 2010-09-22 東洋炭素株式会社 サセプタ
EP1749900B1 (en) * 2004-05-18 2014-09-03 SUMCO Corporation Susceptor for vapor deposition apparatus
DE102004058521A1 (de) * 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US7462246B2 (en) * 2005-04-15 2008-12-09 Memc Electronic Materials, Inc. Modified susceptor for barrel reactor
JP4841873B2 (ja) * 2005-06-23 2011-12-21 大日本スクリーン製造株式会社 熱処理用サセプタおよび熱処理装置
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170012359A (ko) * 2014-05-21 2017-02-02 어플라이드 머티어리얼스, 인코포레이티드 열 처리 서셉터

Also Published As

Publication number Publication date
ATE521084T1 (de) 2011-09-15
CN101772836B (zh) 2012-07-25
CN101772836A (zh) 2010-07-07
US20080314319A1 (en) 2008-12-25
WO2008157605A1 (en) 2008-12-24
TW200910513A (en) 2009-03-01
EP2165358B1 (en) 2011-08-17
TWI352400B (en) 2011-11-11
JP2010530645A (ja) 2010-09-09
EP2165358A1 (en) 2010-03-24
JP2013093582A (ja) 2013-05-16
WO2008157605A4 (en) 2009-02-26

Similar Documents

Publication Publication Date Title
KR20100029772A (ko) 수율을 향상시키고 웨이퍼 손실을 감소시키기 위한 서셉터
JP5189294B2 (ja) オートドーピングおよび裏面堆積を減少させるための基板支持システム
KR20100102185A (ko) 지지 보스를 구비한 서셉터
KR100893909B1 (ko) 기판 홀더의 제조 방법
EP1840243B1 (en) Susceptor for epitaxial growth and epitaxial growth method
JP4669476B2 (ja) 半導体製造時にウェハを支持するホルダ
JP5659493B2 (ja) 気相成長方法
US20050092439A1 (en) Low/high temperature substrate holder to reduce edge rolloff and backside damage
US20090127672A1 (en) Susceptor for epitaxial layer forming apparatus, epitaxial layer forming apparatus, epitaxial wafer, and method of manufacturing epitaxial wafer
US20050000449A1 (en) Susceptor for epitaxial growth and epitaxial growth method
JP6424726B2 (ja) サセプタ及びエピタキシャル成長装置
US20100107974A1 (en) Substrate holder with varying density
JP2004063779A (ja) エピタキシャルウェーハ製造装置及びサセプタ構造
JP2003532612A (ja) オートドーピングおよび後面ハローがないエピタキシャルシリコンウエハ
JP4599816B2 (ja) シリコンエピタキシャルウェーハの製造方法
JP2004119859A (ja) サセプタ、半導体ウェーハの製造装置及び製造方法
JP3672300B2 (ja) 薄膜成長装置用のリフトピン、その形成方法およびリフトピン頭部
US10184193B2 (en) Epitaxy reactor and susceptor system for improved epitaxial wafer flatness
JP5440589B2 (ja) 気相成長装置及びエピタキシャルウェーハの製造方法
JP2004063865A (ja) サセプタ、気相成長装置およびエピタキシャルウェーハの製造方法
KR102093838B1 (ko) 에피택셜 반응기
KR101259006B1 (ko) 웨이퍼 제조장치의 서셉터

Legal Events

Date Code Title Description
A201 Request for examination
E601 Decision to refuse application