KR20080031515A - 기상 성장 장치용 서셉터 - Google Patents

기상 성장 장치용 서셉터 Download PDF

Info

Publication number
KR20080031515A
KR20080031515A KR1020087006174A KR20087006174A KR20080031515A KR 20080031515 A KR20080031515 A KR 20080031515A KR 1020087006174 A KR1020087006174 A KR 1020087006174A KR 20087006174 A KR20087006174 A KR 20087006174A KR 20080031515 A KR20080031515 A KR 20080031515A
Authority
KR
South Korea
Prior art keywords
wafer
susceptor
fluid passage
pocket
back surface
Prior art date
Application number
KR1020087006174A
Other languages
English (en)
Inventor
다카시 후지카와
마사유키 이시바시
다카유키 도히
세이지 스기모토
Original Assignee
가부시키가이샤 섬코
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 섬코 filed Critical 가부시키가이샤 섬코
Publication of KR20080031515A publication Critical patent/KR20080031515A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/10Preliminary treatment of the selenium or tellurium, its application to the foundation plate, or the subsequent treatment of the combination
    • H01L21/105Treatment of the surface of the selenium or tellurium layer after having been made conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

기상 성장 시에 웨이퍼(W)를 수용하기 위한 웨이퍼 포켓(101)이 형성된 서셉터(10)에 있어서, 웨이퍼 포켓은, 웨이퍼의 외주 가장자리부(W1)가 얹혀지는 제1 포켓부(102)와, 해당 제1 포켓부보다 소직경이고 또한 하측에 형성된 제2 포켓부(103)를 적어도 가지고, 일단(105a)이 제2 포켓부의 세로 벽면(103a)으로 개구되는 동시에, 타단(105b)이 서셉터의 이면(104) 또는 측면(106)에 개구되는 유체 통로(105)가 형성되어 있다.

Description

기상 성장 장치용 서셉터{SUSCEPTOR FOR VAPOR DEPOSITION APPARATUS}
본 발명은, 반도체 디바이스에 제공되는 실리콘 웨이퍼(이하, 간단히 "웨이퍼"라고 칭한다)의 표면에 에피텍셜막을 성장시키기 위한 기상 성장 장치에 사용되는 서셉터에 관한 것으로서, 특히 오토 도프(auto dope)에 의한 에피택셜막 외주부의 도펀트 농도의 상승을 억제할 수 있는 기상 성장 장치용 서셉터에 관한 것이다.
웨이퍼 표면에 고품질 막질(膜質)을 갖는 에피택셜막을 성장시키는 기상 성장 장치로서, 낱장 공급형 기상 성장 장치가 많이 사용되고 있다.
이 낱장 공급형 기상 성장 장치는, 석영제의 통로상의 챔버 내에, 흑연의 모재에 탄화규소 SiC를 코팅한 원반상의 서셉터 상에 웨이퍼를 싣고, 챔버 외면에 배치한 히터로 웨이퍼를 가열하면서 챔버 내를 통과하는 각종 원료 가스와 반응시켜, 웨이퍼 표면에 에피택셜막을 성장시킨다.
이 웨이퍼를 수용하는 서셉터의 표면에는, 웨이퍼보다 한둘레 크고, 깊이가 1㎜ 정도인 웨이퍼 포켓이라고 불리는 오목부(웅덩이)가 형성되고, 이 웨이퍼 포켓에 웨이퍼를 실어, 소정 온도로 원료 가스류 중에 서셉터를 지지함으로써, 웨이퍼 표면에 실리콘 에피텍셜층의 성장을 행하게 한다.
그런데, 기상 성장 반응의 원료 가스로는, 모노실란가스나 수소를 희석한 클로로실란계 가스에 디보란(P형) 또는 호스핀이나 아르신(N형)의 도펀트 원료 가스를 첨가한 것이 사용되고, 웨이퍼 표면에서 열 CVD 반응에 의한 실리콘 에피택시(epitaxy)와 함께 부생성물로서 모노실란 가스의 경우는 H2, 클로로실란계 가스의 경우는 HCl이 생성된다. 이 때문에, 웨이퍼 표면에서는 실리콘 에피택시가 진행되지만, 웨이퍼 이면에서는 주로 가스 확산에 의해 돌아들어감으로써, Si-H계 분위기 또는 Si-H-Cl계 분위기가 형성되고, 미크로적으로 석출/에칭 반응이 발생한다.
예를 들면, 도펀트 농도 P++형(비저항 5mΩ·㎝)의 웨이퍼에 대해, P형(비저항 1Ω·㎝)막의 에피택셜 성장을 행하는 경우와 같이, 웨이퍼의 도펀트 농도보다 저농도의 에피택셜 성장을 행하는 경우에는, 에피택셜층 중의 도펀트 농도가 웨이퍼 외주부에서 상승하는 현상을 볼 수 있다.
이러한 종류의 현상은 오토 도프라고 불리는데, 그 원인은, 웨이퍼 이면의 Si-H계 분위기 또는 Si-H-C1계 분위기에서 웨이퍼 중의 도펀트종이 방출되고, 이 도펀트종이, 표면을 향하는 가스 확산에 의해 웨이퍼 표면에 돌아들어가, 국소적으로 기상 중의 도펀트 농도가 상승하는 것으로 생각된다. 이 결과, 에피택셜층의 도펀트 농도가 제어 불능으로 되는 영역이 발생하여, 양품율의 저하를 초래한다.
이러한 오토 도프에 의한 에피택셜층의 도펀트 농도의 편차를 방지하기 위해서, 본원 출원인은, 웨이퍼 포켓의 최외주부에 관통공부를 형성한 서셉터를 먼저 제안했다(특허문헌 1 참조).
그러나, 서셉터의 웨이퍼 포켓에 관통공을 형성하면, 서셉터의 아래쪽에 설치된 할로겐 램프 등의 히터로부터의 복사열이 관통공부를 통과하여 웨이퍼 이면에 조사되고, 이에 따라 관통공부에 대향하는 웨이퍼의 부분은 그렇지 않은 부분과의 온도차가 생겨, 그 결과 에피택셜층 및 웨이퍼 이면에 성장 편차가 생긴다는 문제가 있다.
[특허문헌 1 : 일본국 특허공개공보 평 10-223545호]
본 발명은, 오토 도프에 의한 도펀트 농도의 불균일을 방지하면서 에피택셜층 및 웨이퍼 이면의 성장 편차도 방지할 수 있는 기상 성장 장치용 서셉터를 제공하는 것을 목적으로 한다.
(1) 상기 목적을 달성하기 위한 본 발명에 관한 기상 성장 장치용 서셉터는, 기상 성장 시에 웨이퍼를 수용하기 위한 웨이퍼 포켓이 형성된 서셉터에 있어서, 기상 성장 시의 가열원으로부터의 복사열이 상기 웨이퍼의 이면에 직접 조사되지 않는 형상의 유체 통로가 상기 웨이퍼 포켓의 표면과 이면 또는 측면과의 사이에 형성되어 있는 것을 특징으로 한다.
본 발명에서는, 웨이퍼 포켓의 표면과 이면 또는 측면과의 사이에 유체 통로가 형성되어 있으므로, 웨이퍼 이면으로부터 방출된 도펀트종은 웨이퍼의 표면에 돌아들어가지 않고 유체 통로로부터 배출된다. 그 결과, 웨이퍼의 이면에 오토 도프 방지용 산화막을 형성하지 않고, 에피택셜층의 도펀트 농도 및 저항율의 균일화를 도모할 수 있다.
또한, 본 발명에 관한 유체 통로는, 기상 성장 시의 가열원으로부터의 복사열이 웨이퍼의 이면에 직접 조사되지 않는 형상으로 되어 있으므로, 웨이퍼 표면의 온도 편차가 억제되고, 그 결과 에피택셜층 및 웨이퍼 이면의 성장 편차를 억제할 수 있다.
(2) 본 발명에 관한 유체 통로 형상, 즉 기상 성장 시에 가열원으로부터의 복사열이 웨이퍼의 이면에 직접 조사되지 않는 형상으로서, 예를 들면 웨이퍼 포켓이, 웨이퍼의 외주 가장자리부가 재치되는 제1 포켓부와, 해당 제1 포켓부보다 작은 직경이고 또한 아래쪽에 형성된 제2 포켓부를 적어도 가지는 구조인 경우에, 해당 유체 통로를, 일단이 제2 포켓부의 세로 벽면에 개구하는 동시에, 타단이 서셉터의 이면 또는 측면에 개구하도록 구성할 수 있다.
웨이퍼 포켓을 다단 포켓 구조에 의해 구성한 경우에는, 포켓부에 필연적으로 세로 벽면이 형성되는데, 이 세로 벽면은 웨이퍼 이면에 대해 실질적으로 직각이 되므로, 가열원으로부터의 복사열이 직접 웨이퍼의 이면에 조사되는 것이 방지된다. 또한, 유체 통로의 타단은 서셉터의 이면에 개구시키거나, 혹은 서셉터의 측면에 개구시켜도 된다.
또한, 본 발명에 관한 제1 포켓부는, 웨이퍼의 외주 가장자리부가 얹혀지는 선반부와, 이 선반부에서 외측으로 연속하는 세로 벽면을 가지는 것이다. 또한, 본 발명에 관한 제2 포켓부는, 제1 포켓부보다 작은 직경이고 또한 서셉터의 아래쪽에 형성되고, 제1 포켓부의 선반부에 연속하는 세로 벽면과, 해당 세로 벽면에 연속하는 수평면(수평면 자체는 연속해 수평일 필요는 없다)를 갖는 것이다. 그리고, 본 발명에 관한 제2 포켓부는, 제1 포켓부 이외의 제N 포켓부, 즉 물리적으로 제2번째의 제2 포켓부 이외에도, 제3 포켓부, 제4 포켓부···를 포함하는 개념이다. 즉, 제1 포켓부보다 작은 직경이고 또한 서셉터의 아래쪽에 형성된 복수의 포켓부 전체가 포함된다.
또한, 본 발명에 관한 서셉터가, 웨이퍼의 외주 가장자리부가 얹혀지는 제1 포켓부를 갖는 제1 구조체와, 해당 제1 구조체와의 간극으로 구성되는 유체 통로를 통해 제1 구조체의 아래쪽에 설치된 제2 구조체를 적어도 갖는 구조인 경우에는, 해당 유체 통로를, 일단이 제1 포켓부의 아래쪽의 제2 세로 벽면에 개구하는 동시에, 타단이 서셉터의 이면 또는 측면에 개구하도록 구성할 수 있다.
즉, 본 발명에 관한 유체 통로는, 서셉터 구조체에 구멍을 뚫는 양태에만 한정되지 않고, 그 이외에도, 서셉터 자체를 복수의 구조체를 조합함으로써 구성하고, 이 때 2개의 구조체의 맞춤면에 간극을 형성하고, 이를 유체 통로로 하는 것도 가능하다. 이러한 구조를 채용하는 경우에도 가열원으로부터의 복사열이 직접 웨이퍼의 이면에 조사되는 것을 방지하기 위해, 제1 구조체와 제2 구조체 사이에 형성되는 간극, 즉 유체 통로의 일단은 제1 포켓부의 아래쪽에 위치하는 세로 벽면에 개구시킨다. 이에 따라, 이 세로 벽면은 웨이퍼 이면에 대해 실질적으로 직각이 되기 때문에, 가열원으로부터의 복사열이 직접 웨이퍼의 이면에 조사되는 것이 방지된다. 또한, 유체 통로의 타단은 서셉터의 이면에 개구시키거나, 혹은 서셉터의 측면에 개구시켜도 된다.
본 발명에 관한 상기 구성에 의해, 오토 도프에 의한 도펀트 농도의 불균일을 방지하면서 에피택셜층 및 웨이퍼 이면의 성장 편차도 방지할 수 있는 기상 성장 장치용 서셉터를 제공할 수 있다.
이하, 본 발명의 실시 형태를 도면에 의거해 설명한다.
도 1은 낱장 공급식 기상 성장 장치(1)를 도시한 모식적 단면도이고, 돔(dome) 부착체(5)에 상측 돔(3)과 하측 돔(4)을 부착하여 이루어진 에피택셜막 형성실(2)을 가진다. 이 상측 돔(3) 및 하측 돔(4)은 석영 등의 투명한 재료로 이루어지고, 장치(1)의 윗쪽 및 아래쪽에 복수 배치된 가열원인 할로겐 램프(6a, 6b)에 의해 서셉터(10) 및 웨이퍼(W)가 가열되게 된다.
서셉터(10)는, 회전축(7)에 연속해 있는 지지 아암(8)에 의해 그 하면의 외주부가 끼워져 지지되고, 회전축(7)의 구동에 의해 회전한다. 서셉터(10)의 재질은 특별히 한정되지 않지만, 예를 들면 탄소 기재(基材)의 표면에 SiC 피막을 코팅한 것이 바람직하게 채용되고, 그 형상에 대해서는 후술한다. 또한, 서셉터(10)로 웨이퍼(W)를 반입하거나, 서셉터(10)로부터 웨이퍼(W)를 반출하는 방식으로는 특별히 한정되지 않고, 베르뇌유 척(Verneuil Chuck)을 이용해 반송 지그의 승강에 의해 웨이퍼를 이송해 싣는 타입이나, 웨이퍼 하면을 핀으로 지지하여 해당 핀의 승강에 의해 이송해 싣는 타입 중 어느 것이나 적용할 수 있다.
돔 부착체(5)의 측면에는 제1 가스 공급구(11)와 제2 가스 공급구(12)가 형성되고, 이에 대향하는 돔 부착체(5)의 측면에는 제1 가스 배출구(13)와 제2 가스 배출구(14)가 형성된다. 제1 가스 공급구(11)로부터는 SiHCl3 등의 Si 소스를 수소 가스로 희석하고, 이에 도펀트를 미량 혼합하여 이루어진 반응 가스가 형성실(2) 내에 공급되고, 공급된 반응 가스는 웨이퍼(W)의 표면을 통과하여 에피택셜 막 성 장후, 제1 가스 배출구(13)에서 장치(1) 외로 배출된다.
또한, 제2 가스 공급구(12)로부터는 수소 가스 등의 캐리어 가스가 서셉터(10)의 하면측을 향해 공급되고, 이 캐리어 가스의 하류측에 설치된 제2 가스 배출구(14)에서 장치(1) 외로 배출된다. 이에 따라 웨이퍼 이면으로부터 방출된 도펀트를 보다 효과적으로 장치(1)외로 배출할 수 있다. 단, 본 발명에 있어서는 제2 가스 공급구(12)로부터 수소 가스 등의 캐리어 가스를 형성실(2) 내에 공급하는 것은 필수가 아니고, 따라서 제2 가스 공급구(12) 및 제2 가스 배출구(14)를 필요에 따라 생략하는 것도 가능하다. 또한, 제2 가스 공급구(12)를 형성하여 수소 가스 등의 캐리어 가스를 형성실(2) 내에 공급하는 경우에, 제2 가스 배출구(14)를 형성하지 않고 에피택셜 성장용의 반응 가스 등을 배출하는 제1 가스 배출구(13)를 겸용해도 된다.
다음에 본 실시 형태에 관한 서셉터(10)의 구성에 대해 설명한다.
도 2(a),(b)에 도시한 바와 같이, 본 예의 서셉터(10)의 상면에는, 웨이퍼(W)의 외경보다 한 둘레 정도 큰 직경의 오목부로 이루어진 웨이퍼 포켓(101)이 형성되어 있다. 이 웨이퍼 포켓(101)은, 웨이퍼(W)의 외주 가장자리부(W1)만에 면 접촉, 선 접촉 또는 점 접촉하여 웨이퍼(W)를 지지하는 제1 포켓부(102)와, 해당 제1 포켓부(102)보다 작은 직경이고, 또한 서셉터(10)의 아래쪽에 형성된 제2 포켓부(103)로 구성되고, 웨이퍼(W)는, 제1 포켓부(102)에 대해, 그 중앙부에서 웨이퍼 이면과 제2 포켓부(103)의 바닥면(103b)과의 사이에 공간이 형성되도록 실린다. 또한, 제1 포켓부(102)는 오목부의 세로벽면에 상당하는 제1 세로 벽면(102a)과, 웨이퍼(W)의 외주 가장자리부(W1)에 접촉하여 지지하는 선반부(102b)로 구성되고, 제2 포켓부(103)는 오목부의 세로 벽면에 상당하는 제2 세로 벽면(103a)과, 오목부의 수평면에 상당하는 바닥면(103b)으로 구성되어 있다.
이에 따라, 웨이퍼 이면측으로의 캐리어 가스의 돌아 들어감이 촉진되고, 웨이퍼 이면으로부터 방출되는 도펀트의 배출 효과가 커진다. 또한, 제1 포켓부의 선반부(102b)는, 도시한 바와 같이 외주측에서 내주측 아래쪽을 향해 경사지는 테이퍼 형상으로 하여 웨이퍼(W)의 외주 가장자리부(W1)를 선 접촉으로 지지하도록 해도 되고, 선반부(102b)의 표면에 요철을 설치해 웨이퍼(W)의 외주 가장자리부(W1)를 점 접촉으로 지지하도록 해도 된다.
특히 본 실시 형태의 서셉터(10)에는, 도 2(b)의 단면도에 도시한 바와같이 일단(105a)이 제2 포켓부의 제2 세로 벽면(103a)에 개구하는 동시에, 타단(105b)이 서셉터(10)의 이면(104)에 개구하는 유체 통로(105)가 형성되고, 이 유체 통로(105)는, 도 2(a)의 평면도에 도시한 바와 같이 서셉터(10)의 원주 방향으로 복수개 형성된 구멍으로 구성되어 있다. 본 예의 유체 통로(105)는, 기상 성장 시의 가열에 의해 웨이퍼 이면(W2)으로부터 확산된 도펀트 또는 기상 에칭에 의해 웨이퍼는 이면(W2)으로부터 방출된 도펀트를, 웨이퍼 표면(W3)측으로 돌아 들어가게 하지 않고 서셉터(10)의 하면으로부터 배출시키기 위한 것이다.
이에 추가해 본 예의 유체 통로(105)는, 장치(1)의 아래쪽에 설치된 할로겐 램프(6b)로부터의 복사열(H)이 해당 유체 통로(105)를 통해 웨이퍼 이면(W2)에 직접 조사되지 않는 형상으로 되어 있다. 이에 따라, 할로겐 램프(6b)로부터 조사된 복사열(H)이 유체 통로(105)를 통과해 웨이퍼 이면(W2)에 직접 조사되는 것이 방지되므로, 유체 통로(105)가 설치된 부분에 대향하는 웨이퍼(W)의 온도와 설치되지 않은 부분에 대향하는 웨이퍼(W)의 온도와의 사이에 온도차가 생기는 것이 방지되어, 에피택셜층 및 웨이퍼 이면의 성장 편차의 발생을 방지할 수 있다.
본 발명에 관한 유체 통로(105)는, 장치(1)의 아래쪽에 설치된 할로겐 램프(6b)로부터의 복사열(H)이 해당 유체 통로(105)를 통해 웨이퍼 이면(W2)에 직접 조사되지 않는 형상이 되면 구체적인 형상으로는 한정되지 않는다. 도 3(a)∼(h)에 대표적인 변형예를 도시한다. 동 도(a)에 도시한 유체 통로(105)는, 일단(105a)이 제2 포켓부의 제2 세로 벽면(103a)에 개구하는 동시에, 타단(105b)이 서셉터(10)의 측면(106)에 개구하도록 구성되어 있다. 이 예의 유체 통로(105)에 의하면, 도 2에 도시한 예에 비해서 할로겐 램프(6b)로부터의 복사열이 웨이퍼 이면(W2)에 직접 조사되는 것을 더욱 방지할 수 있다.
또한, 동 도면(b)에 도시한 유체 통로(105)는, 일단(105a)이 제2 포켓부의 제2 세로 벽면(103a)으로 개구하는 동시에, 타단(105b)이 서셉터(10)의 이면(104)으로서 제2 포켓부의 제2 세로 벽면(103a)보다 외측으로 개구하도록 구성되어 있는 점에서 도 2에 도시한 예와 공통되는데, 유체 통로(105)의 형상이 직선상이 아니라 굴절된 비직선상으로 형성되어 있다. 따라서, 할로겐 램프(6b)로부터의 복사열은 유체 통로(105)의 도중까지 들어가지만, 이 복사열은 유체 통로(105)의 굴절 부분에서 차폐되어 더 이상 웨이퍼 이면(W2) 방향으로 향하지 않게 된다.
동 도면(c)에 도시한 유체 통로(105)는, 일단(105a)이 제2 포켓부의 제2 세 로 벽면(103a)에 개구하는 동시에, 타단(105b)이 서셉터(10)의 이면(104)으로서 제2 포켓부의 제2 세로 벽면(103a)보다 외측으로 개구되도록 구성되고, 또한 유체 통로(105)의 도중에 굴절 부분을 갖는 점에서 동 도면(b)에 도시한 예와 공통하는데, 일단(105a)측의 유체 통로(105)의 내직경에 비해, 타단(105b)측의 유체 통로(105)의 내직경이 크게 형성되어 있다.
동 도면(d)에 도시한 예의 유체 통로(105)는, 일단(105a)이 제2 포켓부의 제2 세로 벽면(103a)에 개구하는 동시에, 타단(105b)이 서셉터(10)의 이면(104)으로서 제2 포켓부의 제2 세로 벽면(103a)보다 외측에 개구하는 점에서 동 도면(b)나 (c)에 도시한 예와 공통되는데, 유체 통로(105)가 직선상으로 형성되어 있는 점이 상이하다.
동 도면(e)에 도시한 예는, 유체 통로(105)의 내직경을 작게 하는 대신에, 일단(105a) 개구를 제2 세로 벽면(103a)의 상하에 배열되도록 유체 통로(105)를 상하로 나란히 형성한 것이다.
동 도면(f)에 도시한 예의 유체 통로(105)는, 일단(105a)이 제2 포켓부의 제2 세로 벽면(103a)에 개구하는 동시에, 타단(105b)이 서셉터(10)의 이면(104)으로서 제2 포켓부의 제2 세로 벽면(103a)보다 외측에 개구하는 점에서 동 도면(b)나 (c)에 도시한 예와 공통되고, 또한 유체 통로(105)가 직선상으로 형성되어 있는 점에서 동 도면(d)에 도시한 예와 공통되는데, 제2 포켓부(103)의 바닥면(103b)의 외주에 오목부(103c)가 형성되어 있는 점과, 제2 포켓부(103)의 바닥면(103b)은 상술한 동 도면 (a)∼(e)의 실시 형태에 비해 얕게 형성되어 있는 점이 상이하다. 그 리고, 유체 통로(105)의 일단(105a)은 이 오목부(103c)에 상당하는 제2 세로 벽면(103a)으로 개구되어 있다. 또한, 제2 포켓부(103)의 오목부(103c)는 외주의 전체 둘레에 걸쳐 연속적으로 형성해도 되고, 단속적으로 형성해도 된다. 본 예의 유체 통로(105)도, 장치(1)의 아래쪽에 설치된 할로겐 램프(6b)로부터의 복사열(H)이 해당 유체 통로(105)를 통해 웨이퍼 이면(W2)에 직접 조사되지 않는 형상으로 되어 있다.
이와 같이 제2 포켓부(103)의 바닥면(103b)을 얕게 형성하면, 서셉터(10)의 이면으로부터의 복사열이 웨이퍼(W)의 내주부에 전달되기 쉽게 되어, 웨이퍼의 외주부와의 온도차가 작아진다. 그 결과, 온도차에 의한 열 응력이 원인의 하나로 추찰되는 웨이퍼의 슬립 전이가 억제되게 된다.
동 도면(g)에 도시한 예의 유체 통로(105)는, 제2 포켓부(103)의 외주에 오목부(103c)가 형성되어 있는 점에서 동 도면(f)에 도시한 예와 공통되는데, 이 오목부(103c)는, 외측을 향해 아래쪽으로 기울어지는 경사면만으로 구성되어 있다. 그리고, 유체 통로(105)의 일단(105a)은 이 경사면으로 이루어진 오목부(103c)에 상당하는 제2 세로 벽면(103a)에 개구되어 있다. 또한, 제2 포켓부(103)의 오목부(103c)는 외주의 전체 둘레에 걸쳐 연속적으로 형성해도 되고, 단속적으로 형성해도 된다. 본 예의 유체 통로(105)도, 장치(1)의 아래쪽에 설치된 할로겐 램프(6b)로부터의 복사열(H)이 해당 유체 통로(105)를 통해 웨이퍼 이면(W2)에 직접 조사되지 않는 형상으로 되어 있다.
동 도면(h)에 도시한 예는, 제2 포켓부(103)의 외주에 오목부(103c)가 형성 되어 있는 점에서 동 도면(f)에 도시한 예와 공통되는데, 이 오목부(103c)는, 제2 포켓부(103)의 제2 세로 벽면(103a)에 추가해, 이에 대향하는 제3 세로 벽면(103d)을 갖는 점이 상이하다. 또한, 제2 포켓부(103)의 바닥면(103b)은 동 도면(f)나 동 도면(g)의 실시 형태와 동일하게 얕게 형성되어 있다. 그리고, 유체 통로(105)의 일단(105a)은 이 오목부(103c)의 제3 세로 벽면(103d)에 개구하고, 타단(105b)은 서셉터(10)의 이면(104)으로서 제2 포켓부의 제2 세로 벽면(103a)보다 내측에 개구하고, 유체 통로(105)는 직선상으로 형성되어 있다. 또한, 제2 포켓부(103)의 오목부(103c)는 외주의 전체 둘레에 걸쳐 연속적으로 형성해도 되고, 단속적으로 형성해도 된다. 본 예의 유체 통로(105)도, 장치(1)의 아래쪽에 설치된 할로겐 램프(6b)로부터의 복사열(H)이 해당 유체 통로(105)를 통해 웨이퍼 이면(W2)에 직접 조사되지 않는 형상으로 되어 있다.
본 발명에 관한 서셉터(10)는 다시 바꿀 수 있다. 도 4는 본 발명에 관한 서셉터의 또 다른 실시 형태를 도시한 반평면도 및 반단면도이고, 본 예에서는 서셉터(10) 자체를 2개의 구조체(10a, 10b)를 조합함으로써, 구성하고, 이 때 2개의 구조체(10a, 10b)의 맞춤면에 간극을 형성하고, 이를 유체 통로(105)로 한 예이다.
즉, 도 4(b)에 도시한 바와 같이 본 예의 서셉터(10)는, 제1 구조체(10a)를 제2 구조체(10b)에 실어 얹음으로써 구성되고, 이들 제1 및 제2 구조체(10a, 10b)의 맞춤면에 간극으로서의 유체 통로(105)가 형성되어 있다.
제1 구조체(10a)를 제2 구조체(10b)에 간극을 가지고 얹기 위해, 제2 구조체(10b)의 상면 외주부에는, 동 도면(a)에 점선으로 표시하는 바와 같이, 예를 들 면 120도 간격의 등배 위치에 3개의 돌기(107)가 형성되어 있다. 또한, 제1 구조체(10a)의 이면 외주부에는, 돌기(107)에 대응하는 정규 위치(제1 구조체(10a)와 제2 구조체(10b)의 위치 관계가 정규인 위치를 말한다)에 돌기(107)를 수용하는 오목부(108)가 형성되어 있다. 제1 구조체(10a)를 제2구조체(10b)에 지지하는 것만으로 오목부(108)를 형성하지 않아도 돌기(107)를 적어도 3개소에 설치함으로써 목적이 달성되는데, 본 예와 같이 돌기(107)에 대응하는 정규 위치에 오목부(108)를 설치함으로써 제1 구조체(10a)와 제2 구조체(10b)를 맞출 때의 위치 결정 기능도 담당하게 된다. 돌기(107)가 본 발명에 관한 지지 수단에 상당하고, 돌기(107) 및 오목부(108)가 본 발명에 관한 위치 결정 수단에 상당한다.
이와 같이 서셉터(10)를 2개의 구조체(10a, 10b)를 맞춤으로써 구성하면, 구조체(10a, 10b)의 맞춤면 전체 둘레가 유체 통로(105)가 되므로, 기상 성장 시에 웨이퍼 이면(W2)으로부터 방출된 도펀트를, 웨이퍼 표면(W3)에 돌아 들어가게 하지 않고, 이 전체 둘레에 형성된 유체 통로(105)로부터 더 효율적으로 배기할 수 있다. 또한, 유체 통로(105)가 되는 구멍을 형성하지 않고 제1 구조체(10a)와 제2 구조체(10b)를 단순히 맞추는 것만으로 간극으로 이루어진 유체 통로(105)가 형성되므로 가공상의 상황도 좋다.
도 4에 도시한 타입의 서셉터(10)는, 제1 구조체(10a)와 제2 구조체(10b)를 맞출 때에 그 맞춤면에 유체 통로(105)를 구성하는 간극을 형성하고, 또한 그 간극 유체 통로(105)가, 장치(1)의 아래쪽에 설치된 할로겐 램프(6b)로부터의 복사열이 해당 유체 통로(105)를 통해 웨이퍼 이면(W2)에 직접 조사되지 않는 형상으로 되면 구체적인 형상으로는 한정되지 않는다. 도 5(a)∼(c)에 변형예의 대표예를 도시한다.
도 5(a)에 도시한 서셉터(10)는, 제1 구조체(10a)와 제2 구조체(10b)와의 맞춤면에 형성되는 유체 통로(105)가 도 3(b)에 도시한 것과 같은 굴절 형상이 되도록 구성한 것이고, 제1 구조체(10a)의 이면의 등배 위치 3개소에 돌기(107)를 형성하고, 이 돌기(107)와 제2 구조체(10b)의 표면의 에지가 접함으로써 제1 구조체(10a)를 제2 구조체(10b)에 지지시킨 것이다.
또한, 도 5(b)에 도시한 서셉터(10)도, 유체 통로(105)의 형상이 동 도면(a)에 도시한 유체 통로(105)와 동일하게 굴절 형상이 되도록 구성한 것인데, 지지 수단으로서의 돌기(107)를 제2 구조체(10b)의 표면에 형성하는 한편, 위치 결정 수단으로서의 돌기(109)를 제2 구조체(10b)의 측면에 형성하고, 이 돌기(109)가 제1 구조체(10a)의 이면 측벽에 접촉함으로써 제1 구조체(10a)와 제2 구조체(10b)의 정규 위치를 결정하는 것이다.
또한, 도 5(c)에 도시한 서셉터(10)도, 유체 통로(105)의 형상이 동 도면(a)에 도시한 유체 통로(105)와 동일하게 굴절 형상이 되도록 구성한 것이고, 또한 지지 수단으로서의 돌기(107)를 제2 구조체(10b)의 표면에 형성한 것인데, 위치 결정 수단으로서의 돌기(109)를 제1 구조체(10a)의 이면 측벽에 형성하고, 이 돌기(109)가 제2 구조체(10b)의 측면에 접함으로써 제1 구조체(10a)와 제2 구조체(10b)의 정규 위치를 결정하는 것이다.
도 5(a)∼(c)에 도시한 어떠한 서셉터(10)에 있어서도, 도 4에 도시한 서셉 터(10)와 동일하게, 구조체(10a, 10b)의 맞춤면 전체 둘레가 유체 통로(105)가 되므로, 기상 성장 시에 웨이퍼 이면(W2)으로부터 방출된 도펀트를, 웨이퍼 표면(W3)에 돌아 들어가지 않게 하고, 이 전체 둘레에 형성된 유체 통로(105)로부터 더욱 효율적으로 배출할 수 있다. 또한, 유체 통로(105)가 되는 구멍을 형성하지 않고 제1 구조체(10a)와 제2 구조체(10b)를 단순히 맞추는 것만으로 간극으로 이루어진 유체 통로(105)가 형성되므로, 가공상의 상황도 좋다.
또한, 유체 통로(105)가, 할로겐 램프(6b)로부터 조사된 복사열이 해당 유체 통로(105)를 통과해 웨이퍼 이면(W2)에 직접 조사되지 않는 형상으로 되어 있으므로, 유체 통로(105)가 설치된 부분에 대향하는 웨이퍼(W)의 온도와 설치되지 않은 부분에 대향하는 웨이퍼(W)의 온도와의 사이에 온도차가 생기는 것이 방지되어, 에피택셜층의 성장 편차의 발생을 방지할 수 있다.
또한, 이상 설명한 실시 형태는, 본 발명의 이해를 용이하게 하기 위해 기재한 것으로, 본 발명을 한정하기 위해 기재된 것은 아니다. 따라서, 상기의 실시 형태에 개시된 각 요소는, 본 발명의 기술적 범위에 속하는 모든 설계 변경이나 균등물도 포함하는 취지이다.
예를 들면, 상술한 실시 형태에서는 낱장 공급식 기상 성장 장치(1)를, 예로 들어 본 발명의 서셉터를 설명했는데, 본 발명의 서셉터는 이에 한정되지 않고, 종래부터 실시되고 있는 복수 매의 웨이퍼를 한번에 처리하는 배치식 기상 성장 장치에 적용 가능한 것은 말할 필요도 없다.
[실시예]
이하, 본 발명의 실시예를 나타내고, 비교예와 대비함으로써, 본 발명의 효과를 명확하게 한다.
실시예 및 비교예의 통일 조건으로서, 직경 200㎜, 주표면의 면방위(100), 비저항 15mΩ·㎝의 P+형의 실리콘 단결정 웨이퍼를 이용해, 1150℃에서 20초간 수소 베이크 후, 실리콘 소스인 SiHC13 및 붕소 도펀트 소스인 B2H6를 수소 가스로 희석한 혼합 반응 가스를 기상 성장 장치내에 공급하여, 에피택셜 성장 온도 1125℃에서, 두께 약 6㎛, 비저항 약 10Ω·㎝의 P형의 에피택셜막을 웨이퍼 표면상에 성장시켰다.
실시예에서는, 도 1에 도시한 낱장 공급식 기상 성장 장치를 사용하고, 서셉터는 도 3(c)에 도시한 형상의 것을 이용했다. 구체적으로는 유체 통로를 구성하는 구멍(대직경 구멍의 폭 2㎜이고, 소직경 구멍의 직경 1㎜ø, 폭 2㎜인 슬릿상)을 4㎜ 피치 간격(슬릿 중심 간의 거리)으로 제2 세로 벽면 전역에 형성했다.
비교예에서는, 실시예와 동일하게, 도 1에 도시한 낱장 공급식 기상 성장 장치를 사용하고, 서셉터에는 유체 통로를 형성하지 않았다.
실시예 및 비교예에서 얻어진 각각의 에피택셜 실리콘 웨이퍼에 대해, 외주단에서 100㎜까지의 영역의 에피택셜막 중의 직경 방향의 도펀트 농도 분포를 SCP 장치(Surface Charge Profiler)를 이용해 측정하고, 이 측정 결과를 기초로 에피택셜막 직경 방향의 저항율 분포를 구했다. 그 결과를 도 6에 도시한다.
도 6에서 명백한 바와같이, 실시예에서는 목표로 하는 비저항 10Ω·㎝의 P 형의 에피택셜막이 면내 균일하게 얻어지는 것이 확인되었다. 이에 대해 비교예에서는, 저항율 분포가 외주부에서 크게 저하하는 것이 확인되었다.
도 1은 본 발명에 관한 서셉터가 적용되는 기상 성장 장치의 실시 형태를 도시한 모식적 단면도이다.
도 2는 본 발명에 관한 서셉터의 실시 형태를 도시한 반평면도 및 반단면도이다.
도 3은 본 발명에 관한 서셉터의 다른 실시 형태를 도시한 반단면도이다.
도 4는 본 발명에 관한 서셉터의 또 다른 실시 형태를 도시한 반평면도 및 반단면도이다.
도 5는 본 발명에 관한 서셉터의 또 다른 실시 형태를 도시한 반단면도이다.
도 6은 본 발명의 실시예와 비교예의 저항율 분포를 도시한 그래프이다.

Claims (2)

  1. 기상 성장 시에 반도체 웨이퍼를 수용하기 위한 웨이퍼 포켓이 형성된 서셉터에 있어서,
    상기 반도체 웨이퍼의 외주 가장자리부가 얹혀지는 제1 포켓부를 갖는 제1 구조체와, 해당 제1 구조체와의 간극으로 구성되는 유체 통로를 통해 제1 구조체의 하측에 설치된 제2 구조체를 적어도 가지고,
    상기 유체 통로의 일단이 상기 제1 포켓부의 하측의 세로 벽면에 개구되는 동시에, 타단이 서셉터의 이면 또는 측면에 개구되며,
    상기 제1 구조체와 제2 구조체의 정규 위치 관계를 결정하기 위한 위치 결정 수단을 가지는 것을 특징으로 하는 기상 성장 장치용 서셉터.
  2. 청구항 1에 있어서,
    상기 유체 통로 내의 상기 제1 구조체 또는 제2 구조체에, 상기 제1 구조체를 상기 제2 구조체에 지지하기 위한 지지 수단이 형성되어 있는 것을 특징으로 하는 기상 성장 장치용 서셉터.
KR1020087006174A 2004-05-18 2005-05-17 기상 성장 장치용 서셉터 KR20080031515A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2004-00147638 2004-05-18
JP2004147638 2004-05-18

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020067025104A Division KR100889437B1 (ko) 2004-05-18 2005-05-17 기상 성장 장치용 서셉터

Publications (1)

Publication Number Publication Date
KR20080031515A true KR20080031515A (ko) 2008-04-08

Family

ID=35394177

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020067025104A KR100889437B1 (ko) 2004-05-18 2005-05-17 기상 성장 장치용 서셉터
KR1020087006174A KR20080031515A (ko) 2004-05-18 2005-05-17 기상 성장 장치용 서셉터

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020067025104A KR100889437B1 (ko) 2004-05-18 2005-05-17 기상 성장 장치용 서셉터

Country Status (7)

Country Link
US (1) US20080110401A1 (ko)
EP (1) EP1749900B1 (ko)
JP (1) JPWO2005111266A1 (ko)
KR (2) KR100889437B1 (ko)
CN (1) CN100594261C (ko)
TW (1) TW200607883A (ko)
WO (1) WO2005111266A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180035263A (ko) * 2016-09-28 2018-04-06 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리
KR20190118077A (ko) * 2018-04-09 2019-10-17 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4379585B2 (ja) * 2003-12-17 2009-12-09 信越半導体株式会社 気相成長装置およびエピタキシャルウェーハの製造方法
JP2006124758A (ja) * 2004-10-27 2006-05-18 Komatsu Electronic Metals Co Ltd サセプタ、エピタキシャルウェーハの製造装置、およびエピタキシャルウェーハの製造方法
WO2007131547A1 (de) * 2006-05-15 2007-11-22 Aixtron Ag Halbleiterbehandlungsvorrichtung für ein cvd- oder rtp-verfahren
US8951351B2 (en) * 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
DE102006055038B4 (de) * 2006-11-22 2012-12-27 Siltronic Ag Epitaxierte Halbleiterscheibe sowie Vorrichtung und Verfahren zur Herstellung einer epitaxierten Halbleiterscheibe
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
JP5444607B2 (ja) * 2007-10-31 2014-03-19 株式会社Sumco エピタキシャル膜形成装置用のサセプタ、エピタキシャル膜形成装置、エピタキシャルウェーハの製造方法
US8404049B2 (en) * 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
US20090215202A1 (en) * 2008-02-26 2009-08-27 Siltronic Corporation Controlled edge resistivity in a silicon wafer
JP5156446B2 (ja) * 2008-03-21 2013-03-06 株式会社Sumco 気相成長装置用サセプタ
JP5092975B2 (ja) * 2008-07-31 2012-12-05 株式会社Sumco エピタキシャルウェーハの製造方法
JP5446760B2 (ja) * 2009-11-16 2014-03-19 株式会社Sumco エピタキシャル成長方法
JP5435039B2 (ja) * 2009-12-11 2014-03-05 株式会社Sumco Cvd用トレーおよびそれを用いた成膜方法
US8596623B2 (en) * 2009-12-18 2013-12-03 Lam Research Ag Device and process for liquid treatment of a wafer shaped article
KR101125738B1 (ko) * 2010-03-17 2012-03-27 주식회사 엘지실트론 서셉터 및 이를 사용하는 에피텍셜 반응기
JP5477314B2 (ja) * 2011-03-04 2014-04-23 信越半導体株式会社 サセプタ及びこれを用いたエピタキシャルウェーハの製造方法
US9905443B2 (en) * 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102903659B (zh) * 2011-07-25 2016-03-30 聚日(苏州)科技有限公司 一种半导体处理设备及其使用方法
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN102983093B (zh) * 2012-12-03 2016-04-20 安徽三安光电有限公司 一种用于led外延晶圆制程的石墨承载盘
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI609991B (zh) * 2013-06-05 2018-01-01 維克儀器公司 具有熱一致性改善特色的晶圓舟盒
DE102014109327A1 (de) * 2014-07-03 2016-01-07 Aixtron Se Beschichtetes flaches scheibenförmiges Bauteil in einem CVD-Reaktor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016154052A1 (en) * 2015-03-25 2016-09-29 Applied Materials, Inc. Chamber components for epitaxial growth apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6116629B2 (ja) * 2015-08-11 2017-04-19 株式会社ハーモテック 吸引装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7322365B2 (ja) * 2018-09-06 2023-08-08 株式会社レゾナック サセプタ及び化学気相成長装置
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN110429050B (zh) * 2019-08-05 2022-02-08 西安奕斯伟材料科技有限公司 一种外延生长基座
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7390142B2 (ja) * 2019-09-20 2023-12-01 株式会社Screenホールディングス 基板処理装置および基板搬送方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3336897B2 (ja) * 1997-02-07 2002-10-21 三菱住友シリコン株式会社 気相成長装置用サセプター
KR100660416B1 (ko) 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 개량된 저질량 웨이퍼 지지 시스템
JP2001127142A (ja) * 1999-10-27 2001-05-11 Hitachi Kokusai Electric Inc 半導体製造装置
CN100338734C (zh) * 2001-11-30 2007-09-19 信越半导体株式会社 基座、气相生长装置、外延晶片的制造装置、外延晶片的制造方法和外延晶片
JP3541838B2 (ja) * 2002-03-28 2004-07-14 信越半導体株式会社 サセプタ、エピタキシャルウェーハの製造装置および製造方法
JP2003197532A (ja) 2001-12-21 2003-07-11 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長方法及びエピタキシャル成長用サセプター
JP3972710B2 (ja) * 2002-03-28 2007-09-05 信越半導体株式会社 サセプタ、エピタキシャルウェーハの製造装置および製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180035263A (ko) * 2016-09-28 2018-04-06 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리
KR20190118077A (ko) * 2018-04-09 2019-10-17 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
JPWO2005111266A1 (ja) 2008-03-27
KR20070012520A (ko) 2007-01-25
US20080110401A1 (en) 2008-05-15
KR100889437B1 (ko) 2009-03-24
CN100594261C (zh) 2010-03-17
CN101023200A (zh) 2007-08-22
TWI306479B (ko) 2009-02-21
EP1749900A4 (en) 2009-10-28
WO2005111266A1 (ja) 2005-11-24
EP1749900B1 (en) 2014-09-03
TW200607883A (en) 2006-03-01
EP1749900A1 (en) 2007-02-07

Similar Documents

Publication Publication Date Title
KR100889437B1 (ko) 기상 성장 장치용 서셉터
US9518339B2 (en) Epitaxial growth method
KR100779970B1 (ko) 에피택셜 성장용 서셉터 및 에피택셜 성장방법
KR101294129B1 (ko) 가변 열 저항을 가진 웨이퍼 캐리어
US10975495B2 (en) Epitaxial growth apparatus, preheat ring, and method of manufacturing epitaxial wafer using these
US6129047A (en) Susceptor for vapor-phase growth apparatus
US7699934B2 (en) Epitaxial wafer production apparatus and susceptor structure
US8535445B2 (en) Enhanced wafer carrier
US8999063B2 (en) Susceptor, semiconductor manufacturing apparatus, and semiconductor manufacturing method
KR20090027146A (ko) 서셉터, 반도체 제조 장치 및 반도체 제조 방법
US20060180076A1 (en) Vapor deposition apparatus and vapor deposition method
TW201929050A (zh) 磊晶成長裝置及使用此裝置的半導體磊晶晶圓的製造方法
KR101105697B1 (ko) 반도체 제조 장치
CN111349908A (zh) SiC化学气相沉积装置
JP2011077476A (ja) エピタキシャル成長用サセプタ
JP4304720B2 (ja) サセプタ、気相成長装置、エピタキシャルウェーハの製造方法およびエピタキシャルウェーハ
WO2019049469A1 (ja) サセプタ、cvd装置及びエピタキシャルウェハの製造方法
JP2004172392A (ja) 半導体エピタキシャルウェーハの製造装置およびサセプタ並びにサセプタの支持装置
KR20120051968A (ko) 서셉터 및 이를 구비한 화학 기상 증착 장치
JP2019121613A (ja) サセプタ
JP2002198318A (ja) エピタキシャル成長方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid