CN100594261C - 气相生长装置用基座 - Google Patents

气相生长装置用基座 Download PDF

Info

Publication number
CN100594261C
CN100594261C CN200580023240A CN200580023240A CN100594261C CN 100594261 C CN100594261 C CN 100594261C CN 200580023240 A CN200580023240 A CN 200580023240A CN 200580023240 A CN200580023240 A CN 200580023240A CN 100594261 C CN100594261 C CN 100594261C
Authority
CN
China
Prior art keywords
recess
wafer
fluid passage
pedestal
aforementioned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200580023240A
Other languages
English (en)
Other versions
CN101023200A (zh
Inventor
藤川孝
石桥昌幸
土肥敬幸
杉本诚司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumco Corp
Original Assignee
Sumco Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumco Corp filed Critical Sumco Corp
Publication of CN101023200A publication Critical patent/CN101023200A/zh
Application granted granted Critical
Publication of CN100594261C publication Critical patent/CN100594261C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/10Preliminary treatment of the selenium or tellurium, its application to the foundation plate, or the subsequent treatment of the combination
    • H01L21/105Treatment of the surface of the selenium or tellurium layer after having been made conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本发明提供一种基座(10),设有用来在气相生长时收容晶片(W)的晶片凹部(101)。晶片凹部(101)至少具有晶片的外周部(W1)放置于其上的第1凹部(102)和在第1凹部之下形成的并具有小于第1凹部的直径的第2凹部(103)。基座(10)还设有流体通路(105),该流体通路的一端(105a)开口在第2凹部的纵壁面(103a)上,而且另一端(105b)开口在基座的背面(104)或侧面(106)上。

Description

气相生长装置用基座
技术领域
本发明涉及在供给半导体器件的硅晶片(以下,简称为晶片)的表面上使外延膜生长用的气相生长装置中所使用的基座,特别是涉及可以抑制自掺杂引起的外延膜外周部的掺杂物浓度的上升的气相生长装置用基座。
背景技术
作为在晶片表面上使具有高质量的膜质的外延膜生长的气相生长装置,往往使用逐张型气相生长装置。
这种逐张型气相生长装置,在石英制的通路状的腔室内,把晶片放置于在石墨母件上涂敷碳化硅SiC的圆盘状的基座上,一边由配置于腔室外面的加热器加热晶片一边使之与通过腔室内的各种原料气体反应,在晶片表面上生长外延膜。
在这种收容晶片的基座的表面上,比晶片大一圈地形成深度1mm左右的称为晶片凹部的凹部(洼部),把晶片放置于该晶片凹部中,通过在规定温度下把晶片保持于原料气体流中,进行向晶片表面的硅外延层的生长。
可是,作为气相生长反应的原料气体,可以使用在甲硅烷气体或氢气稀释的氯硅烷类气体中添加乙硼烷(P型)或者膦或氢化砷(N型)的掺杂物原料气体,在晶片表面处与硅酮外延一起作为热CVD反应引起的副生成物甲硅烷气体的场合生成H2,氯硅烷类气体的场合生成HCl。因此,虽然在晶片表面处进行硅酮外延,但是在晶片背面处主要通过气体扩散引起的蔓延,形成Si-H类气氛或Si-H-Cl类气氛,发生微量的析出/蚀刻反应。
例如,像对掺杂物浓度P++型(比电阻5mΩ·cm)的晶片,进行P型(比电阻1Ω·cm)膜的外延生长的场合那样,在进行浓度低于晶片的掺杂物浓度的外延生长的场合,可以看到外延层中的掺杂物浓度在晶片外周部处上升的现象。
这种现象虽然可以称为自掺杂,但是其原因可以认为是在晶片背面的Si-H类气氛或Si-H-Cl类气氛中放出晶片中的掺杂物核,该掺杂物核因向表面的气体扩散而向晶片表面蔓延,气相中的掺杂物浓度局部地上升。结果,发生外延层的掺杂物浓度成为不能控制的区域,招致良品率的降低。
为了防止这种自掺杂引起的外延层的掺杂物浓度的偏差,本申请申请人以前提出了在晶片凹部的最外周部形成贯通孔部的基座(参照专利文献1)。
但是,如果在基座的晶片凹部形成贯通孔,则来自设在基座的下侧的卤素灯等加热器的辐射热通过贯通孔部照射到晶片背面,致使对着贯通孔部的晶片的部分与不是这样的部分处产生温度差,结果存在着在外延层和晶片背面产生生长不匀这样的问题。
专利文献1:特开平10-223545号公报
发明内容
本发明目的在于提供一种既防止自掺杂引起的掺杂物浓度的不均一又可以防止外延层和晶片背面的生长不匀的气相生长装置用基座。
(1)用来实现上述目的的根据本发明的气相生长装置用基座形成有用来在气相生长之际收容晶片的晶片凹部,其中,在前述晶片凹部的表面与背面或侧面之间形成有流体通路,该流体通路具有来自气相生长之际的加热源的辐射热不直接照射在前述晶片的背面上的形状。
在本发明中,由于在晶片凹部的表面与背面或侧面之间形成流体通路,所以从晶片背面所释放的掺杂物核不向晶片的表面蔓延而从流体通路被排出。结果,在晶片的背面上不形成自掺杂防止用氧化膜,可以谋求外延层的掺杂物浓度和电阻率的均一化。
此外,根据本发明的流体通路,由于取为来自气相生长之际的加热源的辐射热不直接照射于晶片的背面的形状,所以晶片表面的温度不匀受到抑制,结果可以抑制外延层和晶片背面的生长不匀。
(2)作为根据本发明的流体通路的形状,也就是在气相生长之际来自加热源的辐射热不直接照射于晶片背面上的形状,在例如晶片凹部至少具有放置晶片的外周缘部的第1凹部,与比该第1凹部直径小且在下侧形成的第2凹部的结构的场合,可以把该流体通路构成为一端开口于第2凹部的纵壁面上,并且另一端开口于基座的背面或侧面上。
虽然在由多级凹部结构构成晶片凹部的场合,在凹部必然形成纵壁面,但是由于该纵壁面对晶片背面实质上成直角,所以可以防止来自加热源的辐射热直接照射于晶片的背面。再者,流体通路的另一端可以开口于基座的背面,也可以开口于基座的侧面。
再者,所谓根据本发明的第1凹部是指具有放置晶片的外周缘部的搁板部,和从该搁板部连接到外侧的纵壁面的凹部。此外,所谓根据本发明的第2凹部是指比第1凹部直径小且在基座的下侧形成,具有连接于第1凹部的搁板部的纵壁面,和连接于该纵壁面的水平面(水平面本身没有必要连续水平)的凹部。而且,根据本发明的第2凹部是除了第1凹部以外的第N凹部,也就是物理的第2号的第2凹部以外,还包括第3凹部、第4凹部......在内的概念。也就是说,包括比第1凹部直径小且在基座的下侧所形成的多个凹部的全部。
此外,根据本发明的基座构成为,在至少包括具有放置晶片的外周缘部的第1凹部的第1结构体,和经由与该第1结构体的间隙所构成的流体通路设在第1结构体的下侧的第2结构体的结构的场合,可以把该流体通路构成为一端开口于第1凹部的下侧的第2纵壁面,并且另一端开口于基座的背面或侧面。
也就是说,根据本发明的流体通路并不仅限定于在基座结构体上穿孔的形态,除此以外,也可以通过组合多个结构体来构成基座本身,此时在两个结构体的接合面上形成间隙,以此作为流体通路。在采用这种结构的场合也是为了防止来自加热源的辐射热直接照射于晶片的背面,在第1结构体与第2结构体之间形成的间隙,也就是流体通路的一端开口于位于第1凹部的下侧的纵壁面。借此,由于该纵壁面对晶片背面实质上成直角,所以来自加热源的辐射热直接照射于晶片的背面的情况被防止。再者,流体通路的另一端开口于基座的背面上,或者开口于基座的侧面上。
附图说明
图1是表示根据本发明的基座所适用的气相生长装置的实施方式的示意剖视图。
图2是表示根据本发明的基座的实施方式的半俯视图和半剖视图。
图3是表示根据本发明的基座的另一个实施方式的半剖视图。
图4是表示根据本发明的基座的又一个实施方式的半俯视图和半剖视图。
图5是表示根据本发明的基座的又一个实施方式的半剖视图。
图6是表示本发明的实施例与比较例的电阻率分布的曲线图。
具体实施方式
下面,基于附图说明本发明的实施方式。
图1是表示逐张式气相生长装置1的示意剖视图,具有在穹顶安装体5上安装上侧穹顶3与下侧穹顶4而成的外延膜形成室2。该上侧穹顶3和下侧穹顶4由石英等透明的材料制成,由在装置1的上方和下方配置多个的作为加热源的卤素灯6a、6b来加热基座10和晶片W。
基座10下表面的外周部由连接于旋转轴7的支撑臂8配合支撑,通过旋转轴7的驱动而旋转。虽然基座10的材质未特别限定,但是最好是采用例如在碳质基件的表面上包敷SiC包膜的材质,关于其形状后文述及。再者,作为向基座10送入晶片W,或从基座10送出晶片W的方式未特别限定,可以适用采用伯努里吸盘、通过输送夹具的升降而移放晶片的方式,或由销子支撑晶片下表面、通过该销子的升降而移放的方式中的任何一种。
在穹顶安装体5的侧面设置第1气体供给口11与第2气体供给口12,在对着这些的穹顶安装体5的侧面上设置第1气体排出口13和第2气体排出口14。从第1气体供给口11由氢气稀释SiHCl等Si源,在其中微量混合掺杂物而成的反应气体供给到形成室2内,所供给的反应气体通过晶片W的表面外延膜生长后,由第1气体排出口13排出到装置1外。
再者,从第2气体供给口12将氢气等载体气体向基座10的下表面侧供给,由设在该载体气体的下游侧的第2气体排出口14排出到装置1外。借此,可以更有效地把从晶片背面所释放的掺杂物向装置1外排出。但是,在本发明中从第2气体供给口12将氢气等载体气体供给到形成室2内不是必须的,因而第2气体供给口12和第2气体排出口14也可以根据需要而省略。此外,在设置第2气体供给口12而把氢气等载体气体供给到形成室2内的场合,也可以不设第2气体排出口14而兼用排出外延生长用的反应气体等的第1气体排出口13。
接下来就根据本实施方式的基座10的构成进行说明。
如图2(A)(B)中所示,在本例的基座10的上表面上,形成由直径比晶片W的外径大一圈左右的凹部组成的晶片凹部101。该晶片凹部101由仅晶片W的外周缘部W1面接触、线接触或点接触地支撑晶片W的第1凹部102,和比该第1凹部102直径小且在基座10的下侧所形成的第2凹部103构成,晶片W相对于第1凹部102配置成在其中央部处晶片背面与第2凹部103的底面103b之间形成空间。再者,第1凹部102由相当于凹部的纵壁面的第1纵壁面102a,与接触于晶片W的外周缘部W1而支撑的搁板部102b构成,第2凹部103由相当于凹部的纵壁面的第2纵壁面103a与相当于凹部的水平面的底面103b构成。
借此,促进载体气体向晶片背面侧的蔓延,从晶片背面所释放的掺杂物的排出效果增大。再者,第1凹部的搁板部102b如图所示可以弄成从外周侧向内周侧下方倾斜的锥形而以线接触支撑晶片W的外周缘部W1,也可以在搁板部102b的表面上设置凹凸而以点接触支撑晶片W的外周缘部W1。
特别是在本实施方式的基座10中,如图2(B)的剖视图中所示形成一端105a开口于第2凹部的第2纵壁面103a、并且另一端105b开口于基座10的背面104的流体通路105,该流体通路105如图2(A)的俯视图中所示由在基座10的圆周方向上形成多个的孔构成。本例的流体通路105是用来从基座10的下表面排出气相生长时的因加热而从晶片背面W2扩散的掺杂物或因气相蚀刻而从晶片背面W2所释放的掺杂物而不蔓延到晶片表面W3侧。
除此以外本例的流体通路105制成来自设在装置1的下方的卤素灯6b的辐射热H不经由该流体通路105直接照射于晶片背面W2的形状。由于借此,可以防止从卤素灯6b所照射的辐射热H通过流体通路105直接照射于晶片背面W2,所以可以防止在对着流体通路105所设置的部分的晶片W的温度与对着未设置的部分的晶片W的温度之间产生温度差,可以防止外廷层和晶片背面的生长不匀的发生。
根据本发明的流体通路105,只要取为来自设在装置1的下方的卤素灯6b的辐射热H不经由该流体通路105直接照射于晶片背面W2的形状,则在具体的形状上没有限定。图3(A)~(H)中示出典型的变形例。该图(A)中所示的流体通路105构成为一端105a开口于第2凹部的第2纵壁面103a,并且另一端105b开口于基座10的侧面106。如果用此例的流体通路105,则比起图2中所示的例子来可以进一步防止来自卤素灯6b的辐射热直接照射于晶片背面W2。
此外,该图(B)中所示的流体通路105虽然构成为一端105a开口于第2凹部的第2纵壁面,并且另一端105b开口于基座10的背面104处第2凹部的第2纵壁面103a外侧,所述这一点上与图2中所示的例子是共同的,但是流体通路105的形状不是直线状而形成为曲折的非直线状。因而,来自卤素灯6b的辐射热虽然进入到流体通路105的中途,但是该辐射热被流体通路105的曲折部分所遮挡不再朝向晶片背面W2方向。
该图(C)中所示的流体通路105虽然在构成为一端105a开口于第2凹部的第2纵壁面103a,并且另一端105b开口于基座10的背面中第2凹部的第2纵壁面103a外侧,进而在流体通路105的中途具有曲折部分这一点上与该图(B)中所示的例子是共同的,但是比起一端105a侧的流体通路105的内径来,另一端105b侧的流体通路105的内径形成得大。
该图(D)中所示的例子的流体通路105虽然构成为一端105a开口于第2凹部的第2纵壁面103a,并且另一端105b开口于基座10的背面104处第2凹部的第2纵壁面103a外侧,所述这一点上与该图(B)或(C)中所示的例子是共同的,但是在流体通路105形成为直线状方面是不同的。
该图(E)中所示的例子,是代替减小流体通路105的内径,而把一端105a开口并列在第2纵壁面103a的上下,从而上下并列地形成流体通路105。
该图(F)中所示的例子的流体通路105虽然在一端105a开口于第2凹部的第2纵壁面103a,并且另一端105b开口于基座10的背面104处第2凹部的第2纵壁面103a外侧这一点上与该图(B)或(C)中所示的例子共同,此外流体通路105形成为直线状这一点上与该图(D)中所示的例子共同,但是在第2凹部103的底面103b的外周上形成凹部103c这一点上,与第2凹部103的底面103b比起上述该图(A)~(E)的实施方式来形成得浅这一点上是不同的。而且,流体通路105的一端105a开口于相当于凹部103c的第2纵壁面103a。再者,第2凹部103的凹部103c可以跨越外周的全周连续地形成,也可以断续地形成。本例的流体通路105也是制成来自设在装置1的下方的卤素灯6b的辐射热H不经由该流体通路105直接照射于晶片背面W2的形状。
如果像这样把第2凹部103的底面103b形成得浅,则来自基座10的背面的辐射热变得容易传递到晶片W的内周部,与晶片的外周部的温度差减小。结果,温度差引起的热应力据推测为原因之一的晶片的滑动移动受到抑制。
该图(G)中所示的例子的流体通路105虽然在第2凹部103的外周上形成凹部103c这一点上与该图(F)中所示的例子是共同的,但是该凹部103c仅由向外侧下侧倾斜的倾斜面构成。而且,流体通路105的一端105a开口于相当于由该倾斜面组成的凹部103c的第2纵壁面103a。再者,第2凹部103的凹部103c可以跨越外周的全周连续地形成,也可以断续地形成。本例的流体通路105也是取为来自设在装置1的下方的卤素灯6b的辐射热H不经由该流体通路105直接照射于晶片背面W2的形状。
该图(H)中所示的例子虽然在第2凹部103的外周上形成凹部103c这一点上与该图(F)中所示的例子是共同的,但是除了该凹部103的第2纵壁面103a外,还具有与之相对的第3纵壁面103d这一点上是不同的。此外,第2凹部103的底面103b与该图(F)或该图(G)的实施方式同样地形成得浅。而且,流体通路105的一端105a开口于凹部103的第3纵壁面103d,另一端105b开口于基座10的背面且第2凹部的第2纵壁面103a的内侧,流体通路105直线状地形成。再者,第2凹部103的凹部103c可以跨越外周的全周连续地形成,也可以断续地形成。本例的流体通路105也是取为来自设在装置1的下方的卤素灯6b的辐射热H不经由该流体通路105直接照射于晶片背面W2的形状。
根据本发明的基座10可以进一步改变。图4是表示根据本发明的基座的又一个实施方式的半俯视图和半剖视图,在本例中是通过把两个结构体10a、10b组合构成基座10本身,此时在两个结构体10a、10b的接合面上形成间隙,把它作为流体通路105的例子。
也就是说,如图4(B)中所示本例的基座10通过把第1结构体10a放置于第2结构体10b来构成,在这些第1结构体和第2结构体10a、10b的接合面上形成作为间隙的流体通路105。
为了把第1结构体10a具有间隙地放置于第2结构体10b,在第2结构体10b的上表面外周部上,如该图(A)中虚线所示例如间隔120°均布位置上形成三个突起107。此外,在第1结构体10a的背面外周部上,在对应于突起107的正规位置(指第1结构体10a与第2结构体10b的位置关系正规的位置)上形成收容突起107的凹部108。虽然只要靠把第1结构体10a支撑于第2结构体10b即使不设凹部108通过在至少三处设突起107也可以实现目的,但是通过像本例这样在对应于突起107的正规位置上设置凹部108也可发挥第1结构体10a与第2结构体10b的接合之际的定位功能。突起107相当于根据本发明的支撑机构,突起107和凹部108相当于根据本发明的定位机构。
如果像这样通过把两个结构体10a、10b合起来构成基座10,则由于结构体10a、10b的接合面全周成为流体通路105,所以在气相生长之际从晶片背面W2所释放的掺杂物可以不蔓延到晶片表面W3,而从在该全周上所形成的流体通路105更有效地排出。此外,由于不形成成为流体通路105的孔而靠单单把第1结构体10a与第2结构体10b合起来形成由间隙组成的流体通路105所以加工上也方便。
图4中所示的类型的基座10,在把第1结构体10a与第2结构体10b合起来之际在其接合面上形成构成流体通路105的间隙,进而作为该间隙的流体通路105只要取为来自设在装置1的下方的卤素灯6b的辐射热不经由该流体通路105直接照射于晶片背面W2的形状,则在具体的形状上没有限定。图5(A)~(C)中示出变形例的典型例。
图5(A)中所示的基座10构成为在第1结构体10a与第2结构体10b的接合面上所形成的流体通路成为图3(B)中所示那种曲折形状,在第1结构体10a的背面的均布位置三处设置突起107,通过该突起107与第2结构体10b的表面的棱边接触而把第1结构体10a支撑于第2结构体10b。
此外,图5(B)中所示的基座10也是,虽然是流体通路105的形状与该图(A)中所示的流体通路105同样地构成为曲折形状,但是在第2结构体10b的表面上形成作为支撑机构的突起107,另一方面在第2结构体10b的侧面上形成作为定位机构的突起109,该突起109通过接触于第1结构体10a的背面侧壁而确定第1结构体10a与第2结构体10b的正规位置。
进而图5(C)中所示的基座10也是,虽然是流体通路105的形状与该图(A)中所示的流体通路105同样地构成为曲折形状,此外是在第2结构体10b的表面上形成作为支撑机构的突起107的构成,但是在第1结构体10a的背面侧壁上形成作为定位机构的突起109,通过该突起109接触于第2结构体10b的侧面确定第1结构体10a与第2结构体10b的正规位置。
在图5(A)~(C)中任一所示的某个机构10中也是,与图4中所示的基座10同样,由于结构体10a、10b的接合面全周成为流体通路105,所以在气相生长之际从晶片背面W2所释放的掺杂物可以不蔓延到晶片表面W3,而从在该全周上所形成的流体通路105更有效地排出。此外,由于不形成成为流体通路105的孔而靠单单把第1结构体10a与第2结构体10b合起来形成由间隙组成的流体通路105所以加工上也方便。
进而,由于流体通路105制成从卤素灯6b所照射的辐射热不会通过该流体通路105直接照射于晶片背面W2上的形状,所以可以防止在对着流体通路105所设置的部分的晶片W的温度与对着未设置的部分的晶片W的温度之间产生温度差,可以防止外延层的生长不匀的发生。
再者,上述说明的实施方式,是为了使本发明的理解容易而记载的,不是为了限定本发明而记载的。因而,上述实施方式中所公开的各要素包括属于本发明的技术范围的所有的设计变更或等效物。
例如,虽然在上述实施方式中举逐张式气相生长装置1为例来说明本发明的基座,但是本发明不限于此,当然能够运用于历来实施的一次处理多张晶片的成批式气相生长装置。
实施例
下面,示出本发明的实施例,通过与比较例进行对比,说明本发明的效果。
作为实施例和比较例的统一条件,用直径200mm,主表面的面方位(100),比电阻15mΩ·cm的P+型的单晶硅晶片,在1500℃、20秒的氢焙烤后,把由氢气稀释作为硅源的SiHCl3和作为硼掺杂物源的B2H6的混合反应气体供给到气相生长装置内,在外延生长温度1125℃下,在晶片表面上生长厚度大约6μm,比电阻大约10mΩ·cm的P型的外延膜。
在实施例中,使用图1中所示的逐张式气相生长装置,基座用图3(C)中所示的形状。具体地说以4mm间距间隔(窄缝中心间的距离)在第2纵壁面整个区域上形成构成流体通路的孔(大直径孔的宽度为2mm,小直径孔的直径为1mmφ宽度2mm的窄缝状)。
在比较例中,与实施例同样,使用图1中所示的逐张式气相生长装置,在基座上不形成流体通路。
针对实施例中和比较例中所得到的各自的外延硅晶片,用SCP(表面电荷轮廓)装置测定从外周端到100mm的区域的外延膜中的径向的掺杂物浓度,基于该测定结果求出外延膜中的径向的电阻率分布。其结果示于图6。
从图6可以看出,确认到在实施例中成为目标的比电阻10mΩ·cm的P型的外延膜可以均一地得到。与此相对照在比较例中,确认到电阻率分布在外周部大为降低。

Claims (4)

1.一种气相生长装置用基座,形成有用来在气相生长之际收容半导体晶片的晶片凹部,其特征在于,
前述晶片凹部至少具有放置前述半导体晶片的外周缘部的第1凹部,与比该第1凹部直径小且在下侧所形成的第2凹部,
且形成有一端开口于前述第2凹部的纵壁面上、并且另一端开口于基座的背面上的流体通路,
在前述第2凹部的底面外周上形成有凹部,
前述第2凹部的底面以位于前述流体通路的前述一端上侧的方式形成得浅。
2.权利要求1所述的气相生长装置用基座,其特征在于,前述流体通路的前述基座的背面侧的开口形成于前述第2凹部的纵壁面的外侧。
3.权利要求1或2所述的气相生长装置用基座,其特征在于,前述流体通路直线状地形成。
4.权利要求1或2所述的气相生长装置用基座,其特征在于,前述流体通路形成有多个,该流体通路的一端沿着前述第2凹部的纵壁面的圆周方向实质上均等地开口。
CN200580023240A 2004-05-18 2005-05-17 气相生长装置用基座 Active CN100594261C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004147638 2004-05-18
JP147638/2004 2004-05-18
PCT/JP2005/008979 WO2005111266A1 (ja) 2004-05-18 2005-05-17 気相成長装置用サセプタ

Publications (2)

Publication Number Publication Date
CN101023200A CN101023200A (zh) 2007-08-22
CN100594261C true CN100594261C (zh) 2010-03-17

Family

ID=35394177

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200580023240A Active CN100594261C (zh) 2004-05-18 2005-05-17 气相生长装置用基座

Country Status (7)

Country Link
US (1) US20080110401A1 (zh)
EP (1) EP1749900B1 (zh)
JP (1) JPWO2005111266A1 (zh)
KR (2) KR20080031515A (zh)
CN (1) CN100594261C (zh)
TW (1) TW200607883A (zh)
WO (1) WO2005111266A1 (zh)

Families Citing this family (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4379585B2 (ja) * 2003-12-17 2009-12-09 信越半導体株式会社 気相成長装置およびエピタキシャルウェーハの製造方法
JP2006124758A (ja) * 2004-10-27 2006-05-18 Komatsu Electronic Metals Co Ltd サセプタ、エピタキシャルウェーハの製造装置、およびエピタキシャルウェーハの製造方法
WO2007131547A1 (de) * 2006-05-15 2007-11-22 Aixtron Ag Halbleiterbehandlungsvorrichtung für ein cvd- oder rtp-verfahren
US8951351B2 (en) * 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
DE102006055038B4 (de) * 2006-11-22 2012-12-27 Siltronic Ag Epitaxierte Halbleiterscheibe sowie Vorrichtung und Verfahren zur Herstellung einer epitaxierten Halbleiterscheibe
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
JP5444607B2 (ja) * 2007-10-31 2014-03-19 株式会社Sumco エピタキシャル膜形成装置用のサセプタ、エピタキシャル膜形成装置、エピタキシャルウェーハの製造方法
US8404049B2 (en) * 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
US20090215202A1 (en) * 2008-02-26 2009-08-27 Siltronic Corporation Controlled edge resistivity in a silicon wafer
JP5156446B2 (ja) * 2008-03-21 2013-03-06 株式会社Sumco 気相成長装置用サセプタ
JP5092975B2 (ja) * 2008-07-31 2012-12-05 株式会社Sumco エピタキシャルウェーハの製造方法
JP5446760B2 (ja) * 2009-11-16 2014-03-19 株式会社Sumco エピタキシャル成長方法
DE112010004736B4 (de) 2009-12-11 2022-04-21 Sumco Corporation Aufnahmefür cvd und verfahren zur herstellung eines films unterverwendung derselben
US8596623B2 (en) * 2009-12-18 2013-12-03 Lam Research Ag Device and process for liquid treatment of a wafer shaped article
KR101125738B1 (ko) * 2010-03-17 2012-03-27 주식회사 엘지실트론 서셉터 및 이를 사용하는 에피텍셜 반응기
JP5477314B2 (ja) * 2011-03-04 2014-04-23 信越半導体株式会社 サセプタ及びこれを用いたエピタキシャルウェーハの製造方法
US9905443B2 (en) * 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102903659B (zh) * 2011-07-25 2016-03-30 聚日(苏州)科技有限公司 一种半导体处理设备及其使用方法
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN102983093B (zh) * 2012-12-03 2016-04-20 安徽三安光电有限公司 一种用于led外延晶圆制程的石墨承载盘
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI609991B (zh) * 2013-06-05 2018-01-01 維克儀器公司 具有熱一致性改善特色的晶圓舟盒
DE102014109327A1 (de) * 2014-07-03 2016-01-07 Aixtron Se Beschichtetes flaches scheibenförmiges Bauteil in einem CVD-Reaktor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016154052A1 (en) * 2015-03-25 2016-09-29 Applied Materials, Inc. Chamber components for epitaxial growth apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6116629B2 (ja) * 2015-08-11 2017-04-19 株式会社ハーモテック 吸引装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102641441B1 (ko) * 2016-09-28 2024-02-29 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102600229B1 (ko) * 2018-04-09 2023-11-10 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7322365B2 (ja) * 2018-09-06 2023-08-08 株式会社レゾナック サセプタ及び化学気相成長装置
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN112144113A (zh) * 2019-06-28 2020-12-29 聚灿光电科技股份有限公司 石墨载盘及具有其的mocvd反应装置
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN110429050B (zh) * 2019-08-05 2022-02-08 西安奕斯伟材料科技有限公司 一种外延生长基座
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7390142B2 (ja) * 2019-09-20 2023-12-01 株式会社Screenホールディングス 基板処理装置および基板搬送方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3336897B2 (ja) * 1997-02-07 2002-10-21 三菱住友シリコン株式会社 気相成長装置用サセプター
JP2001522142A (ja) * 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド 改良された低質量ウェハ支持システム
JP2001127142A (ja) * 1999-10-27 2001-05-11 Hitachi Kokusai Electric Inc 半導体製造装置
JP3541838B2 (ja) * 2002-03-28 2004-07-14 信越半導体株式会社 サセプタ、エピタキシャルウェーハの製造装置および製造方法
US7270708B2 (en) * 2001-11-30 2007-09-18 Shin-Etsu Handotai Co., Ltd. Susceptor, vapor phase growth apparatus, epitaxial wafer manufacturing apparatus, epitaxial wafer manufacturing method, and epitaxial wafer
JP2003197532A (ja) 2001-12-21 2003-07-11 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長方法及びエピタキシャル成長用サセプター
JP3972710B2 (ja) * 2002-03-28 2007-09-05 信越半導体株式会社 サセプタ、エピタキシャルウェーハの製造装置および製造方法

Also Published As

Publication number Publication date
EP1749900A4 (en) 2009-10-28
TWI306479B (zh) 2009-02-21
JPWO2005111266A1 (ja) 2008-03-27
KR100889437B1 (ko) 2009-03-24
WO2005111266A1 (ja) 2005-11-24
EP1749900B1 (en) 2014-09-03
KR20070012520A (ko) 2007-01-25
KR20080031515A (ko) 2008-04-08
US20080110401A1 (en) 2008-05-15
CN101023200A (zh) 2007-08-22
EP1749900A1 (en) 2007-02-07
TW200607883A (en) 2006-03-01

Similar Documents

Publication Publication Date Title
CN100594261C (zh) 气相生长装置用基座
JP5444607B2 (ja) エピタキシャル膜形成装置用のサセプタ、エピタキシャル膜形成装置、エピタキシャルウェーハの製造方法
JP3336897B2 (ja) 気相成長装置用サセプター
US6217662B1 (en) Susceptor designs for silicon carbide thin films
KR20080081823A (ko) 복사 가열을 이용한 마이크로배치 증착 챔버
US6090212A (en) Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
CN106256929A (zh) 用于化学气相沉积的自定心晶片载体系统
KR101322217B1 (ko) 기상 성장 장치
WO1998042897A9 (en) Susceptor designs for silicon carbide thin films
EP0519608A1 (en) Substrate holder of thermally anisotropic material used for enhancing uniformity of grown epitaxial layers
JP2005056984A (ja) 気相成長装置及び気相成長方法
US10184193B2 (en) Epitaxy reactor and susceptor system for improved epitaxial wafer flatness
US4290385A (en) Vertical type vapor-phase growth apparatus
CN117026378A (zh) SiC外延晶片及SiC器件
JP2001199791A (ja) 熱処理装置および熱処理装置用リフト部材
KR20110087440A (ko) 반도체 제조용 서셉터 및 이를 포함하는 반도체 제조 장치
JP7296914B2 (ja) サテライトおよび炭化珪素半導体装置の製造方法
KR101259006B1 (ko) 웨이퍼 제조장치의 서셉터
JP2002261023A (ja) エピタキシャルウェーハの製造方法
JP2024050958A (ja) SiCデバイス及びSiCデバイスの製造方法
CN110878429A (zh) 基座和化学气相生长装置
JP2002198318A (ja) エピタキシャル成長方法
JPH05243161A (ja) 気相成長装置及びエピタキシャル膜の成長方法
KR20110090284A (ko) 반도체 제조용 서셉터
KR20120108092A (ko) 배럴 서셉터

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant