JP2008227435A - アニール装置 - Google Patents

アニール装置 Download PDF

Info

Publication number
JP2008227435A
JP2008227435A JP2007081609A JP2007081609A JP2008227435A JP 2008227435 A JP2008227435 A JP 2008227435A JP 2007081609 A JP2007081609 A JP 2007081609A JP 2007081609 A JP2007081609 A JP 2007081609A JP 2008227435 A JP2008227435 A JP 2008227435A
Authority
JP
Japan
Prior art keywords
light emitting
light
cooling
annealing apparatus
emitting element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007081609A
Other languages
English (en)
Other versions
JP5138253B2 (ja
Inventor
Shigeru Kasai
河西  繁
Hiroyuki Miyashita
大幸 宮下
Masatake Yoneda
昌剛 米田
Tomohiro Suzuki
智博 鈴木
Kiyoshi Tanaka
澄 田中
Masamichi Nomura
正道 野村
Yoshikazu Shimizu
美和 清水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2007081609A priority Critical patent/JP5138253B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to CN2007800094187A priority patent/CN101405842B/zh
Priority to PCT/JP2007/067053 priority patent/WO2008029742A1/ja
Priority to US12/440,034 priority patent/US8246900B2/en
Priority to KR1020097004654A priority patent/KR101059314B1/ko
Priority to KR1020117003539A priority patent/KR20110022740A/ko
Priority to TW096133143A priority patent/TWI389170B/zh
Publication of JP2008227435A publication Critical patent/JP2008227435A/ja
Priority to US13/551,133 priority patent/US20120279944A1/en
Application granted granted Critical
Publication of JP5138253B2 publication Critical patent/JP5138253B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1864Annealing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Led Device Packages (AREA)
  • Arrangement Of Elements, Cooling, Sealing, Or The Like Of Lighting Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Led Devices (AREA)

Abstract

【課題】熱の影響による発光量の低下に起因する光エネルギー効率が低いという問題が生じずに安定した性能を維持することができるアニール装置を提供すること。
【解決手段】ウエハWが収容される処理室1と、ウエハWの面に面するように設けられ、ウエハWに対して光を照射する複数のLED33を有する加熱源17a,17bと、加熱源17a,17bに対応して設けられ、発光素子33からの光を透過する光透過部材18a,18bと、光透過部材18a,18bの処理室1と反対側を支持し、加熱源17a,17bに直接接触するように設けられた高熱伝導性材料からなる冷却部材4a,4bと、冷却部材4a,4bを冷却媒体で冷却する冷却機構とを有する。
【選択図】図1

Description

本発明は、半導体ウエハ等に対してLED等の発光素子からの光を照射することによりアニールを行うアニール装置に関する。
半導体デバイスの製造においては、被処理基板である半導体ウエハ(以下単にウエハと記す)に対して、成膜処理、酸化拡散処理、改質処理、アニール処理等の各種熱処理が存在するが、半導体デバイスの高速化、高集積化の要求にともない、特にイオンインプランテーション後のアニールは、拡散を最小限に抑えるために、より高速での昇降温が指向されている。このような高速昇降温が可能なアニール装置としてLED(発光ダイオード)を加熱源として用いたものが提案されている(例えば特許文献1)。
ところで、上記アニール装置の加熱源としてLEDを用いる場合には、急速加熱に対応して多大な光エネルギーを発生させる必要があり、そのためにLEDを高密度実装する必要がある。
しかしながら、LEDは熱により発光量が低下することが知られており、LEDを高密度実装することにより、LED自体の発熱(投入エネルギーのうち、光として取り出せなかったもの)等の影響が大きくなるとLEDから十分な発光量を得られなくなる。しかし、LEDを有効に冷却して安定した性能を発揮するものが未だ得られていない。
また、この種のアニール装置は、多数のLEDを使用するため、給電機構が複雑になる傾向にあり、より簡易な給電機構が望まれている。
特表2005−536045号公報
本発明はかかる事情に鑑みてなされたものであって、加熱源としてLED等の発光素子を用いたアニール装置において、熱の影響による発光量の低下に起因する光エネルギー効率が低いという問題が生じずに安定した性能を維持することができるアニール装置を提供することを目的とする。また、発光素子に対して簡易に給電することができるアニール装置を提供することを目的とする。
上記課題を解決するため、本発明の第1の観点では、被処理体が収容される処理室と、被処理体の少なくとも一方の面に面するように設けられ、被処理体に対して光を照射する複数の発光素子を有する加熱源と、前記加熱源に対応して設けられ、前記発光素子からの光を透過する光透過部材と、前記光透過部材の前記処理室と反対側を支持し、前記加熱源に直接接触するように設けられた高熱伝導性材料からなる冷却部材と、前記冷却部材を冷却媒体で冷却する冷却機構と、前記処理室内を排気する排気機構と、前記処理室内に処理ガスを供給する処理ガス供給機構とを具備することを特徴とするアニール装置を提供する。
上記第1の観点において、前記加熱源は、裏面側の全面が前記冷却部材と接触するように設けられた高熱伝導性絶縁材料からなる支持体と、前記支持体に全面が接触するように設けられた複数の電極と、前記各電極に全面が接触するように設けられた複数の発光素子とを有する発光素子アレイを複数備えている構成とすることができる。この場合に、前記冷却部材は銅製であり、前記支持体はAlN製とすることができる。
また、前記冷却部材と前記光透過部材との間に空間を有し、前記空間に前記加熱源が設けられた構成をとることができる。前記空間には透明樹脂を充填することができる。前記透明樹脂は、前記冷却部材側の発光素子を含む部分に相対的に硬い樹脂を設け、前記光透過部材側に相対的に柔らかい樹脂を設けてなるものとすることができる。
また、前記空間には不活性ガスを充填することができる。さらに、前記空間を真空引きする真空引き機構と、前記空間に不活性ガスを供給する不活性ガス供給機構とをさらに有する構成とすることができる。
また、前記発光素子アレイを有する構成において、前記冷却部材と前記光透過部材との間に空間を有し、前記空間に前記加熱源が設けられ、前記空間には、前記発光素子と前記光透過部材との間の屈折率を有する液体が充填されており、前記支持体は前記冷却部材に熱伝導層を介してねじ止めされている構成とすることができる。この場合に、前記支持体は、その外枠が前記冷却部材に接するように設けられ、その内側が反射面となっているとともに、液体通流孔が設けられていることが好ましい。
さらに、上記第1の観点において、前記加熱源は、表面に前記複数の発光素子を支持する高熱伝導性絶縁材料からなる支持体と、前記支持体の裏面側に半田付けまたはろう接合された高熱伝導性材料からなる熱拡散部材と、前記支持体に支持された複数の発光素子を覆うように設けられた透明樹脂からなる樹脂層と、前記熱拡散部材および前記支持体を貫通して設けられた、前記発光素子に給電するための給電電極と、がユニット化されて構成された発光素子アレイを複数備え、前記発光素子アレイは、高熱伝導性ペーストを介して前記冷却部材にねじ止めされている構成とすることができる。この場合に、前記冷却部材および前記熱拡散部材を銅製とし、前記支持体をAlN製とすることができる。
さらにまた、前記発光素子アレイの前記樹脂層と、前記光透過部材との間に空間を有し、その空間を真空引きする真空引き機構を備えるようにすることができる。前記真空引き機構は、前記空間に繋がる排気路と、前記排気路に設けられたバッファ空間と、前記排気路および前記バッファ空間を介して前記空間を真空引きするポンプとを有する構成とすることができる。
また、前記冷却部材は、前記各発光素子アレイを取り付ける複数の取り付け部を有し、前記取り付け部は、前記発光素子アレイを囲繞するとともに前記光透過部材に接するように設けられたスペーサ機能を有する枠部材を有する構成とすることができる。
さらに、前記冷却部材を介して前記給電電極に接続され、電源から給電電極に給電する給電部材をさらに有する構成とすることができる。
本発明の第2の観点では、被処理体が収容される処理室と、被処理体の少なくとも一方の面に面するように設けられ、被処理体に対して光を照射する複数の発光素子を有する加熱源と、前記加熱源に対応して設けられ、前記発光素子からの光を透過する光透過部材と、前記加熱源を支持する加熱源支持部材と、前記加熱源支持部材の裏面側から前記加熱源支持部材を通って前記発光素子に給電する給電機構と、前記処理室内を排気する排気機構と、前記処理室内に処理ガスを供給する処理ガス供給機構とを具備することを特徴とするアニール装置を提供する。
上記第2の観点において、前記加熱源は、前記加熱源支持部材に設けられた支持体と、前記支持体上に形成された複数の電極と、前記各電極上に形成された複数の発光素子と、前記発光素子に給電する給電電極とを有する発光素子アレイを複数備え、前記給電機構は、前記発光素子アレイの給電電極に接続され前記加熱源支持部材の中を延びる複数の電極棒と、各電極棒に給電する複数の給電部材とを有する構成とすることができる。また、前記電極棒と前記給電部材との間はスプリングピンでコンタクトされる構成とすることができる。
前記発光素子アレイに設けられた複数の発光素子は、複数の給電領域に分割されて配置され、前記給電電極は各給電領域に対応して複数設けられ、複数の給電電極は、直線状に配列されている構成とすることができる。この場合に、前記給電電極は、複数の負極と共通の正極とを有する構成とすることができる。また、前記給電領域における複数の発光素子は、シリアルに接続されたシリアル接続組が複数パラレルに設けられた構成とすることができる。
上記第1および第2の観点において、前記発光素子としては、発光ダイオードを用いることができる。
本発明の第1の観点によれば、加熱源に直接接触するように高熱伝導性材料からなる冷却部材を設け、この冷却部材を冷却機構により冷却媒体で冷却するようにしたので、発光素子よりも熱容量の大きい冷却部材により発光素子を有効に冷却することができ、熱の影響による発光量の低下に起因する光エネルギー効率が低いという問題が生じずに安定した性能を維持することができる。
本出願人は先に、発光素子であるLED素子を冷却媒体で直接冷却することにより光エネルギー効率の問題を解決できる技術について先に特許出願している(特願2006−184457)。しかし、この技術では、液体の冷却媒体をLEDに直接接触させて冷却するので冷却効率を高くすることはできるものの、効率よく冷却するためにはLEDの発光面に冷却媒体を接触させる必要があり、発光面に気泡がついて照射効率を低下させるおそれがあるといった問題や、発光面に常に低温の冷却媒体を供給しないと冷却効率が落ちるので多量の冷却媒体を循環させる必要があるといった問題がある。これに対して本発明の第1の観点では、銅のような高熱伝導性材料からなる冷却部材を冷却媒体により冷却して冷熱を蓄積し、この蓄積した冷熱により発光素子を冷却するので、アニールの際に冷却媒体を多量に循環させなくても蓄積された冷熱で十分にLED冷却することができる。また、冷却媒体を発光素子の発光面に接触させる必要がないので気泡の問題も生じない。
本発明の第2の観点によれば、加熱源支持部材裏面側から前記加熱源支持部材を通って前記発光素子に給電するので、多数の発光素子に対して簡易に給電することができる。
以下、添付図面を参照しながら本発明の実施形態について説明する。ここでは、表面に不純物が注入されたウエハをアニールするためのアニール装置を例にとって説明する。
図1は本発明の一実施形態に係るアニール装置の概略構成を示す断面図、図2は図1のアニール装置の加熱源を拡大して示す断面図、図3は図1のアニール装置のLEDへ給電する部分を拡大して示す断面図である。このアニール装置100は、気密に構成され、ウエハWが搬入される処理室1を有している。
処理室1は、ウエハWが配置される円柱状のアニール処理部1aとアニール処理部1aの外側にドーナツ状に設けられたガス拡散部1bを有している。ガス拡散部1bはアニール処理部1aよりも高さが高くなっており、処理室1の断面はH状をなしている。処理室1のガス拡散部1bはチャンバー2により規定されている。チャンバー2の上壁2aおよび底壁2bにはアニール処理部1aに対応する円形の孔3a,3bが形成されており、これら孔3a,3bにはそれぞれ銅等の高熱伝導性材料からなる冷却部材4a,4bが嵌め込まれている。冷却部材4a,4bはフランジ部5a,5bを有し、フランジ部5a,5bとチャンバー2の上壁2aおよび底壁2bにシール部材6a,6bを介して密着されている。そして、この冷却部材4a,4bによりアニール処理部1aが規定されている。
処理室1には、アニール処理部1a内でウエハWを水平に支持する支持部材7が設けられており、この支持部材7は図示しない昇降機構によりウエハWの受け渡しの際に昇降可能となっている。また、チャンバー2の天壁には、図示しない処理ガス供給機構から所定の処理ガスが導入される処理ガス導入口8が設けられ、この処理ガス導入口8には処理ガスを供給する処理ガス配管9が接続されている。また、チャンバー2の底壁には排気口10が設けられ、この排気口10には図示しない排気装置に繋がる排気配管11が接続されている。さらに、チャンバー2の側壁には、チャンバー2に対するウエハWの搬入出を行うための搬入出口12が設けられており、この搬入出口12はゲートバルブ13により開閉可能となっている。処理室1には、支持部材7上に支持されたウエハWの温度を測定するための温度センサー14が設けられている。また、温度センサー14はチャンバー2の外側の計測部15に接続されており、この計測部15から後述するプロセスコントローラ60に温度検出信号が出力されるようになっている。
冷却部材4a,4bの支持部材7に支持されたウエハWに対向する面には、支持部材7に支持されているウエハWに対応するように円形の凹部16a,16bが形成されている。そして、この凹部16a,16b内には、冷却部材4a,4bに直接接触するように発光ダイオード(LED)を搭載した加熱源17a,17bが配置されている。
冷却部材4a,4bのウエハWと対向する面には、凹部16a,16bを覆うように、加熱源17a,17bに搭載されたLEDからの光をウエハW側に透過する光透過部材18a,18bがねじ止めされている。光透過部材18a,18bはLEDから射出される光を効率良く透過する材料が用いられ、例えば石英が用いられる。また、凹部16aと光透過部材18aとで形成される空間および凹部16bと光透過部材18bとで形成される空間には透明な樹脂20(図1、3参照)が充填されている。適用可能な透明な樹脂20としては、シリコーン樹脂やエポキシ樹脂を挙げることができる。樹脂の充填時には樹脂の中に気泡が残らないように、空間を真空引きしながら充填することが好ましい。
樹脂20は、メンテナンスを考慮すると、LED側に硬い樹脂を用い、光透過部材18a,18b側に柔らかい樹脂を用いることが好ましい。これは、例えばLEDの一部を交換するような場合、全てが硬い樹脂であると光透過部材18a,18bを外すことが困難となり、逆に全てが柔らかい樹脂であると光透過部材18a,18bを外した際にLEDが樹脂に引きずられるように取り去られてしまって再利用が困難となるという不都合があるのに対し、このように二層構造にすることにより、光透過部材18a,18bを外すことが容易であり、しかもその際にLEDを硬い樹脂で保護することができるからである。
冷却部材4a,4bには冷却媒体流路21a,21bが設けられており、その中に、冷却部材4a,4bを0℃以下、例えば−50℃程度に冷却することができる液体状の冷却媒体、例えばフッ素系不活性液体(商品名フロリナート、ガルデン等)が通流される。冷却部材4a,4bの冷却媒体流路21a,21bには冷却媒体供給配管22a,22bと、冷却媒体排出配管23a,23bが接続されている。これにより、冷却媒体を冷却媒体流路21a,21bに循環させて冷却部材4a,4bを冷却することが可能となっている。
なお、チャンバー2には冷却水流路25が形成されており、この中に常温の冷却水が通流するようになっており、これによりチャンバー2の温度が過度に上昇することを防止している。
加熱源17a,17bは、図2に拡大して示すように、絶縁性を有する高熱伝導性材料、典型的にはAlNセラミックスからなる支持体32に多数のLED33が搭載された複数のLEDアレイ34からなり、これらLEDアレイ34の裏面が、加熱源17aでは冷却部材4aの下面に対し、また加熱源17bでは冷却部材4bの上面に対し、例えば半田により全面に接触されている。LEDアレイ34の支持体32とLED33との間には銅に金メッキしたもの等の導電性の高い電極35が全面接触した状態で設けられている。また、一つのLED33と隣接するLED33の電極35との間はワイヤ36にて接続されている。このように、冷却媒体から熱伝導率の高い冷却部材4a,4bに高効率で伝達した冷熱が、全面で接触している熱伝導性が高い支持体32、電極35を介してLED33に到達するので、極めて高効率でLED33が冷却される。
冷却部材4aの上方および冷却部材4bの下方には、それぞれLED33への給電制御を行うための制御ボックス37a,37bが設けられており、これらには図示しない電源からの配線が接続され、LED33への給電を制御するようになっている。
一方、図3に拡大して示すように、電極35には冷却部材4a,4bの内部を通って延びる電極棒38が接続されている(図2には電極棒38は図示せず)。電極棒38は、LEDアレイ34毎に複数個、例えば8個(図1、3では2個のみ図示)設けられており、電極棒38は絶縁材料からなる保護カバー38aで覆われている。電極棒38は、電極35に近接する部分から冷却部材4aの上端部および冷却部材4bの下端部まで延び、そこで受け部材39がねじ止めされている。受け部材39と冷却部材4a,4bとの間には絶縁リング40が介装されている。また、電極棒38の先端部は電極35の一つと半田付けで接続されている。ここで、保護カバー38aと冷却部材4a(4b)との間、保護カバー38aと電極棒38との間の隙間はろう付けされており、いわゆるフィードスルーを形成している。
制御ボックス37a,37b内には、複数の制御ボード42が設けられている。この制御ボード42は、図4の(a),(b)にも示すように、電極棒38に対応する給電部材41が接続される接続部42aと、電源からの配線が接続される給電コネクタ43を有している。給電部材41は下方に延び、各電極棒38に取り付けられた受け部材39に接続されている。給電部材41は絶縁材料からなる保護カバー44で覆われている。給電部材41の先端にはポゴピン(スプリングピン)41aが設けられており、この各ポゴピン41aが対応する受け部材39に接触することにより、制御ボックス37a,37bから給電部材41および電極棒38および加熱源17a,17bの電極35を介して各LED33に給電されるようになっている。このようにして給電されることによりLED33が発光し、その光によりウエハWを表裏面から加熱することによりアニール処理が行われる。ポゴピン41aはスプリングにより受け部材39側に付勢されているので、制御ボード42の取り付け位置がずれている等の場合にも確実に給電部材41と電極棒38のコンタクトがとれるようになっている。なお、図4では、給電部材41が3本描かれているが、これは例示に過ぎない。
LEDアレイ34は、図5に示すように六角形状をなしている。このLEDアレイ34の具体的なLED33の配列および給電手法を図5に示す。LEDアレイ34においては、各LED33に十分な電圧を供給し、しかも給電部分の面積ロスをいかに少なくして搭載するLED33の数を増加させるかが極めて重要である。まず、十分な電圧を給電するために、LEDアレイ34を6つの給電領域に分けている。具体的には、六角形状のLEDアレイ34を対向する2辺の中点同士を繋ぐ線で2等分して2つの領域341、342を形成し、これら領域341、342をそれぞれ3つの給電領域341a、341b、341cおよび342a、342b、342cに分ける。この際の給電領域の分け方は、領域341を例にとると、六角形の二等分されていない隣接する2辺とこれら2辺の端部を結ぶ直線とで形成されるほぼ三角形の領域が給電領域341aであり、残余の領域を六角形の二等分された辺に対して平行な直線でほぼ二等分した領域が給電領域341b、341cである。領域342も同様に、ほぼ三角形の領域が給電領域342aであり、残余の領域をほぼ二等分した領域が給電領域342b、342cである。
これら給電領域に給電するための電極として、領域341側には、3つの負極51a、51b、51cと共通の一つの正極52とが一直線に配列されており、領域342側には、3つの負極53a、53b、53cと共通の一つの正極54とが一直線に配列されている。このように一直線に配列されるのは、電極棒38が冷却部材4a,4bの冷却媒体流路21a,21bの間の領域に設ける必要があるからである。
そして、共通の正極52からは、給電領域341a,341b,342cに給電され、共通の正極54からは給電領域342a,342b,341cに給電されるようになっている。
各給電領域にはLED33が約400個ずつ配列されている。そして、各給電領域のLED33は、図6に示すように、シリアルに接続された組が2組パラレルに配置されている。このようにすることにより、LEDの個々のバラツキおよび電圧のばらつきを抑制することができる。
このような構造のLEDアレイ34は、例えば図7に示すように配置される。一つのLEDアレイ34には、2000〜5000個程度、上述の例では約2400個のLED33が搭載される。LED33としては、射出される光の波長が紫外光〜近赤外光の範囲、好ましくは0.36〜1.0μmの範囲のものが用いられる。このような0.36〜1.0μmの範囲の光を射出する材料としてはGaN、GaAs等をベースとした化合物半導体が例示される。
なお、冷却部材4a,4bは冷却されているため、給電部材41の配置領域はその冷熱によって低温になっており、湿度の高い空気が存在する場合には給電部材41に結露して電気的障害を起こすおそれがある。そのため、制御ボックス37a,37bと冷却部材4a,4bとの間の空間にガス配管45a,45b(図1参照)を介して乾燥ガスを導入するようになっている。
アニール装置100の各構成部は、図1に示すように、マイクロプロセッサ(コンピュータ)を備えたプロセスコントローラ60に接続されて制御される構成となっている。例えば、上記制御ボックス37a,37bの給電制御や、駆動系の制御、ガス供給制御等がこのプロセスコントローラ60で行われる。プロセスコントローラ60には、工程管理者がアニール装置100を管理するためにコマンドの入力操作等を行うキーボードや、アニール装置100の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース61が接続されている。さらに、プロセスコントローラ60には、アニール装置100で実行される各種処理をプロセスコントローラ60の制御にて実現するための制御プログラムや、処理条件に応じてアニール装置100の各構成部に処理を実行させるためのプログラムすなわちレシピを格納することが可能な記憶部62が接続されている。レシピはハードディスクや半導体メモリーに記憶されていてもよいし、CDROM、DVD等の可搬性の記憶媒体に収容された状態で記憶部62の所定位置にセットするようになっていてもよい。さらに、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。そして、必要に応じて、ユーザーインターフェース61からの指示等にて任意のレシピを記憶部62から呼び出してプロセスコントローラ60に実行させることで、プロセスコントローラ60の制御下で、アニール装置100での所望の処理が行われる。
次に、以上のようなアニール装置100におけるアニール処理動作について説明する。
まず、ゲートバルブ13を開にして搬入出口12からウエハWを搬入し、支持部材7上に載置する。その後、ゲートバルブ13を閉じて処理室1内を密閉状態とし、排気口11を介して図示しない排気装置により処理室1内を排気するとともに、図示しない処理ガス供給機構から処理ガス配管9および処理ガス導入口8を介して所定の処理ガス、例えばアルゴンガスまたは窒素ガスを処理室1内に導入し、処理室1内の圧力を例えば100〜10000Paの範囲内の所定の圧力に維持する。
一方、冷却部材4a,4bは、冷却媒体流路21a,21bに液体状の冷却媒体、例えばフッ素系不活性液体(商品名フロリナート、ガルデン等)を循環させ、LED素子33を0℃以下の所定の温度、好ましくは−50℃以下の温度に冷却される。
そして、図示しない電源から制御ボックス37a,37b、給電部材41、電極棒38、電極35を介して、LED33に所定の電流を供給してLED33を点灯させる。
ここで、LED33は、常温に保持した場合には、LED33自身の発熱等によりその発光量が低下するが、本実施形態では、冷却部材4a,4bに冷却媒体を通流させ、図2に示すように、冷却部材4a,4b、支持体32、電極35を介してLED33を冷却するので、LED33を効率的に冷却することができる。
上述した特願2006−184457に記載された技術では液体の冷却媒体をLEDに直接接触させて冷却するので冷却効率を高くすることはできるものの、効率よく冷却するためにはLEDの発光面に冷却媒体を接触させる必要があり、発光面に気泡がついて照射効率を低下させるおそれがある。また、発光面に常に低温の冷却媒体を回さないと冷却効率が落ちるので多量の冷却媒体を循環させる必要がある。
これに対して、本発明では、銅のような高熱伝導性材料からなる冷却部材4a,4bを冷却媒体により冷却して冷熱を蓄積し、この蓄積した冷熱によりLED33を冷却するが、冷却部材4a,4bはLEDに比べて遙かに熱容量が大きく、かつ冷却部材4a,4bの冷熱を熱伝導性が高くかつ全面接触した電極35および支持体32を介してLED33に供給して冷却するので、アニールの際に冷却媒体を多量に循環させなくても蓄積された冷熱で十分にLED33を冷却することができる。また、冷却媒体をLED33の発光面に接触させる必要がないので気泡の問題も生じない。アニール時間は1枚のウエハにつき1秒程度であり、ウエハの入れ替え時間が30秒程度であるから、ウエハの入れ替え時間の30秒で冷却部材4a,4bを冷却して、アニールの際にLED33が100℃以下になるように十分設計することができる。
また、従来のLEDを用いたアニール装置では、真空に保持される処理室内と大気雰囲気のLED空間との差圧を石英等からなる光透過部材によって受けていたため、光透過部材を厚くする必要があったが、この実施形態では、処理室1と大気の差圧は、金属製の冷却部材4a,4bで受けるので、光透過部材18a,18bを薄くすることができる。このように光透過部材18a,18bを薄くすることにより当該部材への蓄熱が抑制され、冷却部材4a,4bを介して冷却される冷却部分と、処理室1内の加熱部分との間の熱絶縁を十分に図ることができる。熱絶縁を一層良好にする観点からは、光透過部材18a,18bの止めネジを熱伝導率の小さい樹脂やセラミックス等で行えばよい。さらに、このように光透過部材18a,18bを薄くすることにより、ウエハWから冷却部材4a,4bへ効率良く熱輻射されるので、降温特性が良好となる。
また、LEDアレイ34の支持体32としてAlNを用いることにより、発光の際のLEDの波長を反射し、さらに1000℃程度に加熱されたウエハWからの輻射熱を吸収させることができるので、これによっても昇温降温特性を良好にすることができる。
さらに、給電部材41および電極棒38を介して冷却部材4a,4bの裏面側からLEDアレイ34のLED33に給電するので、多数のLED33に比較的簡便に給電することができる。また、ポゴピン41aを用いて給電部材41と受け部材39を接触させるので、制御ボード42の取り付け位置がずれている等の場合にもスプリングの付勢力により簡易にかつ確実に給電部材41と電極棒38のコンタクトをとることができる。
次に、上記実施形態に係るアニール装置のいくつかの変形例について説明する。
図8の例では、冷却部材4a,4bと光透過部材18a,18bとの間の空間は樹脂を封入する代わりにArガス46を封入するようにしている。この場合には、フィードスルーを通して、わずかな大気が流入することも考えられるので、LEDアレイ34に防湿コーティングを施すことが好ましい。
図9の例では、冷却部材4a,4bと光透過部材18a,18bとの間の空間を真空引きする真空ポンプ48と、この空間にArガス等を導入するガス導入機構49を設けて、空間内を所定の真空雰囲気としている。
図10の例では、電極35とLED33をワイヤ36で繋ぐ代わりに、LED33の発光面にITO(Indium Tin Oxide)やIZO(Indium Zinc Oxide)等の透明電極50を設けてこの透明電極50と光透過部材18a,18bとを接着させている。
また、冷却媒体の沸点に応じて冷却時の冷却媒体の温度を調整することにより、沸騰伝熱を生じさせることができる。沸騰伝熱は、冷却媒体を沸点よりも高い温度になるようにし、冷却媒体の温度による冷却の他、蒸発潜熱による冷却も生じさせることができ、極めて高効率の冷却を実現することができる。
図11の例は、光を効率良く取り出すこととメンテナンス性とを考慮したものである。
光を効率良く取り出すためには、固体発光している材料の屈折率から放射される空間の屈折率へ徐々に変化させることが好ましい。そのため、上記図1の例では、LED33の周囲にはシリコーン等の樹脂を充填し、さらに石英からなる光透過部材を設ける構造をとっている。しかし、この場合にはメンテナンスのために光透過部材18a,18bを取り外すとき、ジェル状の樹脂が光透過部材18a,18bとともに剥がされて正常なLEDも破壊されるおそれがありメンテナンス性が悪いという欠点がある。図1に示すようなアニール装置を実現するためには、数十万個のLEDを用いる必要があり、その全てが長期間動作することは不可能であることから、適当なユニット単位で交換することを前提に装置設計をすることが好ましい。
メンテナンス性のみを考慮すると、上述の図8で示したような気体充填が好ましいが、屈折率が徐々に変化せずに光効率の点から好ましくない。
そこで、図11の例では、LEDが存在している空間に、気体が溶けていないまたは溶けにくい液体であって、屈折率がLEDと光透過部材を構成する石英との中間の値を有し、蒸気圧の低いもの、例えばフロリナート、ガルデン、ノベック等の液体71を予め脱気処理して充填する。この場合に、これら液体は脱気して用いることに加え、単なる充填材として用い、冷却機能は必要がないため、気泡は発生し難く、気泡により照射効率が低下するおそれは小さい。
そして、この例では、LEDアレイ34の裏面に銀ペーストやシリコングリース等の熱伝導性の高い熱伝導層72を形成し、LEDアレイ34を冷却部材4a,4bにねじ73により取り付ける。これにより、メンテナンスや交換の際には、光透過部材18a,18bを取り外して液体71を排出し、ねじ73をはずすことにより、LEDアレイ34を簡単に取り外すことができる。この場合に、ねじ73を単独で用いてもよいが、ねじ73にワッシャーまたはヤング率の高いSi等からなる板バネを介在させることが好ましい。
また、この例では、LEDアレイ34には、光透過部材18a,18bに達する外枠74が設けられ、これが反射板および光透過部材18a,18bの支持部材としての機能を有するようになっており、これにより、光効率をより高め、光透過部材18a,18bをより薄いものとすることができる。なお、外枠74には、液体71がLED33が存在する空間全体に行き渡るように液体通流孔75が形成されている。液体71の充填は、光透過部材18a,18bを取り付けた後に適宜の方法で行う。
図12の例は、冷却効率を落とすことなく、メンテナンス性、特にLEDの交換の容易性をさらに高めた例について説明する。
上述したようにLEDを高パワーで発光させる際には、その冷却は非常に需要であり、そのためLEDを冷却面に半田付け等で強力に接着する必要がある。一方、LEDを用いてウエハの急速加熱を行う装置の場合には、LEDの修理交換が非常に重要であり、図11の構成よりもさらなる交換容易性が望まれる。
そこで、図12の例では、多数のLED33を支持する高熱伝導性絶縁材料であるAlNからなる支持体32と、支持体32の裏面側に半田付けまたはろう接合された高熱伝導性材料であるCuで構成された熱拡散部材81と、支持体32に支持された多数のLED33を覆うように設けられた例えばシリコン系の透明樹脂(樹脂レンズまたは樹脂モールド)からなる樹脂層82と、熱拡散部材81および支持体32にそれぞれ形成されたスルーホール81aおよび32aに挿入され、これらを貫通して設けられた、LED33に給電するための給電電極83とをユニット化した構成を有するLEDアレイ34′が複数配列されて加熱源17a(または17b)が構成されている。そして、LEDアレイ34′は、シリコングリースや銀ペースト等の熱伝導性の良好なペーストを介して冷却部材4aまたは4bに、ねじ84によりねじ止めされている。冷却部材4a(または4b)と熱拡散部材81との間はシールリング89でシールされている。
給電電極83には、熱拡散部材81の裏面側に対応する位置に取り付けポート85が設けられており、冷却部材4aおよび4b(4aのみ図示)を貫通した給電部材41′が取り付けポート85において給電電極83に接続されるようになっている。
冷却部材4aおよび4bには、各LEDアレイ34′を取り付ける取り付け部86が複数設けられている。この取り付け部86は、光透過部材18aまたは18bに接するスペーサ機能を有する枠部材87を有している。この枠部材87は、LEDアレイ34′取り付け領域を囲繞するように設けられている。そして、取り付け部86に取り付けられたLEDアレイ34′の樹脂層82と光透過部材18a(または18b)との間には空間88が存在し、その空間は真空に保持されるようになっている。
図13に示すように、冷却部材4aには、光透過部材18aを冷却部材4aに取り付けた際に凹部16aに対応して形成される空間92に臨むように設けられた通路93が形成され、また、冷却部材4aには、通路93に連続するように排気管94が接続されており、これら通路93と排気管94は排気路を構成している。そして、排気管94の途中には、排気管94よりも大径のバッファ空間を有するバッファ部材95が設けられており、これら通路93、排気管94、バッファ部材95を介して排気装置96により空間88が真空排気され、真空状態にされるようになっている。冷却部材4b側も同様である。空間88は極狭いため、通常の排気を行っても圧力を低下させることが困難であるが、このようなバッファ空間を設けることにより、狭い空間でも真空排気を容易に行うことができる。なお、枠部材87には真空引き用の孔91が形成されており、この孔91を介して全ての空間88の真空引きが可能となる。
図1の実施形態では、冷却部材4a(4b)と光透過部材18a(18b)との間に樹脂を充填していたが、充填量が多くなり充填に困難性をともなうとともに、気泡などによるLEDの効率低下等が生じる。このため、本例では樹脂層82はLED33覆う程度の厚さで設け、残余の空間は真空引きするようにすることにより、このような不都合を回避する。
次に、LEDアレイ34′および冷却部材4a(4b)の組み立て、ならびにLEDアレイ34′の装着の手順について図14を参照して説明する。
まず、AlN製の板材から六角状の支持体32を切り出し、給電電極やねじの挿入孔であるスルーホール32aを形成する(図14(a))。次に、支持体32と同じ形状を有し、スルーホール32aに対応する位置にスルーホール81aを形成した銅製の熱拡散部材81の表面を半田ペーストを用いた半田付けにより支持体32の裏面に貼り付ける(図14(b))。そして、スルーホール32a,81aに支持体32および熱拡散部材81を貫通するように給電電極83を挿入し、これを支持体32に半田付けする(図14(c))。
その後、支持体32の表面に半田ペーストを塗り、その上にLED33を載せた状態でバッチ炉で熱処理することにより半田付けし(図14(d))、さらにワイヤ36によりボンディングを行う(図14(e))。次に、LED33の保護および屈折率の調整のために、LED33を覆うように透明樹脂(樹脂レンズまたは樹脂モールド)による樹脂層82を形成するとともに、給電電極83とスルーホール81aの間の空間にエポキシ系樹脂を充填して真空シールとし、LEDアレイ34′を完成させる(図14(f))。一方、これと並行して冷却部材4a(4b)を組み立てる(図14(g))。
その後、冷却部材4a(4b)にLEDアレイ34′を装着する(図14(h))。そして、給電電極83に給電部材41′を接続するとともに、ねじ84によりLEDアレイ34′をねじ止めする(図14(i))。
以上の手順により、LEDアレイ34′の装着までが終了し、その後、光透過部材18a、18bを取り付けて、図12の状態とする。
このように、図12に示したアニール装置は、LEDアレイ34′をユニット化して、ねじ84で冷却部材4a(4b)に取り付けるようにしているので、装着および取り外しが容易であり、LED33の交換の際にLEDアレイ34′毎容易に交換することができるので、メンテナンス性を極めて高くすることができる。また、AlN製の支持体32とCu製の熱拡散部材81を半田付け(クリーム半田)により面接触させ、熱拡散部材81と冷却部材4a(4b)との間をシリコングリースや銀ペースト等の高熱伝導性ペーストで面接触させるので、熱抵抗が低く、LED33を冷却する能力が高い。
また、冷却部材4a(4b)と光透過部材18a(18b)との間の空間について、LED33の装着部分のみを樹脂層82で覆い、残余の空間88を真空引きするので、上述したように全て樹脂で埋める場合の困難性が回避されるとともに、樹脂層82がなく空間全部を真空引きした場合のLED33と真空との屈折率の差による効率低下を緩和することができる。すなわち、樹脂層82を介することにより、LED33、樹脂層82、空間88と順次屈折率が低くなる構造となり、屈折率が急激に変化することにともなう全反射が発生しにくくなり、効率低下が生じない。
なお、本発明は上記実施形態に限定されることなく、種々の変形が可能である。例えば、上記実施形態では、被処理体であるウエハの両側にLEDを有する加熱源を設けた例について説明したが、いずれか一方に加熱源を設けたものであってもよい。また、上記実施形態では発光素子としてLEDを用いた場合について示したが、半導体レーザー等他の発光素子を用いてもよい。さらに、被処理体についても、半導体ウエハに限らず、FPD用ガラス基板などの他のものを対象にすることができる。
本発明は、不純物が注入された後の半導体ウエハのアニール処理等、急速加熱が必要な用途に好適である。
本発明の一実施形態に係るアニール装置の概略構成を示す断面図。 図1のアニール装置の加熱源を拡大して示す断面図。 図1のアニール装置のLEDへ給電する部分を拡大して示す断面図。 図1のアニール装置の制御ボードを示す図。 図1のアニール装置のLEDアレイの具体的なLEDの配列および給電手法を示す図。 LEDの接続形態を説明するための図。 図1のアニール装置の加熱源を示す底面図。 図1のアニール装置の変形例の要部を示す断面図。 図1のアニール装置の他の変形例の要部を示す断面図。 図1のアニール装置のさらに他の変形例の要部を示す断面図。 図1のアニール装置の別の変形例の要部を示す断面図。 図1のアニール装置のさらに別の変形例の要部を示す断面図。 図12のアニール装置において、LEDアレイを装着した後の冷却部材と光透過部材との間の空間を真空排気する機構を示す図。 図12のアニール装置において、LEDアレイおよび冷却部材の組み立て、ならびにLEDアレイの装着の手順を示す図。
符号の説明
1;処理室
1a;アニール処理部
1b;ガス拡散部
2;チャンバー
4a,4b;冷却部材
8;処理ガス導入口
9;処理ガス配管
10;排気口
11;排気配管
12;搬入出口
16a,16b;凹部
17a,17b;加熱源
18a,18b;光透過部材
20;透明な樹脂
21a,21b;冷却媒体流路
22a,22b;冷却媒体供給配管
23a,23b;冷却媒体排出配管
32;支持体
33;LED(発光素子)
34,34′;LEDアレイ
35;電極
36;ワイヤ
37a,37b;制御ボックス
38;電極棒
39;受け部材
41,41′;給電部材
41a;ポゴピン(スプリングピン)
42;制御ボード
60;プロセスコントローラ
61;ユーザーインターフェース
62;記憶部
71;液体
72;熱伝導層
73;ねじ
74;外枠
81;熱拡散部材
82;樹脂層
83;給電電極
84;ねじ
85;接合部
86;取り付け部
87;枠部材
88;空間
93;通路
94;排気管
95;バッファ部材
96;排気装置
100;アニール装置
W…半導体ウエハ(被処理体)

Claims (23)

  1. 被処理体が収容される処理室と、
    被処理体の少なくとも一方の面に面するように設けられ、被処理体に対して光を照射する複数の発光素子を有する加熱源と、
    前記加熱源に対応して設けられ、前記発光素子からの光を透過する光透過部材と、
    前記光透過部材の前記処理室と反対側を支持し、前記加熱源に直接接触するように設けられた高熱伝導性材料からなる冷却部材と、
    前記冷却部材を冷却媒体で冷却する冷却機構と、
    前記処理室内を排気する排気機構と、
    前記処理室内に処理ガスを供給する処理ガス供給機構と
    を具備することを特徴とするアニール装置。
  2. 前記加熱源は、裏面側の全面が前記冷却部材と接触するように設けられた高熱伝導性絶縁材料からなる支持体と、前記支持体に全面が接触するように設けられた複数の電極と、前記各電極に全面が接触するように設けられた複数の発光素子とを有する発光素子アレイを複数備えていることを特徴とする請求項1に記載のアニール装置。
  3. 前記冷却部材は銅製であり、前記支持体はAlN製であることを特徴とする請求項2に記載のアニール装置。
  4. 前記冷却部材と前記光透過部材との間に空間を有し、前記空間に前記加熱源が設けられていることを特徴とする請求項1から請求項3に記載のアニール装置。
  5. 前記空間には透明樹脂が充填されることを特徴とする請求項4に記載のアニール装置。
  6. 前記透明樹脂は、前記冷却部材側の発光素子を含む部分に相対的に硬い樹脂を設け、前記光透過部材側に相対的に柔らかい樹脂を設けてなることを特徴とする請求項5に記載のアニール装置。
  7. 前記空間には不活性ガスが充填されることを特徴とする請求項4に記載のアニール装置。
  8. 前記空間を真空引きする真空引き機構と、前記空間に不活性ガスを供給する不活性ガス供給機構とをさらに有することを特徴とする請求項7に記載のアニール装置。
  9. 前記冷却部材と前記光透過部材との間に空間を有し、前記空間に前記加熱源が設けられ、前記空間には、前記発光素子と前記光透過部材との間の屈折率を有する液体が充填されており、前記支持体は前記冷却部材に熱伝導層を介してねじ止めされていることを特徴とする請求項2または請求項3に記載のアニール装置。
  10. 前記支持体は、その外枠が前記冷却部材に接するように設けられ、その内側が反射面となっているとともに、液体通流孔が設けられていることを特徴とする請求項9に記載のアニール装置。
  11. 前記加熱源は、表面に前記複数の発光素子を支持する高熱伝導性絶縁材料からなる支持体と、前記支持体の裏面側に半田付けまたはろう接合された高熱伝導性材料からなる熱拡散部材と、前記支持体に支持された複数の発光素子を覆うように設けられた透明樹脂からなる樹脂層と、前記熱拡散部材および前記支持体を貫通して設けられた、前記発光素子に給電するための給電電極と、がユニット化されて構成された発光素子アレイを複数備え、前記発光素子アレイは、高熱伝導性ペーストを介して前記冷却部材にねじ止めされていることを特徴とする請求項1に記載のアニール装置。
  12. 前記冷却部材および前記熱拡散部材は銅製であり、前記支持体はAlN製であることを特徴とする請求項11に記載のアニール装置。
  13. 前記発光素子アレイの前記樹脂層と、前記光透過部材との間に空間を有し、その空間を真空引きする真空引き機構を備えることを特徴とする請求項11または請求項12に記載のアニール装置。
  14. 前記真空引き機構は、前記空間に繋がる排気路と、前記排気路に設けられたバッファ空間と、前記排気路および前記バッファ空間を介して前記空間を真空引きするポンプとを有することを特徴とする請求項13に記載のアニール装置。
  15. 前記冷却部材は、前記各発光素子アレイを取り付ける複数の取り付け部を有し、前記取り付け部は、前記発光素子アレイを囲繞するとともに前記冷却部材に接するように設けられたスペーサ機能を有する枠部材を有することを特徴とする請求項13または請求項14に記載のアニール装置。
  16. 前記冷却部材を介して前記給電電極に接続され、電源から給電電極に給電する給電部材をさらに有することを特徴とする請求項11から請求項15のいずれか1項に記載のアニール装置。
  17. 被処理体が収容される処理室と、
    被処理体の少なくとも一方の面に面するように設けられ、被処理体に対して光を照射する複数の発光素子を有する加熱源と、
    前記加熱源に対応して設けられ、前記発光素子からの光を透過する光透過部材と、
    前記加熱源を支持する加熱源支持部材と、
    前記加熱源支持部材の裏面側から前記加熱源支持部材を通って前記発光素子に給電する給電機構と、
    前記処理室内を排気する排気機構と、
    前記処理室内に処理ガスを供給する処理ガス供給機構と
    を具備することを特徴とするアニール装置。
  18. 前記加熱源は、前記加熱源支持部材に設けられた支持体と、前記支持体上に形成された複数の電極と、前記各電極上に形成された複数の発光素子と、前記発光素子に給電する給電電極とを有する発光素子アレイを複数備え、
    前記給電機構は、前記発光素子アレイの給電電極に接続され前記加熱源支持部材の中を延びる複数の電極棒と、各電極棒に給電する複数の給電部材とを有することを特徴とする請求項17に記載のアニール装置。
  19. 前記電極棒と前記給電部材との間はスプリングピンでコンタクトされることを特徴とする請求項18に記載のアニール装置。
  20. 前記発光素子アレイに設けられた複数の発光素子は、複数の給電領域に分割されて配置され、前記給電電極は各給電領域に対応して複数設けられ、複数の給電電極は、直線状に配列されていることを特徴とする請求項18または請求項19に記載のアニール装置。
  21. 前記給電電極は、複数の負極と共通の正極とを有することを特徴とする請求項20に記載のアニール装置。
  22. 前記給電領域における複数の発光素子は、シリアルに接続されたシリアル接続組が複数パラレルに設けられていることを特徴とする請求項20または請求項21に記載のアニール装置。
  23. 前記発光素子は、発光ダイオードであることを特徴とする請求項1から請求項22のいずれか1項に記載のアニール装置。
JP2007081609A 2006-09-05 2007-03-27 アニール装置 Expired - Fee Related JP5138253B2 (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP2007081609A JP5138253B2 (ja) 2006-09-05 2007-03-27 アニール装置
PCT/JP2007/067053 WO2008029742A1 (fr) 2006-09-05 2007-08-31 Appareil de recuit
US12/440,034 US8246900B2 (en) 2006-09-05 2007-08-31 Annealing apparatus
KR1020097004654A KR101059314B1 (ko) 2006-09-05 2007-08-31 어닐 장치
CN2007800094187A CN101405842B (zh) 2006-09-05 2007-08-31 退火装置
KR1020117003539A KR20110022740A (ko) 2006-09-05 2007-08-31 어닐 장치
TW096133143A TWI389170B (zh) 2006-09-05 2007-09-05 Annealing device
US13/551,133 US20120279944A1 (en) 2006-09-05 2012-07-17 Annealing apparatus

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2006240420 2006-09-05
JP2006240420 2006-09-05
JP2007034417 2007-02-15
JP2007034417 2007-02-15
JP2007081609A JP5138253B2 (ja) 2006-09-05 2007-03-27 アニール装置

Publications (2)

Publication Number Publication Date
JP2008227435A true JP2008227435A (ja) 2008-09-25
JP5138253B2 JP5138253B2 (ja) 2013-02-06

Family

ID=39845645

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007081609A Expired - Fee Related JP5138253B2 (ja) 2006-09-05 2007-03-27 アニール装置

Country Status (5)

Country Link
US (2) US8246900B2 (ja)
JP (1) JP5138253B2 (ja)
KR (2) KR101059314B1 (ja)
CN (1) CN101405842B (ja)
TW (1) TWI389170B (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009041466A1 (ja) * 2007-09-27 2009-04-02 Tokyo Electron Limited アニール装置
JP2009099925A (ja) * 2007-09-27 2009-05-07 Tokyo Electron Ltd アニール装置
WO2009096248A1 (ja) * 2008-01-28 2009-08-06 Tokyo Electron Limited アニール装置
JP2010153734A (ja) * 2008-12-26 2010-07-08 Tokyo Electron Ltd アニール装置およびアニール方法
KR101413840B1 (ko) 2010-03-09 2014-06-30 도쿄엘렉트론가부시키가이샤 가열 장치 및 어닐링 장치
JP2016184642A (ja) * 2015-03-26 2016-10-20 日本碍子株式会社 半導体製造装置用部材
JP2017509143A (ja) * 2014-01-17 2017-03-30 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. 半導体光源を有する加熱システム
JP2019153717A (ja) * 2018-03-05 2019-09-12 東京エレクトロン株式会社 検査装置
EP3825705A1 (en) 2019-11-25 2021-05-26 Tokyo Electron Limited Reinforced temperature controlled stage
JP7470190B2 (ja) 2019-11-14 2024-04-17 アプライド マテリアルズ インコーポレイテッド モジュラledヒータ

Families Citing this family (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5138253B2 (ja) * 2006-09-05 2013-02-06 東京エレクトロン株式会社 アニール装置
JP2009253242A (ja) * 2008-04-11 2009-10-29 Tokyo Electron Ltd アニール装置
US20110174790A1 (en) * 2008-06-25 2011-07-21 Tokyo Electron Limited Annealing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2567393B1 (en) * 2010-05-07 2018-02-14 Pressco IP LLC Corner-cube irradiation control
JP5635378B2 (ja) * 2010-11-30 2014-12-03 日東電工株式会社 半導体ウエハ搬送方法および半導体ウエハ搬送装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI481058B (zh) * 2012-05-24 2015-04-11 Sunshine Pv Corp 薄膜太陽能電池的退火裝置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9318360B2 (en) * 2013-10-11 2016-04-19 Applied Materials, Inc. Linear high packing density for LED arrays
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016181615A1 (ja) * 2015-05-13 2016-11-17 パナソニックIpマネジメント株式会社 太陽電池モジュールの製造装置及び太陽電池モジュールの製造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6994313B2 (ja) * 2016-11-29 2022-01-14 東京エレクトロン株式会社 載置台及び電子デバイス検査装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
BR112019013388A2 (pt) 2016-12-30 2020-03-03 Frequency Therapeutics, Inc. Compostos de 1h-pirrol-2,5-diona e métodos de uso dos mesmos para induzir a autorrenovação de células de suporte tronco/progenitoras
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7042158B2 (ja) 2018-05-23 2022-03-25 東京エレクトロン株式会社 検査装置及び温度制御方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11444245B2 (en) * 2018-10-22 2022-09-13 The Board Of Trustees Of The University Of Alabama Rapid layer-specific photonic annealing of perovskite thin films
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04207020A (ja) * 1990-11-30 1992-07-29 Fujitsu Ltd 半導体製造装置と半導体製造方法
JP2003077852A (ja) * 2001-09-03 2003-03-14 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2006059931A (ja) * 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62160981A (ja) * 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd 石油タンカ−の改造法
KR920004854B1 (ko) 1988-06-14 1992-06-19 삼성전자 주식회사 멀티채널시스템의 페이지 업/다운 모드 수행방법
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
TW315493B (en) * 1996-02-28 1997-09-11 Tokyo Electron Co Ltd Heating apparatus and heat treatment apparatus
JP4207020B2 (ja) 2002-07-30 2009-01-14 コニカミノルタオプト株式会社 撮像レンズ
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP3989412B2 (ja) * 2002-10-21 2007-10-10 オリンパス株式会社 照明装置及び画像投影装置
US6998777B2 (en) * 2002-12-24 2006-02-14 Toyoda Gosei Co., Ltd. Light emitting diode and light emitting diode array
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
JP5138253B2 (ja) * 2006-09-05 2013-02-06 東京エレクトロン株式会社 アニール装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04207020A (ja) * 1990-11-30 1992-07-29 Fujitsu Ltd 半導体製造装置と半導体製造方法
JP2003077852A (ja) * 2001-09-03 2003-03-14 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2006059931A (ja) * 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009099925A (ja) * 2007-09-27 2009-05-07 Tokyo Electron Ltd アニール装置
WO2009041466A1 (ja) * 2007-09-27 2009-04-02 Tokyo Electron Limited アニール装置
WO2009096248A1 (ja) * 2008-01-28 2009-08-06 Tokyo Electron Limited アニール装置
US8897631B2 (en) 2008-01-28 2014-11-25 Tokyo Electron Limited Annealing apparatus
JP2010153734A (ja) * 2008-12-26 2010-07-08 Tokyo Electron Ltd アニール装置およびアニール方法
KR101413840B1 (ko) 2010-03-09 2014-06-30 도쿄엘렉트론가부시키가이샤 가열 장치 및 어닐링 장치
JP2017509143A (ja) * 2014-01-17 2017-03-30 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. 半導体光源を有する加熱システム
JP2016184642A (ja) * 2015-03-26 2016-10-20 日本碍子株式会社 半導体製造装置用部材
JP2019153717A (ja) * 2018-03-05 2019-09-12 東京エレクトロン株式会社 検査装置
JP7161854B2 (ja) 2018-03-05 2022-10-27 東京エレクトロン株式会社 検査装置
JP7470190B2 (ja) 2019-11-14 2024-04-17 アプライド マテリアルズ インコーポレイテッド モジュラledヒータ
EP3825705A1 (en) 2019-11-25 2021-05-26 Tokyo Electron Limited Reinforced temperature controlled stage
KR20210064065A (ko) 2019-11-25 2021-06-02 도쿄엘렉트론가부시키가이샤 적재대 및 검사 장치
US11499993B2 (en) 2019-11-25 2022-11-15 Tokyo Electron Limited Stage and inspection apparatus for inspecting electronic device

Also Published As

Publication number Publication date
KR101059314B1 (ko) 2011-08-24
KR20090045314A (ko) 2009-05-07
JP5138253B2 (ja) 2013-02-06
US20120279944A1 (en) 2012-11-08
CN101405842A (zh) 2009-04-08
KR20110022740A (ko) 2011-03-07
US8246900B2 (en) 2012-08-21
TW200830354A (en) 2008-07-16
US20100038833A1 (en) 2010-02-18
CN101405842B (zh) 2010-09-01
TWI389170B (zh) 2013-03-11

Similar Documents

Publication Publication Date Title
JP5138253B2 (ja) アニール装置
JP5351479B2 (ja) 加熱源の冷却構造
JP5394730B2 (ja) アニール装置およびアニール方法
US20120325795A1 (en) Heating apparatus and annealing apparatus
WO2009125727A1 (ja) アニール装置
KR20180014438A (ko) Led 가열부를 갖는 정전 척
JP5209981B2 (ja) 光学活性層を有する半導体構成素子、多数の光学活性層を有する装置および半導体構成素子の製造方法
JP2009076705A (ja) ロードロック装置および真空処理システム
JP2015056624A (ja) 基板温調装置およびそれを用いた基板処理装置
WO2008029742A1 (fr) Appareil de recuit
JP2009099925A (ja) アニール装置
WO2009116400A1 (ja) アニール装置および過熱防止システム
JP6655996B2 (ja) 基板温調装置及び基板処理装置
WO2008016116A1 (fr) Dispositif et procédé de recuit
JP2008060560A (ja) アニール装置およびアニール方法
EP4170301A1 (en) Infrared radiation source
JP2004103735A (ja) 発光装置
JP2001044554A (ja) Ldステムおよび光ピックアップ
JP2011100780A (ja) 検査装置、検査用基板、及び発光素子の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120717

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120910

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121113

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121114

R150 Certificate of patent or registration of utility model

Ref document number: 5138253

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151122

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees