KR101059314B1 - 어닐 장치 - Google Patents

어닐 장치 Download PDF

Info

Publication number
KR101059314B1
KR101059314B1 KR1020097004654A KR20097004654A KR101059314B1 KR 101059314 B1 KR101059314 B1 KR 101059314B1 KR 1020097004654 A KR1020097004654 A KR 1020097004654A KR 20097004654 A KR20097004654 A KR 20097004654A KR 101059314 B1 KR101059314 B1 KR 101059314B1
Authority
KR
South Korea
Prior art keywords
light emitting
cooling
heating source
light
annealing device
Prior art date
Application number
KR1020097004654A
Other languages
English (en)
Other versions
KR20090045314A (ko
Inventor
시게루 가사이
히로유키 미야시타
마사타케 요네다
도모히로 스즈키
스미 다나카
마사미치 노무라
미와 시미즈
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Priority claimed from PCT/JP2007/067053 external-priority patent/WO2008029742A1/ja
Publication of KR20090045314A publication Critical patent/KR20090045314A/ko
Application granted granted Critical
Publication of KR101059314B1 publication Critical patent/KR101059314B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1864Annealing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Led Device Packages (AREA)
  • Physical Vapour Deposition (AREA)
  • Arrangement Of Elements, Cooling, Sealing, Or The Like Of Lighting Devices (AREA)
  • Led Devices (AREA)

Abstract

열의 영향에 의한 발광량의 저하에 기인하는 광에너지 효율이 낮다고 하는 문제가 발생하지 않고 안정된 성능을 유지할 수 있는 어닐 장치를 제공 한다.
웨이퍼(W)가 수용되는 처리실(1)과, 웨이퍼(W)의 면에 면하도록 마련되어, 웨이퍼(W)에 대하여 광을 조사하는 복수의 LED(33)를 가지는 가열원(17a, 17b)과, 가열원(17a, 17b)에 대응해서 마련되어, 발광 소자(33)로부터의 광을 투과하는 광투과 부재(18a, 18b)와, 광투과 부재(18a, 18b)의 처리실(1)과 반대측을 지지하고, 가열원(17a, 17b)에 직접 접촉하도록 마련된 고열전도성 재료로 이루어지는 냉각 부재(4a, 4b)와, 냉각 부재(4a, 4b)를 냉각 매체로 냉각하는 냉각 기구를 가진다.

Description

어닐 장치{ANNEALING APPARATUS}
본 발명은, 반도체 웨이퍼 등에 대하여 LED 등의 발광 소자로부터의 광을 조사 함으로써 어닐을 실행하는 어닐 장치에 관한 것이다.
반도체 디바이스의 제조에 있어서는, 피 처리 기판인 반도체 웨이퍼( 이하 간단히 웨이퍼라고 한다)에 대하여, 성막 처리, 산화 확산 처리, 개질 처리, 어닐 처리 등의 각종 열처리가 존재하지만, 반도체 디바이스의 고속화, 고 집적화의 요구에 따라, 특히 이온 임플렌테이션 후의 어닐은, 확산을 최소한으로 억제하기 위해서, 보다 고속으로 온도를 승강하는 것을 지향하고 있다. 이러한 고속 승강온이 가능한 어닐 장치로서 LED(발광 다이오드)을 가열원으로서 이용한 것이 제안되어 있다(예를 들면 특허문헌l).
그런데, 상기 어닐 장치의 가열원으로서 LED를 이용할 경우에는, 급속가열에 대응해서 막대한 광에너지를 발생시키는 필요가 있고, 그 때문에 LED를 고밀도 실장(實裝) 할 필요가 있다.
그러나, LED는 열(열 발생)에 의해 발광량이 저하 하는 것이 알려지고 있어, LED을 고밀도 실장 함으로써, LED자체의 발열(투입에너지 중, 광으로서 꺼낼 수 없었던 것) 등에 의한 영향이 커져, LED로부터 충분한 발광량을 얻을 수 없게 된다. 그러나, LED를 유효하게 냉각해서 안정한 성능을 발휘하는 것이 아직 알려진 바 없다.
또한, 이러한 종류의 어닐 장치는, 다수의 LED를 사용하기 때문에, 급전 기구가 복잡해지는 경향이 있어, 보다 간이한 급전 기구가 요구되고 있다.
특허문헌1: 일본 특허 공보 2005-536045호
본 발명은 이러한 사정에 의해 이루어진 것이며, 가열원으로서 LED 등의 발광 소자를 이용한 어닐 장치에 있어서, 열의 영향에 의한 발광량의 저하에 기인하는, 광에너지 효율이 낮아지는 문제가 발생하지 않고 안정된 성능을 유지할 수 있는 어닐 장치를 제공하는 것을 목적으로 한다. 또한, 발광 소자에 대해 간단히 급전할 수 있는 어닐 장치를 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해서, 본 발명의 제 1 관점에서는, 피처리체가 수용되는 처리실과, 피처리체의 적어도 한쪽의 면에 면하도록 마련되어, 피처리체에 대하여 광을 조사하는 복수의 발광 소자를 가지는 가열원과, 상기 가열원에 대응하여 마련되고, 상기 발광 소자로부터의 광을 투과하는 광투과 부재와, 상기 광투과 부재의 상기 처리실과 반대측을 지지하고, 상기 가열원에 직접 접촉하도록 마련된 고열전도성 재료로 이루어지는 냉각 부재와, 상기 냉각 부재를 냉각 매체로 냉각하는 냉각 기구와, 상기 처리실내를 배기하는 배기 기구와, 상기 처리실내에 처리 가스를 공급하는 처리 가스 공급 기구를 구비하는 것을 특징으로 하는 어닐 장치를 제공한다.
상기 제 1 관점에 있어서, 상기 가열원은, 이면측의 전체면이 상기 냉각 부재와 접촉하도록 마련된 고열전도성 절연 재료로 이루어지는 지지체와, 상기 지지체에 전체면이 접촉하도록 마련된 복수의 전극과, 상기 각 전극에 전체면이 접촉하도록 마련된 복수의 발광 소자를 가지는 발광 소자 어레이를 복수 구비하고 있는 구성으로 할 수 있다. 이 경우에, 상기 냉각 부재는 동제이며, 상기 지지체는 AlN제로 할 수 있다.
또한, 상기 냉각 부재와 상기 광투과 부재의 사이에 공간을 가지고, 상기 공간에 상기 가열원이 마련된 구성을 취할 수 있다. 상기 공간에는 투명 수지를 충전 할 수 있다. 상기 투명 수지는, 상기 냉각 부재측의 발광 소자를 포함하는 부분에 상대적으로 딱딱한 수지를 마련하고, 상기 광투과 부재측에 상대적으로 부드러운 수지를 마련하여 이루어지는 것으로 할 수 있다.
또한, 상기 공간에는 불활성 가스를 충전 할 수 있다. 또한, 상기 공간을 진공배기하는 진공배기 기구와, 상기 공간에 불활성 가스를 공급하는 불활성 가스 공급 기구를 또한 가지는 구성으로 할 수 있다.
또한, 상기 발광 소자 어레이를 가지는 구성에 있어서, 상기 냉각 부재와 상기 광투과 부재의 사이에 공간을 가지고, 상기 공간에 상기 가열원이 마련되어, 상기 공간에는, 상기 발광 소자와 상기 광투과 부재의 사이의 굴절율을 가지는 액체가 충전되고 있어, 상기 지지체는 상기 냉각 부재에 열전도층을 거쳐서 나사고정되어 있는 구성으로 할 수 있다. 이 경우에, 상기 지지체는, 그 외곽이 상기 냉각 부재에 접하도록 마련되어, 그 내측이 반사면으로 되어 있는 동시에, 액체 통류 구멍이 마련되어 있는 것이 바람직하다.
또한, 상기 제 1 관점에 있어서, 상기 가열원은, 표면에 상기 복수의 발광 소자를 지지하는 고열전도성 절연 재료로 이루어지는 지지체와, 상기 지지체의 이면측에 납땜질 또는 납접합된 고열전도성 재료로 이루어지는 열확산 부재와, 상기 지지체에 지지된 복수의 발광 소자를 덮도록 마련된 투명 수지로 이루어지는 수지층과, 상기 열확산 부재 및 상기 지지체를 관통하여 마련된, 상기 발광 소자에 급전하기 위한 급전 전극이 유닛화되어 구성된 발광 소자 어레이를 복수 구비하고, 상기 발광 소자 어레이는, 고열전도성 페이스트를 거쳐서 상기 냉각 부재에 나사고정되어 있는 구성이라 할 수 있다. 이 경우에, 상기 냉각 부재 및 상기 열확산 부재를 동제(銅製)로 하고 상기 지지체를 AlN제로 할 수 있다.
또한, 상기 발광 소자 어레이의 상기 수지층과, 상기 광투과 부재의 사이에 공간을 가져, 그 공간을 진공배기하는 진공배기 기구를 구비하도록 할 수 있다. 상기 진공배기 기구는, 상기 공간에 연결되는 배기로와, 상기 배기로에 마련된 버퍼 공간과, 상기 배기로 및 상기 버퍼 공간을 거쳐서 상기 공간을 진공배기하는 펌프를 가지는 구성으로 할 수 있다.
또한, 상기 냉각 부재는, 상기 각 발광 소자 어레이를 부착하는 복수의 부착부를 가지고, 상기 부착부는, 상기 발광 소자 어레이의 주위를 둘러싸는 동시에 상기 광투과 부재에 접하도록 마련된 스페이서 기능을 가지는 프레임부재를 가지는 구성으로 할 수 있다.
또한, 상기 냉각 부재를 거쳐서 상기 급전 전극에 접속되어, 전원으로부터 급전 전극에 급전하는 급전 부재를 또한 가지는 구성으로 할 수 있다.
본 발명의 제 2 관점에서는, 피처리체가 수용되는 처리실과, 피처리체의 적어도 한쪽면에 면하도록 마련되어, 피처리체에 대하여 광을 조사하는 복수의 발광 소자를 가지는 가열원과, 상기 가열원에 대응해서 마련되어, 상기 발광 소자로부터의 광을 투과하는 광투과 부재와, 상기 가열원을 지지하는 가열원 지지 부재와, 상기 가열원 지지 부재의 이면측에서 상기 가열원 지지 부재를 지나 상기 발광 소자에 급전하는 급전 기구와, 상기 처리실내를 배기하는 배기 기구와, 상기 처리실내에 처리 가스를 공급하는 처리 가스 공급 기구를 구비하는 것을 특징으로 하는 어닐 장치를 제공한다.
상기 제 2의 관점에 있어서, 상기 가열원은, 상기 가열원 지지 부재에 마련된 지지체와, 상기 지지체상에 형성된 복수의 전극과, 상기 각 전극상에 형성된 복수의 발광 소자와, 상기 발광 소자에 급전하는 급전 전극을 가지는 발광 소자 어레이를 복수 구비하고, 상기 급전 기구는, 상기 발광 소자 어레이의 급전 전극에 접속되어 상기 가열원 지지 부재 내로 연장하는 복수의 전극막대와, 각 전극막대에 급전하는 복수의 급전 부재를 가지는 구성으로 할 수 있다. 또한, 상기 전극막대와 상기 급전 부재의 사이는 스프링 핀으로 콘택트되는 구성으로 할 수 있다.
상기 발광 소자 어레이에 마련된 복수의 발광 소자는, 복수의 급전 영역에 분할되어 배치되고, 상기 급전 전극은 각 급전 영역에 대응해서 복수 마련되고, 복수의 급전 전극은, 직선상에 배열되어 있는 구성으로 할 수 있다. 이 경우에, 상기 급전 전극은, 복수의 음극과 공통된 양극을 가지는 구성으로 할 수 있다. 또한, 상기 급전 영역에 있어서의 복수의 발광 소자는, 시리얼에 접속된 시리얼 접속조가 복수 패러랠에 마련된 구성으로 할 수 있다.
상기 제 1 및 제 2의 관점에 있어서, 상기 발광 소자로서는, 발광 다이오드를 이용할 수 있다.
본 발명의 제 1의 관점에 의하면, 가열원에 직접 접촉하도록 고열전도성 재료로 이루어지는 냉각 부재를 마련하고, 이 냉각 부재를 냉각 기구에 의해 냉각 매체로 냉각하도록 했으므로, 발광 소자보다도 열용량이 큰 냉각 부재에 의해 발광 소자를 유효하게 냉각할 수 있어, 열의 영향에 의한 발광량의 저하에 기인하는 광에너지 효율이 낮다는 문제가 발생하지 않고 안정된 성능을 유지할 수 있다.
본출원인은 먼저, 발광 소자인 LED 소자를 냉각 매체로 직접 냉각함으로써 광에너지 효율의 문제를 해결할 수 있는 기술에 대해서 특허출원 하였다(특허출원 2006-184457). 그러나, 이 기술에서는, 액체의 냉각 매체를 LED에 직접 접촉시켜 냉각하므로 냉각 효율을 높게 할 수는 있으나, 효율적으로 냉각하기 위해서는 LED의 발광면에 냉각 매체를 접촉시킬 필요가 있어, 발광면에 기포가 부착되어 조사 효율을 저하시킬 우려가 있다는 문제나, 발광면에 항상 저온의 냉각 매체를 공급하지 않으면 냉각 효율이 떨어지므로 다량의 냉각 매체를 순환시킬 필요가 있다는 문제가 있다. 이에 대하여 본 발명의 제 1의 관점에서는, 동과 같은 고열전도성 재료로 이루어지는 냉각 부재를 냉각 매체에 의해 냉각하여 냉열을 축적하고, 이 축적된 냉열에 의해 발광 소자를 냉각하므로, 어닐시에 냉각 매체를 다량으로 순환시키지 않아도 축적된 냉열로 충분히 LED 냉각할 수 있다. 또한, 냉각 매체를 발광 소자의 발광면에 접촉시킬 필요가 없으므로 기포의 문제도 발생하지 않는다.
본 발명의 제 2 관점에 의하면,가열원 지지 부재 이면측에서 상기 가열원 지지 부재를 지나 상기 발광 소자에 급전하므로, 다수의 발광 소자에 대하여 간단히 급전할 수 있다.
도 1은 본 발명의 일실시 형태에 따른 어닐 장치의 개략구성을 나타내는 단면도.
도 2는 도 1의 어닐 장치의 가열원을 확대해서 나타내는 단면도.
도 3은 도 1의 어닐 장치의 LED에 급전하는 부분을 확대해서 나타내는 단면도.
도 4는 도 1의 어닐 장치의 제어 보드를 도시한 도면.
도 5는 도 1의 어닐 장치의 LED 어레이의 구체적인 LED의 배열 및 급전 수법을 도시한 도면.
도 6은 LED의 접속 형태를 설명하기 위한 도면.
도 7은 도 1의 어닐 장치의 가열원을 나타내는 저면도.
도 8은 도 1의 어닐 장치의 변형예의 요부를 나타내는 단면도.
도 9는 도 1의 어닐 장치의 다른 변형예의 요부를 나타내는 단면도.
도 10은 도 1의 어닐 장치의 또한 다른 변형 예의 요부를 나타내는 단면도.
도 11은 도 1의 어닐 장치의 다른 변형예의 요부를 나타내는 단면도.
도 12는 도 1의 어닐 장치의 또한 다른 변형예의 요부를 나타내는 단면도.
도 13은 도 12의 어닐 장치에 있어서, LED 어레이를 장착한 후의 냉각 부재와 광투과 부재 사이의 공간을 진공배기하는 기구를 도시한 도면.
도 14(a) ~ 14(e)는 도 12의 어닐 장치에 있어서, LED 어레이 및 냉각 부재의 조립, 및 LED 어레이의 장착 순서를 도시한 도면.
이하, 첨부 도면을 참조하면서 본 발명의 실시 형태에 대해서 설명한다. 여기에서는, 표면에 불순물이 주입된 웨이퍼를 어닐하기 위한 어닐 장치를 예로 들어 설명한다.
도 1은 본 발명의 일실시 형태에 따른 어닐 장치의 개략구성을 나타내는 단면도, 도 2는 도 1의 어닐 장치의 가열원을 확대하여 나타내는 단면도, 도 3은 도 1의 어닐 장치의 LED에 급전하는 부분을 확대해서 나타내는 단면도이다. 이 어닐 장치(100)는, 기밀히 구성되고, 웨이퍼(W)가 반입되는 처리실(1)을 가지고 있다.
처리실(1)은, 웨이퍼(W)가 배치되는 원주형상의 어닐 처리부(1a)와 어닐 처리부(1a)의 외측에 도넛 형상으로 마련된 가스 확산부(1b)를 가지고 있다. 가스 확산부(1b)는 어닐 처리부(1a)보다도 높이가 높게 되어 있고, 처리실(1)의 단면은 H형상을 하고 있다. 처리실(1)의 가스 확산부(1b)는 챔버(2)에 의해 규정되어 있다. 챔버(2)의 상벽(2a) 및 저벽(2b)에는 어닐 처리부(1a)에 대응하는 원형의 구멍(3a, 3b)이 형성되어 있고, 이들 구멍(3a, 3b)에는 각각 동(銅) 등의 고열전도성 재료로 이루어지는 냉각 부재(4a, 4b)가 끼워 넣어져 있다. 냉각 부재(4a, 4b)는 플랜지부(5a, 5b)를 가지며, 플랜지부(5a, 5b)와 챔버(2)의 상벽(2a) 및 저벽(2b)에 시일 부재(6a, 6b)를 거쳐서 밀착되어 있다. 그리고, 이 냉각 부재(4a, 4b)에 의해 어닐 처리부(1a)가 규정되어 있다.
처리실(1)에는, 어닐 처리부(1a)내에서 웨이퍼(W)를 수평으로 지지하는 지지 부재(7)가 마련되어 있고, 이 지지 부재(7)는 도시하지 않는 승강 기구에 의해 웨이퍼(W)를 교환 시에 승강 가능하게 되어 있다. 또한, 챔버(2)의 상벽(2a)에는, 도시하지 않는 처리 가스 공급 기구로부터 소정의 처리 가스가 도입되는 처리 가스 도입구(8)가 마련되고, 이 처리 가스 도입구(8)에는 처리 가스를 공급하는 처리 가스 배관(9)이 접속되어 있다. 또한, 챔버(2)의 저벽에는 배기구(10)가 마련되고, 이 배기구(10)에는 도시하지 않는 배기 장치에 연결되는 배기 배관(11)이 접속되어 있다. 또한, 챔버(2)의 측벽에는, 챔버(2)에 대한 웨이퍼(W)의 반출입을 실행하기 위한 반출입구(12)가 마련되어 있고, 이 반출입구(12)는 게이트밸브(13)에 의해 개폐 가능하게 되어 있다. 처리실(1)에는, 지지 부재(7)상에 지지된 웨이퍼(W)의 온도를 측정하기 위한 온도 센서(14)가 마련되어 있다. 또한, 온도 센서(14)는 챔버(2)의 외측의 계측부(15)에 접속되어 있고, 이 계측부(15)로부터 후술하는 프로세스 컨트롤러(60)로 온도 검출 신호가 출력되게 되어 있다.
냉각 부재(4a, 4b)의 지지 부재(7)에 지지된 웨이퍼(W)에 대향하는 면에는, 지지 부재(7)에 지지되어 있는 웨이퍼(W)에 대응하도록 원형의 오목부(16a, 16b)가 형성되어 있다. 그리고, 이 오목부(16a, 16b)내에는, 냉각 부재(4a, 4b)에 직접 접촉하도록 발광 다이오드(LED)를 탑재한 가열원(17a, 17b)이 배치되어 있다.
냉각 부재(4a, 4b)의 웨이퍼(W)와 대향하는 면에는, 오목부(16a, 16b)를 덮도록, 가열원(17a, 17b)에 탑재된 LED로부터의 광을 웨이퍼(W)측에 투과하는 광투과 부재(18a, 18b)가 나사고정되어 있다. 광투과 부재(18a, 18b)는 LED로부터 사출되는 광을 효율적으로 투과하는 재료가 이용되고, 예를 들면 석영이 이용된다. 또한, 오목부(16a)와 광투과 부재(18a)로 형성되는 공간 및 오목부(16b)와 광투과 부재(18b)로 형성되는 공간에는 투명한 수지(20)(도 1, 3참조)가 충전되어 있다. 적용 가능한 투명한 수지(20)로서는, 실리콘 수지나 에폭시 수지를 들 수 있다. 수지의 충전 시에는 수지내에 기포가 남지 않도록, 공간을 진공배기하면서 충전하는 것이 바람직하다.
수지(20)는, 메인터넌스를 고려하면, LED측에 딱딱한 수지를 이용하고, 광투과 부재(18a, 18b)측에 부드러운 수지를 이용하는 것이 바람직하다. 이것은, 예컨대 LED의 일부를 교환할 경우, 모두가 딱딱한 수지이면 광투과 부재(18a, 18b)를 떼어내는 것이 곤란하게 되고, 반대로 모두 부드러운 수지이면 광투과 부재(18a, l8b)를 떼어냈을 때에 LED가 수지에 끌려가듯이 제거되어버려 재이용이 곤란하게 된다는 단점이 있다. 반면에, 이와 같이 2층 구조로 함으로써, 광투과 부재(18a, 18b)를 떼어내는 것이 쉬워지고, 또한 그 때에 LED를 딱딱한 수지로 보호 할 수 있 다.
냉각 부재(4a, 4b)에는 냉각 매체 유로(21a, 21b)가 마련되어 있고, 그 중에, 냉각 부재(4a, 4b)를 0도 이하, 예를 들면 -50도정도로 냉각할 수 있는 액체형상의 냉각 매체, 예컨대 불소계 불활성액체(상품명 플러리너트, 갈덴 등)가 통류된다. 냉각 부재(4a, 4b)의 냉각 매체 유로(21a, 21b)에는 냉각 매체 공급 배관(22a, 22b)과, 냉각 매체 배출 배관(23a, 23b)이 접속되어 있다. 이에 의해, 냉각 매체를 냉각 매체 유로(21a, 21b)로 순환시켜서 냉각 부재(4a, 4b)를 냉각 하는 것이 가능하게 되어 있다.
또한, 챔버(2)에는 냉각수유로(25)가 형성되어 있고, 이 중에 상온의 냉각수가 통류하도록 되어 있어, 이에 의해 챔버(2)의 온도가 과도하게 상승하는 것을 방지하고 있다.
가열원(17a, 17b)은, 도 2에 확대해서 도시하는 바와 같이, 절연성을 가지는 고열전도성 재료, 전형적으로는 AlN세라믹으로 이루어지는 지지체(32)에 다수의 LED(33)가 탑재된 복수의 LED 어레이(34)로 이루어지고, 이들 LED 어레이(34)의 이면이, 가열원(17a)에서는 냉각 부재(4a)의 하면에 대하여, 또 가열원(17b)에서는 냉각 부재(4b)의 상면에 대하여, 예를 들면 땜납에 의해 전체면에 접촉되어 있다. LED 어레이(34)의 지지체(32)와 LED(33)의 사이에는 동에 도금한 것 등의 도전성이 높은 전극(35)이 전체면 접촉한 상태로 마련되어 있다. 또한, 1개의 LED(33)과 인접하는 LED(33)의 전극(35)의 사이는 와이어(36)로 접속되어 있다. 이와 같이, 냉각 매체로부터 열전도율이 높은 냉각 부재(4a, 4b)에 고효율로 전달한 냉열이, 전면 접촉하고 있는 열전도성이 높은 지지체(32), 전극(35)을 거쳐서 LED(33)에 도달하므로, 지극히 고효율로 LED(33)가 냉각된다.
냉각 부재(4a)의 상방 및 냉각 부재(4b)의 하방에는, 각각 LED(33)에의 급전 제어를 실행하기 위한 제어 박스(37a, 37b)가 마련되어 있고, 이들에는 도시하지 않는 전원으로부터의 배선이 접속되어, LED(33)에의 급전을 제어하게 되어 있다.
한편, 도 3에 확대해서 도시하는 바와 같이, 전극(35)에는 냉각 부재(4a, 4b)의 내부를 통해서 연장하는 전극막대(38)가 접속되어 있다(도 2에서는 전극막대(38)는 도시하지 않음). 전극막대(38)는, LED 어레이(34)마다 복수개, 예컨대 8개(도 1, 3에서는 2개만 도시)가 마련되어 있고, 전극막대(38)은 절연재료로 이루어지는 보호 커버(38a)로 덮어져 있다. 전극막대(38)는, 전극(35)에 근접하는 부분에서 냉각 부재(4a)의 상단부 및 냉각 부재(4b)의 하단부까지 연장하고, 거기에서 수납 부재(39)와 나사고정되어 있다. 수납 부재(39)와 냉각 부재(4a, 4b)의 사이에는 절연링(40)이 개재되어 있다. 또한, 전극막대(38)의 선단부는 전극(35)의 하나와 납땜질로 접속되어 있다. 여기에서, 보호 커버(38a)와 냉각 부재(4a)(4b)의 사이, 보호 커버(38a)와 전극막대(38) 사이의 간격은 납땜되어 있어, 이른바 피드 스루우를 형성하고 있다.
제어 박스(37a, 37b)내에는, 복수의 제어 보드(42)가 마련되어 있다. 이 제어 보드(42)는, 도 4의 (a),(b)에도 도시하는 바와 같이, 전극막대(38)에 대응하는 급전 부재(41)가 접속되는 접속부(42a)와, 전원으로부터의 배선이 접속되는 급전 커넥터(43)를 가지고 있다. 급전 부재(4l)는 하방으로 연장하고, 각 전극막대(38) 에 부착된 수납 부재(39)에 접속되어 있다. 급전부재(41)는 절연재료로 이루어지는 보호 커버(44)로 덮어져 있다. 급전 부재(41)의 선단에는 포고핀(스프링 핀)(41a)이 마련되어 있고, 이 각 포고핀(41a)이 대응하는 수납 부재(39)에 접촉하는 것에 의해, 제어 박스(37a, 37b)로부터 급전 부재(41), 전극막대(38) 및 가열원(17a, 17b)의 전극(35)을 거쳐서 각 LED(33)에 급전되게 되어 있다. 이렇게 하여 급전되는 것에 의해 LED(33)가 발광하고, 그 광에 의해 웨이퍼(W)를 표리면으로부터 가열함으로써 어닐 처리가 실행된다. 포고핀(41a)은 스프링에 의해 수납 부재(39)측으로 부세되어 있으므로, 제어 보드(42)의 부착 위치가 어긋나 있는 등의 경우에도 확실하게 급전 부재(41)와 전극막대(38)의 콘택트가 되도록 되어 있다. 또한, 도 4에서는, 급전 부재(41) 3개가 그려져 있으나, 이것은 예시에 불과하다.
LED 어레이(34)는, 도 5에 도시하는 바와 같이 육각형상을 하고 있다. 이 LED 어레이(34)의 구체적인 LED(33)의 배열 및 급전 수법을 도 5에 나타낸다. LED 어레이(34)에 있어서는, 각 LED(33)에 충분한 전압을 공급하고, 또한 급전 부분의 면적 로스를 얼마나 적게 하여 탑재하는 LED(33)의 수를 증가시킬지가 지극히 중요하다. 우선, 충분한 전압을 급전하기 위해서, LED 어레이(34)를 6개의 급전 영역으로 나누고 있다. 구체적으로는, 육각형상의 LED 어레이(34)를 대향하는 2변의 중점끼리를 잇는 선으로 2등분하여 2개의 영역(341, 342)을 형성하고, 이들 영역(341, 342)을 각각 3개의 급전 영역(341a, 341b, 341c) 및 (342a, 342b, 342c)로 나눈다. 이 때의 급전 영역의 나누는 방법은, 영역(341)을 예로 들면, 6각형의 이등분되어있지 않은 인접하는 2변과 이들 2변의 단부를 맺는 직선으로 형성되는 거 의 3각형의 영역이 급전 영역(341a)이며, 나머지의 영역을 6각형의 이등분된 변에 대하여 평행한 직선으로 거의 이등분한 영역이 급전 영역(341b, 341c)이다. 영역(342)도 동일하게, 거의 3각형의 영역이 급전 영역(342a)이며, 나머지의 영역을 거의 이등분한 영역이 급전 영역(342b, 342c)이다.
이들 급전 영역에 급전하기 위한 전극으로서, 영역(341)측에는, 3개의 음극(51a, 51b, 51c)과 공통된 하나의 양극(52)이 일직선으로 배열되어 있고, 영역(342)측에는, 3개의 음극(53a, 53b, 53c)과 공통된 하나의 양극(54)이 일직선으로 배열되어 있다. 이렇게 일직선으로 배열되는 것은, 전극막대(38)가 냉각 부재(4a, 4b)의 냉각 매체 유로(21a, 21b)의 사이의 영역에 마련될 필요가 있기 때문이다.
그리고, 공통된 양극(52)에서는, 급전 영역(341a, 341b, 342c)에 급전되고, 공통된 양극(54)에서는 급전 영역(342a, 342b, 341c)에 급전되게 되어 있다.
각 급전 영역에는 LED(33)가 약 400개씩 배열되어 있다. 그리고, 각 급전 영역의 LED(33)은, 도 6에 도시하는 바와 같이 직렬로 접속된 조가 2조 병렬로 배치되어 있다. 이렇게 하는 것에 의해, LED의 각각의 편차 및 전압의 격차를 억제 할 수 있다.
이러한 구조의 LED 어레이(34)는, 예컨대 도 7에 도시하는 바와 같이 배치된다. 1개의 LED 어레이(34)에는, 2000∼5000개정도, 상술한 예에서는 약 2400개의 LED(33)가 탑재된다. LED(33)로서는, 방사되는 광의 파장이 자외광∼근적외광의 범위, 바람직하게는 0.36∼1.0μm의 범위인 것이 이용된다. 이러한 0.36∼1.0μm 의 범위의 광을 방사하는 재료로서는 GaN, GaAs 등을 베이스로 한 화합물 반도체가 예시된다.
또한, 냉각 부재(4a, 4b)는 냉각되어 있기 때문에, 급전 부재(41)의 배치 영역은 그 냉열에 의해 저온으로 되어 있어, 습도가 높은 공기가 존재할 경우에는 급전 부재(41)에 결로하여 전기적 장해를 일으킬 우려가 있다. 그 때문에, 제어 박스(37a, 37b)와 냉각 부재(4a, 4b) 사이의 공간에 가스 배관(45a, 45b)(도 1참조)을 거쳐서 건조 가스를 도입하게 되어 있다.
어닐 장치(100)의 각 구성부는, 도 1에 도시하는 바와 같이 마이크로 프로세서(컴퓨터)를 구비한 프로세스 컨트롤러(60)에 접속되어 제어되는 구성으로 되어 있다. 예컨대, 상기 제어 박스(37a, 37b)의 급전 제어나, 구동계의 제어, 가스 공급 제어 등이 이 프로세스 컨트롤러(60)에서 실행된다. 프로세스 컨트롤러(60)에는, 공정 관리자가 어닐 장치(100)를 관리하기 때문에 커맨드의 입력 조작 등을 실행하는 키보드나, 어닐 장치(100)의 가동 상황을 가시화해서 표시하는 디스플레이 등으로 이루어지는 유저 인터페이스(61)가 접속되어 있다. 또한, 프로세스 컨트롤러(60)에는, 어닐 장치(100)에서 실행되는 각종 처리를 프로세스 컨트롤러(60)의 제어로 실현하기 위한 제어 프로그램이나, 처리 조건에 따라 어닐 장치(100)의 각 구성부에 처리를 실행시키기 위한 프로그램 즉 레시피를 저장하는 것이 가능한 기억부(62)가 접속되어 있다. 레시피는 하드 디스크나 반도체 메모리에 기억되어 있어도 좋고, CDROM, DVD 등의 가반성의 기억 매체에 수용된 상태로 기억부(62)의 소정위치에 세트되어도 좋다. 또한, 다른 장치로부터, 예컨대 전용 회선을 거쳐서 레시피를 적절히 전송시키도록 해도 좋다. 그리고, 필요에 따라,유저 인터페이스(61)로부터의 지시 등으로 임의의 레시피를 기억부(62)로부터 불러내어 프로세스 컨트롤러(60)에 실행시킴으로써, 프로세스 컨트롤러(60)의 제어하에서, 어닐 장치(100)에서의 원하는 처리가 실행된다.
다음으로, 이상과 같은 어닐 장치(100)에 있어서의 어닐 처리 동작에 대해서 설명한다. 우선, 게이트밸브(13)를 열림으로 하여 반출입구(12)로부터 웨이퍼(W)를 반입하고, 지지 부재(7)상에 탑재한다. 그 후, 게이트밸브(13)를 닫아 처리실(1)내를 밀폐 상태로 하여 배기구(11)를 거쳐 도시하지 않는 배기 장치에 의해 처리실(1)내를 배기하는 동시에, 도시하지 않는 처리 가스 공급 기구로부터 처리 가스 배관(9) 및 처리 가스 도입구(8)를 거쳐서 소정의 처리 가스, 예컨대 아르곤 가스 또는 질소 가스를 처리실(1)내에 도입하고, 처리실(1)내의 압력을 예컨대 100∼10000Pa의 범위내의 소정의 압력으로 유지한다.
한편, 냉각 부재(4a, 4b)는, 냉각 매체 유로(21a, 21b)에 액체형상의 냉각 매체, 예컨대 불소계 불활성액체(상품명 플러리너트, 갈덴 등)를 순환시켜, LED 소자(33)를 0도 이하의 소정의 온도, 바람직하게는 -50도 이하의 온도로 냉각한다.
그리고, 도시하지 않는 전원으로부터 제어 박스(37a, 37b), 급전 부재(41), 전극막대(38), 전극(35)을 거쳐서, LED(33)에 소정의 전류를 공급하여 LED(33)를 점등시킨다.
여기서, LED(33)를, 상온에 유지했을 경우에는, LED(33) 자신의 발열등에 의해 그 발광량이 저하하지만, 본 실시형태에서는, 냉각 부재(4a, 4b)에 냉각 매체를 흐르게 하여, 도 2에 도시하는 바와 같이 냉각 부재(4a, 4b), 지지체(32), 전극(35)을 거쳐서 LED(33)을 냉각하므로, LED(33)를 효율적으로 냉각할 수 있다.
상술한 특허출원 2006-184457에 기재된 기술에서는 액체의 냉각 매체를 LED에 직접 접촉시켜서 냉각하므로 냉각 효율을 높게 할 수는 있으나, 효율적으로 냉각하기 위해서는 LED의 발광면에 냉각 매체를 접촉시킬 필요가 있어, 발광면에 기포가 부착되어 조사 효율을 저하시킬 우려가 있다. 또한, 발광면에 항상 저온의 냉각 매체를 돌리지 않으면 냉각 효율이 떨어지므로 다량의 냉각 매체를 순환시킬 필요가 있다.
이에 대하여, 본 발명에서는, 동과 같은 고열전도성 재료로 이루어지는 냉각 부재(4a, 4b)를 냉각 매체에 의해 냉각하여 냉열을 축적하고, 이 축적한 냉열에 의해 LED(33)를 냉각한다. 냉각 부재(4a, 4b)는 LED(33)에 비해 훨씬 열용량이 크고, 또한 냉각 부재(4a, 4b)의 냉열을 열전도성이 높게 또한 전체면이 접촉된 전극(35) 및 지지체(32)를 거쳐서 LED(33)에 공급하여 냉각하므로, 어닐할 때에 냉각 매체를 다량으로 순환시키지 않아도 축적된 냉열로 충분히 LED(33)을 냉각할 수 있다. 또한, 냉각 매체를 LED(33)의 발광면에 접촉시킬 필요가 없으므로 기포의 문제도 발생하지 않는다. 어닐 시간은 1장의 웨이퍼당 1초정도이며, 웨이퍼의 교체 시간이 30초정도이기 때문에, 웨이퍼의 교체 시간인 30초 동안 냉각 부재(4a, 4b)를 냉각하여, 어닐할 때에 LED(33)가 100도 이하가 되도록 충분히 설계 할 수 있다.
또한, 종래의 LED를 이용한 어닐 장치에서는, 진공으로 유지되는 처리실내와 대기분위기의 LED공간의 차압을 석영 등으로 이루어지는 광투과 부재에 의해 받고 있었기 때문에, 광투과 부재를 두껍게 할 필요가 있었지만, 이 실시 형태에서는, 처리실(1)과 대기의 차압은, 금속제의 냉각 부재(4a, 4b)로 받으므로, 광투과 부재(18a, 18b)을 얇게 할 수 있다. 이렇게 광투과 부재(18a, 18b)를 얇게 함으로써 해당 부재에의 축열이 억제되어, 냉각 부재(4a, 4b)를 거쳐서 냉각되는 냉각 부분과, 처리실(1)내의 가열 부분의 사이의 열절연을 충분히 도모할 수 있다. 열절연을 한층 양호하게 하는 관점에서는, 광투과 부재(18a, 18b)의 고정 나사를 열전도율이 작은 수지나 세라믹 등으로 하면 좋다. 또한, 이렇게 광투과 부재(18a, 18b)를 얇게 함으로써, 웨이퍼(W)로부터 냉각 부재(4a, 4b)에 효율적으로 열복사되므로, 강온 특성이 양호해진다.
또한, LED 어레이(34)의 지지체(32)로서 AlN을 이용함으로써, 발광시의 LED의 광을 반사하고, 또한 1000도 정도로 가열된 웨이퍼(W)로부터의 복사열을 흡수시킬 수 있으므로, 이에 의해서도 승온 강온 특성을 양호하게 할 수 있다.
또한, 급전부재(41) 및 전극막대(38)를 거쳐서 냉각 부재(4a, 4b)의 이면측으로부터 LED 어레이(34)의 LED(33)에 급전하므로, 다수의 LED(33)에 비교적 간편하게 급전할 수 있다. 또한, 포고핀(41a)을 이용하여 급전 부재(41)와 수납 부재(39)를 접촉시키므로, 제어 보드(42)의 부착 위치가 어긋나 있는 등의 경우에도 스프링의 부세력에 의해 간단히 또는 확실하게 급전 부재(41)와 전극막대(38)의 콘택트를 취할 수 있다.
다음으로, 상기 실시 형태에 따른 어닐 장치의 몇 개의 변형예에 대해서 설명한다.
도 8의 예에서는, 냉각 부재(4a, 4b)와 광투과 부재(18a, 18b)의 사이의 공간은 수지를 봉입하는 대신에 Ar가스(46)를 봉입하도록 하고 있다. 이 경우에는, 피드 스루우를 통해서, 약간의 대기가 유입하는 일도 예상할 수 있으므로, LED 어레이(34)에 방습 코팅을 실시하는 것이 바람직하다.
도 9의 예에서는, 냉각 부재(4a, 4b)와 광투과 부재(18a, 18b) 사이의 공간을 진공배기하는 진공 펌프(48)와, 이 공간에 Ar가스 등을 도입하는 가스 도입 기구(49)를 마련하여, 공간내를 소정의 진공분위기로 하고 있다.
도 10의 예에서는, 전극(35)과 LED(33)를 와이어(36)로 연결하는 대신에, LED(33)의 발광면에 ITO(Indium Tin Oxide)나 IZO(Indium Zinc Oxide) 등의 투명 전극(50)을 마련해서 이 투명 전극(50)과 광투과 부재(18a, 18b)를 접착시키고 있다.
또한, 냉각 매체의 비점에 따라 냉각시의 냉각 매체의 온도를 조정하는 것에 의해, 비등 열전도를 생기게 할 수 있다. 비등 열전도는, 냉각 매체를 비점보다도 높은 온도가 되도록 하여, 냉각 매체의 온도에 따른 냉각 외에, 증발 잠열에 의한 냉각도 생기게 할 수 있어, 지극히 고효율의 냉각을 실현 할 수 있다.
도 11의 예는, 광을 효율적으로 반출하는 것과 유지보수성을 고려한 것이다.
광을 효율적으로 반출하기 위해서는, 고체발광하고 있는 재료의 굴절율로부터 방사되는 공간의 굴절율로 서서히 변화시키는 것이 바람직하다. 그 때문에, 상기 도 1의 예에서는, LED(33)의 주위에는 실리콘 등의 수지를 충전하고, 또한 석영으로 이루어지는 광투과 부재를 마련하는 구조를 취하고 있다. 그러나, 이 경우에 는 메인터넌스 때문에 광투과 부재(18a, 18b)를 분리할 때, 젤 형상의 수지가 광투과부재(18a, 18b)와 함께 벗겨져서 정상인 LED도 파괴될 우려가 있어 메인터넌스성이 나쁘다고 하는 결점이 있다. 도 1에 나타낸 바와 같이 어닐 장치를 실현하기 위해서는, 몇십만개의 LED를 이용할 필요가 있고, 그 모두가 장기간 동작하는 것은 불가능하므로,적당한 유닛단위로 교환하는 것을 전제로 장치설계를 하는 것이 바람직하다.
유지보수성 만을 고려하면, 상술한 도 8에서 나타낸 바와 같은 기체 충전이 바람직하지만, 굴절율이 서서히 변화하지 않아 광효율의 관점에서 바람직하지 못하다.
그래서, 도 11의 예에서는, LED가 존재하고 있는 공간에, 기체가 녹아 있지 않는 또는 녹기 어려운 액체로서, 굴절율이 LED와 광투과 부재를 구성하는 석영의 중간의 값을 가지며, 증기압이 낮은 것, 예컨대 플러리너트, 갈덴, 노벡 등의 액체(71)를 미리 탈기 처리해서 충전한다. 이 경우에, 이들 액체는 탈기해서 이용하는 것에 더해, 단순한 충전재로서 이용하고, 냉각 기능은 필요 없기 때문에, 기포는 발생하기 어려워, 기포에 의해 조사 효율이 저하할 우려는 적다.
그리고, 이 예에서는, LED 어레이(34)의 이면에 은 페이스트나 실리콘 그리스 등의 열전도성이 높은 열전도층(72)을 형성하고, LED 어레이(34)를 냉각 부재(4a, 4b)에 나사(73)에 의해 부착한다. 이에 의해, 메인터넌스나 교환시에는, 광투과 부재(18a, 18b)를 분리해서 액체(71)를 배출하고, 나사(73)를 푸는 것에 의해, LED 어레이(34)를 간단히 분리할 수 있다. 이 경우에, 나사(73)를 단독으로 이용해도 좋지만, 나사(73)에 와셔 또는 영률이 높은 Si3N4등으로 이루어지는 판 스프링을 개재시키는 것이 바람직하다.
또한, 이 예에서는, LED 어레이(34)에는, 광투과 부재(18a, 18b)에 도달하는 테두리(74)가 마련되고, 이것이 반사판 및 광투과 부재(18a, 18b)의 지지 부재로서의 기능을 가지도록 되어 있어, 이에 의해, 광효율을 보다 높여, 광투과 부재(18a, 18b)를 보다 얇게 할 수 있다. 또한, 테두리(74)에는, 액체(71)가 LED(33)가 존재하는 공간 전체에 퍼지도록 액체 통류구멍(75)이 형성되어 있다. 액체(71)의 충전은, 광투과 부재(18a, 18b)를 장착한 후에 적당한 방법으로 실행한다.
도 12의 예는, 냉각 효율을 떨어뜨리는 일없이, 유지보수성, 특히 LED의 교환의 용이성을 또한 높인 예에 대해서 설명한다.
상술한 바와 같이 LED를 고파워로 발광시킬 때에는, 그 냉각은 매우 중요하며, 그 때문에 LED를 냉각면에 납땜 등으로 강력히 접착할 필요가 있다. 한편,LED를 이용하여 웨이퍼의 급속가열을 실행하는 장치의 경우에는, LED의 수리 교환이 매우 중요하여, 도 11의 구성보다 더욱 교환이 용이한 구성이 요구된다.
따라서, 도 12의 예에서는, 다수의 LED(33)를 지지하는 고열전도성 절연 재료인 AlN으로 이루어지는 지지체(32)와, 지지체(32)의 이면측에 납땜 또는 납접합된 고열전도성 재료인 Cu로 구성된 열확산 부재(81)와, 지지체(32)로 지지된 다수의 LED(33)를 덮도록 마련된 예컨대 실리콘계의 투명 수지(수지 렌즈 또는 수지 몰드)로 이루어지는 수지층(82)과, 열확산 부재(81) 및 지지체(32)에 각각 형성된 스루홀(81a) 및 (32a)에 삽입되어, 이들을 관통하여 마련된, LED(33)에 급전하기 위한 급전 전극(83)을 유닛화한 구성을 가지는 LED 어레이(34')가 복수 배열되어 가열원(17a)(또는 (l7b))이 구성되어 있다. 그리고, LED 어레이(34')는, 실리콘 그리스나 은 페이스트 등의 열전도성이 양호한 페이스트를 거쳐서 냉각 부재(4a) 또는 (4b)에, 나사(84)에 의해 나사고정 되어 있다. 냉각 부재(4a)(또는(4b))와 열확산 부재(81)의 사이는 시일 링(89)으로 시일되어 있다.
급전 전극(83)에는, 열확산 부재(81)의 이면측에 대응하는 위치에 부착 포트(85)가 마련되어 있고, 냉각 부재(4a) 및 (4b)(4a만 도시)를 관통한 급전 부재(41')가 부착 포트(85)에서 급전 전극(83)에 접속되게 되어 있다.
냉각 부재(4a) 및 (4b)에는, 각 LED 어레이(34')을 부착하는 부착부(86)가 복수 마련되어 있다. 이 부착부(86)는, 광투과 부재(18a) 또는 (18b)에 접하는 스페이서 기능을 가지는 프레임부재(87)를 가지고 있다. 이 프레임부재(87)는, LED 어레이(34') 부착 영역을 둘러 싸도록 마련되어 있다. 그리고, 부착부(86)에 부착된 LED 어레이(34')의 수지층(82)과 광투과 부재(18a)(또는(18b))의 사이에는 공간(88)이 존재하고, 그 공간은 진공으로 유지되게 되어 있다.
도 13에 도시하는 바와 같이 냉각 부재(4a)에는, 광투과 부재(18a)를 냉각 부재(4a)에 부착했을 때에 오목부(16a)에 대응하여 형성되는 공간(92)과 통하도록 마련된 통로(93)가 형성되고, 또한, 냉각 부재(4a)에는, 통로(93)에 연속하도록 배기관(94)이 접속되어 있어, 이들 통로(93)와 배기관(94)은 배기로를 구성하고 있다. 그리고, 배기관(94)의 도중에는, 배기관(94)보다도 큰직경인 버퍼 공간을 가 지는 버퍼 부재(95)가 마련되어 있어, 이들 통로(93), 배기관(94), 버퍼 부재(95)를 거쳐서 배기 장치(96)에 의해 공간(88)이 진공 배기되어, 진공 상태가 되게 되어 있다. 냉각 부재(4b)측도 동일하다. 공간(88)은 지극히 좁기 때문에, 통상의 배기를 실행해도 압력을 저하시키는 것이 곤란하지만, 이러한 버퍼 공간을 마련함으로써, 좁은 공간에서도 진공배기를 쉽게 실행할 수 있다.
또한, 프레임부재(87)에는 진공배기용의 구멍(91)이 형성되어 있고, 이 구멍(91)을 거쳐서 모든 공간(88)의 진공배기가 가능해진다.
도 1의 실시 형태에서는, 냉각 부재(4a)(4b)와 광투과 부재(18a)(18b)의 사이에 수지를 충전하고 있었지만, 충전량이 많아져 충전에 곤란성을 동반하는 동시에, 기포 등에 의한 LED의 효율 저하 등이 발생한다. 이 때문에,본 예에서는 수지층(82)은 LED(33)를 덮는 정도의 두께로 마련하고, 나머지 공간은 진공배기하도록 하는 것에 의해, 이러한 단점을 피한다.
다음으로, LED 어레이(34') 및 냉각 부재(4a)(4b)의 조립 및 LED 어레이(34')의 장착 순서에 대해서 도 14를 참조하여 설명한다.
우선, AlN제의 판재로부터 육각형상의 지지체(32)를 잘라내고, 급전 전극이나 나사의 삽입 구멍인 스루홀(32a)을 형성한다(도(14)(a)). 다음으로, 지지체(32)와 같은 형상을 가지고, 스루홀(32a)에 대응하는 위치에 스루홀(81a)을 형성한 동제의 열확산 부재(81)의 표면을 땜납 페이스트를 이용한 납땜질에 의해 지지체(32)의 이면에 붙인다(도 14(b)). 그리고, 스루홀(32a, 81a)에 지지체(32) 및 열확산 부재(81)를 관통하도록 급전 전극(83)을 삽입하고, 이것을 지지체(32)에 납 땜질한다(도 14(c)).
그 후, 지지체(32)의 표면에 땜납 페이스트를 칠하고, 그 위에 LED(33)을 탑재한 상태로 배치로(batch furnace)에서 열처리함으로써 납땜하고(도 14(d)), 또한 와이어(36)에 의해 본딩을 실행한다(도(14)(e)). 다음으로, LED(33)의 보호 및 굴절율의 조정을 위해, LED(33)을 덮도록 투명 수지(수지 렌즈 또는 수지 몰드)에 의한 수지층(82)을 형성하는 동시에, 급전 전극(83)과 스루홀(81a) 사이의 공간에 에폭시계 수지를 충전하여 진공 시일로 하고,LED 어레이(34')를 완성시킨다(도 14(f)). 한편,이와 병행하여 냉각 부재(4a)(4b)를 조립한다(도 14(g)).
그 후, 냉각 부재(4a)(4b)에 LED 어레이(34')를 장착한다(도 14(h)).  그리고, 급전 전극(83)에 급전 부재(41')를 접속하는 동시에, 나사(84)에 의해 LED 어레이(34')를 나사고정한다(도 14(i)).
이상의 순서에 의해, LED 어레이(34')의 장착이 종료되고, 그 후, 광투과 부재(18a, 18b)를 장착하여, 도 12의 상태로 한다.
이와 같이, 도 12에 나타낸 어닐 장치는, LED 어레이(34')을 유닛화하여, 나사(84)로 냉각 부재(4a)(4b)에 부착하도록 하고 있으므로, 장착 및 분리가 용이하고, LED(33)를 교환할 때에 LED 어레이(34')마다 쉽게 교환 할 수 있으므로, 메인터넌스성을 지극히 높게 할 수 있다. 또한, AlN제의 지지체(32)와 Cu제의 열확산 부재(81)를 납땜질(크림 땜납)에 의해 면접촉시켜, 열확산 부재(81)와 냉각 부재(4a)(4b)의 사이를 실리콘그리스나 은 페이스트 등의 고열전도성 페이스트로 면접촉시키므로, 열 저항이 낮고, LED(33)를 냉각할 능력이 높다.
또한, 냉각 부재(4a)(4b)와 광투과 부재(18a)(18b) 사이의 공간에 대해서, LED(33)의 장착 부분만을 수지층(82)으로 덮고, 나머지의 공간(88)을 진공배기하므로, 상술한 바와 같이 모두 수지로 매립할 경우의 곤란성이 회피되는 동시에, 수지층(82)이 없어 공간 전부를 진공배기했을 경우의 LED(33)와 진공의 굴절율의 차이에 의한 효율저하를 완화 할 수 있다. 즉, 수지층(82)을 거치는 것에 의해, LED(33), 수지층(82), 공간(88)으로 순차적으로 굴절율이 낮아지는 구조가 되어, 굴절율이 급격히 변화되는 것에 동반되는 전 반사가 발생하기 어려워져, 효율저하가 발생하지 않는다.
또, 본 발명은 상기 실시 형태에 한정되는 일없이, 여러가지 변형이 가능하다. 예컨대, 상기 실시 형태에서는, 피처리체인 웨이퍼의 양측에 LED를 가지는 가열원을 마련한 예에 대해서 설명했지만, 어느 한쪽에 가열원을 마련한 것이라도 좋다. 또한, 상기 실시 형태에서는 발광 소자로서 LED를 이용했을 경우에 대해서 나타냈지만, 반도체 레이저 등 다른 발광 소자를 이용하여도 좋다. 또한, 피처리체에 대해서도, 반도체 웨이퍼에 한하지 않고, FPD용 유리 기판 등의 다른 것을 대상으로 할 수 있다.
본 발명은, 불순물이 주입된 후의 반도체 웨이퍼의 어닐 처리 등, 급속가열이 필요한 용도에 바람직하다.

Claims (23)

  1. 피처리체가 수용되는 처리실과,
    피처리체의 적어도 한쪽의 면에 면하도록 마련되고, 피처리체에 대하여 광을 조사하는 복수의 발광 소자를 가지는 가열원과,
    상기 가열원에 대응하여 마련되고, 상기 발광 소자로부터의 광을 투과하는 광투과 부재와,
    상기 광투과 부재의 상기 처리실과 반대측을 지지하고, 상기 가열원에 직접 접촉하도록 마련된 고열전도성 재료로 이루어지는 냉각 부재와,
    상기 냉각 부재를 냉각 매체로 냉각하는 냉각 기구와,
    상기 처리실내를 배기하는 배기 기구와,
    상기 처리실내에 처리 가스를 공급하는 처리 가스 공급 기구를 구비하고,
    상기 가열원은, 이면측의 전체면이 상기 냉각 부재와 접촉하도록 마련된 고열전도성 절연 재료로 이루어지는 지지체와, 상기 지지체에 전체면이 접촉하도록 마련된 복수의 전극과, 상기 각 전극에 전체면이 접촉하도록 마련된 복수의 발광 소자를 가지는 발광 소자 어레이를 복수 구비하는 것을 특징으로 하는
    어닐 장치.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 냉각 부재는 동제(銅製)이며, 상기 지지체는 AlN제인 것을 특징으로 하는
    어닐 장치.
  4. 제 1 항에 있어서,
    상기 냉각 부재와 상기 광투과 부재 사이에 공간을 가지고, 상기 공간에 상기 가열원이 마련되어 있는 것을 특징으로 하는
    어닐 장치.
  5. 제 4 항에 있어서,
    상기 공간에는 투명 수지가 충전되는 것을 특징으로 하는
    어닐 장치.
  6. 제 5 항에 있어서,
    상기 투명 수지는, 상기 냉각 부재측의 발광 소자를 포함하는 부분에 상대적으로 딱딱한 수지를 마련하고, 상기 광투과 부재측에 상대적으로 부드러운 수지를 마련하여 이루어지는 것을 특징으로 하는
    어닐 장치.
  7. 제 4 항에 있어서,
    상기 공간에는 불활성 가스가 충전되는 것을 특징으로 하는
    어닐 장치.
  8. 제 7 항에 있어서,
    상기 공간을 진공배기하는 진공배기 기구와, 상기 공간에 불활성 가스를 공급하는 불활성 가스 공급 기구를 더 가지는 것을 특징으로 하는
    어닐 장치.
  9. 제 1 항에 있어서,
    상기 냉각 부재와 상기 광투과 부재 사이에 공간을 가지고, 상기 공간에 상기 가열원이 마련되고, 상기 공간에는, 상기 발광 소자의 재료와 상기 광투과 부재 사이의 굴절율을 가지는 액체가 충전되어 있고, 상기 지지체는 상기 냉각 부재에 열전도층을 거쳐서 나사고정되어 있는 것을 특징으로 하는
    어닐 장치.
  10. 제 9 항에 있어서,
    상기 지지체는, 그 외곽이 상기 냉각 부재에 접하도록 마련되고, 그 내측이 반사면으로 되어 있는 동시에, 액체통류 구멍이 마련되어 있는 것을 특징으로 하는
    어닐 장치.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 피처리체가 수용되는 처리실과,
    피처리체의 적어도 한쪽의 면에 면하도록 마련되고, 피처리체에 대하여 광을 조사하는 복수의 발광 소자를 가지는 가열원과,
    상기 가열원에 대응하여 마련되고, 상기 발광 소자로부터의 광을 투과하는 광투과 부재와,
    상기 가열원을 지지하는 가열원 지지 부재와,
    상기 가열원 지지 부재의 이면측으로부터 상기 가열원 지지 부재를 통해 상기 발광 소자에 급전하는 급전 기구와,
    상기 처리실 내를 배기하는 배기 기구와,
    상기 처리실 내에 처리 가스를 공급하는 처리 가스 공급 기구를 구비하고,
    상기 가열원은, 상기 가열원 지지 부재에 마련된 지지체와, 상기 지지체상에 형성된 복수의 전극과, 상기 각 전극상에 형성된 복수의 발광 소자와, 상기 발광 소자에 급전하는 급전 전극을 가지는 발광 소자 어레이를 복수 구비하고,
    상기 급전 기구는, 상기 발광 소자 어레이의 급전 전극에 접속되어 상기 가열원 지지부재 내로 연장되는 복수의 전극막대와, 각 전극막대에 급전하는 복수의 급전 부재를 가지는 것을 특징으로 하는
    어닐 장치.
  18. 삭제
  19. 제 17 항에 있어서,
    상기 전극막대와 상기 급전 부재의 사이는 스프링 핀으로 콘택트되는 것을 특징으로 하는
    어닐 장치.
  20. 제 17 항에 있어서,
    상기 발광 소자 어레이에 마련된 복수의 발광 소자는, 복수의 급전 영역으로 분할되어 배치되고, 상기 급전 전극은 각 급전 영역에 대응하여 복수 마련되고, 복수의 급전 전극은 직선 형상으로 배열되어 있는 것을 특징으로 하는
    어닐 장치.
  21. 제 20 항에 있어서,
    상기 급전 전극은, 복수의 음극과 공통된 양극을 가지는 것을 특징으로 하는
    어닐 장치.
  22. 제 20 항에 있어서,
    상기 급전 영역에 있어서의 복수의 발광 소자는, 직렬로 접속된 직렬 접속조가 복수 병렬로 마련되어 있는 것을 특징으로 하는
    어닐 장치.
  23. 제 1 항, 제 3 항 내지 제 10 항, 제 17 항, 또는 제 19 항 내지 제 22 항 중 어느 한 항에 있어서,
    상기 발광 소자는 발광 다이오드인 것을 특징으로 하는
    어닐 장치.
KR1020097004654A 2006-09-05 2007-08-31 어닐 장치 KR101059314B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP2006240420 2006-09-05
JPJP-P-2006-240420 2006-09-05
JPJP-P-2007-034417 2007-02-15
JP2007034417 2007-02-15
JP2007081609A JP5138253B2 (ja) 2006-09-05 2007-03-27 アニール装置
JPJP-P-2007-081609 2007-03-27
PCT/JP2007/067053 WO2008029742A1 (fr) 2006-09-05 2007-08-31 Appareil de recuit

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020117003539A Division KR20110022740A (ko) 2006-09-05 2007-08-31 어닐 장치

Publications (2)

Publication Number Publication Date
KR20090045314A KR20090045314A (ko) 2009-05-07
KR101059314B1 true KR101059314B1 (ko) 2011-08-24

Family

ID=39845645

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020117003539A KR20110022740A (ko) 2006-09-05 2007-08-31 어닐 장치
KR1020097004654A KR101059314B1 (ko) 2006-09-05 2007-08-31 어닐 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020117003539A KR20110022740A (ko) 2006-09-05 2007-08-31 어닐 장치

Country Status (5)

Country Link
US (2) US8246900B2 (ko)
JP (1) JP5138253B2 (ko)
KR (2) KR20110022740A (ko)
CN (1) CN101405842B (ko)
TW (1) TWI389170B (ko)

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5138253B2 (ja) * 2006-09-05 2013-02-06 東京エレクトロン株式会社 アニール装置
JP2009099925A (ja) * 2007-09-27 2009-05-07 Tokyo Electron Ltd アニール装置
WO2009041466A1 (ja) * 2007-09-27 2009-04-02 Tokyo Electron Limited アニール装置
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP2009253242A (ja) * 2008-04-11 2009-10-29 Tokyo Electron Ltd アニール装置
US20110174790A1 (en) * 2008-06-25 2011-07-21 Tokyo Electron Limited Annealing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5394730B2 (ja) * 2008-12-26 2014-01-22 東京エレクトロン株式会社 アニール装置およびアニール方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5526876B2 (ja) 2010-03-09 2014-06-18 東京エレクトロン株式会社 加熱装置及びアニール装置
BR112012028574A2 (pt) * 2010-05-07 2019-09-24 Pressco Ip Llc controle de irradiação de cubo de canto
JP5635378B2 (ja) * 2010-11-30 2014-12-03 日東電工株式会社 半導体ウエハ搬送方法および半導体ウエハ搬送装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI481058B (zh) * 2012-05-24 2015-04-11 Sunshine Pv Corp 薄膜太陽能電池的退火裝置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9318360B2 (en) * 2013-10-11 2016-04-19 Applied Materials, Inc. Linear high packing density for LED arrays
WO2015107009A1 (en) 2014-01-17 2015-07-23 Koninklijke Philips N.V. Heating system comprising semiconductor light sources
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6475054B2 (ja) * 2015-03-26 2019-02-27 日本碍子株式会社 半導体製造装置用部材
CN107660314A (zh) * 2015-05-13 2018-02-02 松下知识产权经营株式会社 太阳能电池组件的制造装置和太阳能电池组件的制造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6994313B2 (ja) * 2016-11-29 2022-01-14 東京エレクトロン株式会社 載置台及び電子デバイス検査装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125746A1 (en) 2016-12-30 2018-07-05 Frequency Therapeutics, Inc. 1h-pyrrole-2,5-dione compounds and methods of using them to induce self-renewal of stem/progenitor supporting cells
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7161854B2 (ja) * 2018-03-05 2022-10-27 東京エレクトロン株式会社 検査装置
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7042158B2 (ja) * 2018-05-23 2022-03-25 東京エレクトロン株式会社 検査装置及び温度制御方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11444245B2 (en) * 2018-10-22 2022-09-13 The Board Of Trustees Of The University Of Alabama Rapid layer-specific photonic annealing of perovskite thin films
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11109452B2 (en) 2019-11-14 2021-08-31 Applied Materials, Inc. Modular LED heater
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP7398935B2 (ja) 2019-11-25 2023-12-15 東京エレクトロン株式会社 載置台、及び、検査装置
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003077852A (ja) * 2001-09-03 2003-03-14 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62160981A (ja) 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd 石油タンカ−の改造法
KR920004854B1 (ko) 1988-06-14 1992-06-19 삼성전자 주식회사 멀티채널시스템의 페이지 업/다운 모드 수행방법
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JPH04207020A (ja) * 1990-11-30 1992-07-29 Fujitsu Ltd 半導体製造装置と半導体製造方法
US6121579A (en) * 1996-02-28 2000-09-19 Tokyo Electron Limited Heating apparatus, and processing apparatus
JP4207020B2 (ja) 2002-07-30 2009-01-14 コニカミノルタオプト株式会社 撮像レンズ
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP3989412B2 (ja) * 2002-10-21 2007-10-10 オリンパス株式会社 照明装置及び画像投影装置
US6998777B2 (en) * 2002-12-24 2006-02-14 Toyoda Gosei Co., Ltd. Light emitting diode and light emitting diode array
JP2006059931A (ja) * 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
JP5138253B2 (ja) * 2006-09-05 2013-02-06 東京エレクトロン株式会社 アニール装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003077852A (ja) * 2001-09-03 2003-03-14 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法

Also Published As

Publication number Publication date
US20120279944A1 (en) 2012-11-08
US20100038833A1 (en) 2010-02-18
CN101405842A (zh) 2009-04-08
JP5138253B2 (ja) 2013-02-06
TW200830354A (en) 2008-07-16
JP2008227435A (ja) 2008-09-25
KR20110022740A (ko) 2011-03-07
US8246900B2 (en) 2012-08-21
TWI389170B (zh) 2013-03-11
CN101405842B (zh) 2010-09-01
KR20090045314A (ko) 2009-05-07

Similar Documents

Publication Publication Date Title
KR101059314B1 (ko) 어닐 장치
JP5351479B2 (ja) 加熱源の冷却構造
KR101413840B1 (ko) 가열 장치 및 어닐링 장치
TWI693673B (zh) 具有利用led加熱的靜電夾具的設備及利用led加熱的靜電夾具
JP5394730B2 (ja) アニール装置およびアニール方法
JP5084420B2 (ja) ロードロック装置および真空処理システム
KR20100134643A (ko) 어닐링 장치
JP2015056624A (ja) 基板温調装置およびそれを用いた基板処理装置
WO2008029742A1 (fr) Appareil de recuit
KR20070004037A (ko) 피처리체의 처리 장치
JP6655996B2 (ja) 基板温調装置及び基板処理装置
US11587799B2 (en) Methods and apparatus for processing a substrate
WO2009116400A1 (ja) アニール装置および過熱防止システム
US20100263196A1 (en) Substrate processing apparatus, substrate processing method, and storage medium storing program for implementing the method
WO2008016116A1 (fr) Dispositif et procédé de recuit
JP2008060560A (ja) アニール装置およびアニール方法
CN115831780A (zh) 一种显示器件加工方法、显示器件及显示装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140721

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160721

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190730

Year of fee payment: 9