CN101405842B - 退火装置 - Google Patents

退火装置 Download PDF

Info

Publication number
CN101405842B
CN101405842B CN2007800094187A CN200780009418A CN101405842B CN 101405842 B CN101405842 B CN 101405842B CN 2007800094187 A CN2007800094187 A CN 2007800094187A CN 200780009418 A CN200780009418 A CN 200780009418A CN 101405842 B CN101405842 B CN 101405842B
Authority
CN
China
Prior art keywords
light
cooling
annealing device
heating source
emitting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2007800094187A
Other languages
English (en)
Other versions
CN101405842A (zh
Inventor
河西繁
宫下大幸
米田昌刚
铃木智博
田中澄
野村正道
清水美和
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority claimed from PCT/JP2007/067053 external-priority patent/WO2008029742A1/ja
Publication of CN101405842A publication Critical patent/CN101405842A/zh
Application granted granted Critical
Publication of CN101405842B publication Critical patent/CN101405842B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1864Annealing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Led Device Packages (AREA)
  • Physical Vapour Deposition (AREA)
  • Arrangement Of Elements, Cooling, Sealing, Or The Like Of Lighting Devices (AREA)
  • Led Devices (AREA)

Abstract

本发明提供一种不会发生因热的影响导致发光量下降而引起的光能效率低这样的问题,从而能够保持稳定的性能的退火装置。其具有收纳晶片W的处理室1;面对晶片W的面而设置的、具有向晶片W照射光的多个LED33的加热源17a、17b;与加热源17a、17b对应而设置的、透过来自发光元件33的光的光透过部件18a、18b;以支承光透过部件18a、18b的与处理室1相反侧、直接接触上述加热源17a、17b的方式设置的由高热传导性材料构成的冷却部件4a、4b;通过冷却介质对冷却部件4a、4b进行冷却的冷却机构。

Description

退火装置
技术领域
本发明涉及通过向半导体晶片等照射来自LED等的发光元件的光进行退火的退火装置。
背景技术
在半导体器件的制造中,对于作为被处理基板的半导体晶片(以下简称晶片),存在成膜处理、氧化扩散处理、改质处理、退火处理等各种热处理,但是,伴随着半导体器件的高速化、高集成化的要求,特别是离子注入后的退火,为了将扩散抑制在最小限度,需要更加高速的升降温。作为这种能够高速升降温的退火装置,已经提出一种使用LED(发光二极管)作为加热源的技术方案(例如,专利文献1)
但是,在使用LED作为上述退火装置的加热源的情况下,针对快速加热,必须产生大量的光能,因此必须高密度地安装LED。
但是,众所周知,LED由于热而发光量下降,通过高密度安装LED,如果LED本身的发热(投入能量中,未作为光提取的部分)等的影响增大,那么,无法从LED获得充足的发光量。但是,还未能获得有效地冷却LED稳定地发挥性能的方法。
另外,由于这种退火装置使用多个LED,因此,供电机构有变复杂的倾向,期待更加简易的供电机构。
专利文献1:特表2005-536045号公报
发明内容
本发明鉴于上述问题而产生,其目的在于,提供一种在作为加热源使用LED等的发光元件的退火装置中,不会发生因热的影响导致发光量下降而引起的光能效率低这样的问题,从而能够保持稳定的性能的退火装置。另外,其目的还在于提供一种能够很容易地向发光元件供电的退火装置。
为了解决上述课题,在本发明的第一观点中,提供一种退火装置,其特征在于,包括:收容被处理体的处理室;以面对被处理体的至少一个面的方式设置的、具有对被处理体照射光的多个发光元件的加热源;与上述加热源对应设置的、透过来自上述发光元件的光的光透过部件;以支承上述光透过部件的与上述处理室相反侧、直接接触上述加热源的方式设置的由高热传导性材料构成的冷却部件;通过冷却介质冷却上述冷却部件的冷却机构;对上述处理室内排气的排气机构;和向上述处理室内供给处理气体的处理气体供给机构。
在上述第一观点中,上述加热源具备多个发光元件阵列而构成,上述发光元件阵列具有:以整个背面侧与上述冷却部件接触的方式设置的由高热传导性绝缘材料构成的支承体;以与上述支承体全面接触的方式设置的多个电极;以与上述各个电极全面接触的方式设置的多个发光元件。在该情况下,上述冷却部件为铜制的,上述支承体为A1N制的。
另外,能够构成为在上述冷却部件与上述光透过部件之间具有空间,在上述空间中设置有上述加热源。能够在上述空间中填充有透明树脂。上述透明树脂能够构成为,在上述冷却部件侧的包括发光元件的部分设置有相对较硬的树脂,在上述光透过部件侧设置有相对较软的树脂。
另外,在上述空间内能够填充有非活泼性气体。并且还具备对上述空间抽真空的抽真空机构、和向上述空间供给非活泼性气体的非活泼性气体供给机构。
另外,在具有上述发光元件阵列的结构中能够构成为,在上述冷却部件与上述光透过部件之间具有空间,在上述空间设置有上述加热源,在上述空间中填充有具有上述发光元件与上述光透过部件之间的折射率的液体,上述支承体通过热传导层被螺纹固定在上述冷却部件上。在该情况下,优选上述支承体,以其外框与上述冷却部件接触的方式设置,其内侧成为反射面,同时设置有液体流通孔。
并且,在上述第一观点中,上述加热源能够构成为具备多个发光元件阵列,上述发光元件阵列是将下述部件单元化而构成,即:在表面支承上述多个发光元件的由高热传导性绝缘材料构成的支承体、被软钎焊或者钎焊在上述支承体的背面侧的由高热传导性材料构成的热扩散部件、以覆盖被上述支承体支承的多个发光元件的方式设置的由透明树脂构成的树脂层、和贯通上述热扩散部件和上述支承体而设置的用于向上述发光元件供电的供电电极,上述发光元件阵列通过高热传导性膏被螺纹固定在上述冷却部件上。在该情况下,上述冷却部件和上述热扩散部件是铜制的,上述支承体是AlN制的。
另外,能够构成为在上述发光元件阵列的上述树脂层与上述光透过部件之间具有空间,具备对该空间抽真空的抽真空机构。上述抽真空机构能够构成为具有:与上述空间连接的排气通路;在上述排气通路上设置的缓冲空间;和通过上述排气通路和上述缓冲空间对上述空间抽真空的泵。
另外,上述冷却部件能够构成为具有安装上述各个发光元件阵列的多个安装部,上述安装部具有以围绕上述发光元件阵列并且与上述冷却部件接触的方式设置的具有衬垫功能的框部件。
并且,能够构成为还具有通过上述冷却部件与上述供电电极连接,从电源向供电电极供电的供电部件。
在本发明的第二观点中,提供一种退火装置,其特征在于,包括:收容被处理体的处理室;以面对被处理体的至少一个面的方式设置的、具有对被处理体照射光的多个发光元件的加热源;与上述加热源对应设置的、透过来自上述发光元件的光的光透过部件;支承上述加热源的加热源支承部件;从上述加热源支承部件的背面侧通过上述加热源支承部件对上述发光元件供电的供电机构;对上述处理室内排气的排气机构;和向上述处理室内供给处理气体的处理气体供给机构。
在上述第二观点中,上述加热源能够构成未具备多个发光元件阵列,上述发光元件阵列具有:在上述加热源支承部件上设置的支承体;在上述支承体上形成的多个电极;在上述各个电极上形成的多个发光元件;和向上述发光元件供电的供电电极,上述供电电极具有与上述发光元件阵列的供电电极连接并且在上述加热源支承部件中延伸的多个电极棒、和向各个电极棒供电的多个供电部件。另外,上述电极棒与上述供电部件之间通过弹簧销接触。
在上述发光元件阵列中设置的多个发光元件被分割配置在多个供电区域中,上述供电电极与各个供电区域对应设置有多个,多个供电电极排列成直线状。在该情况下,上述供电电极具有多个负极和共通的正极。另外,上述供电区域中的多个发光元件中,串联连接的串联连接组多组平行地设置。
在上述第一和第二观点中,作为上述发光元件,能够使用发光二极管。
根据本发明的第一观点,按照与加热源直接接触的方式设置由高热传导性材料构成的冷却部件,使用冷却机构通过冷却介质冷却该冷却部件,因此,利用热容量比发光元件大的冷却部件能够有效地冷却发光元件,不会发生因热的影响导致发光量下降而引起的光能效率低这样的问题,能够保持稳定的性能。
本申请人首先关于通过用冷却介质直接冷却作为发光元件的LED元件能够解决光能效率的问题的技术提出专利申请(特愿2006-184457)。但是,在该技术中,虽然由于使液体的冷却介质与LED直接接触进行冷却,因而能够提高冷却效率,但是,存在以下两个问题,即为了有效地进行冷却,必须使冷却介质与LED的发光面接触,在发光面上出现气泡,有可能使照射效率下降这样的问题、或者如果不向发光面供给通常低温的冷却介质,则冷却效率就会下降,因此,必须使大量的冷却介质循环这样的问题。对此,在本发明的第一观点中,利用冷却介质冷却由铜这样的高热传导性材料构成的冷却部件并蓄积冷热,利用该蓄积的冷热冷却发光元件,因此,即便在退火时不使大量的冷却介质循环,也能用蓄积的冷热充分地冷却LED。另外,由于无需使冷却介质与发光元件的发光面接触,因此,也不会产生气泡问题。
根据本发明的第二观点,从加热源支承部件背面一侧通过上述加热源支承部件向上述发光元件供电,因此,能够很容易地向多个发光元件供电。
附图说明
图1是表示本发明的一实施方式的退火装置的大致结构的截面图。
图2是图1的退火装置的加热源的放大截面图。
图3是向图1的退火装置的LED供电的部分的放大截面图。
图4是图1的退火装置的控制板的示意图。
图5表示图1的退火装置的LED阵列的具体的LED的排列和供电方法的图。
图6是用于说明LED的连接方式的示意图。
图7是表示图1的退火装置的加热源的仰视图。
图8是表示图1的退火装置的变形例的主要部分的截面图。
图9是表示图1的退火装置的其它变形例的主要部分的截面图。
图10是表示图1的退火装置的另外的其它变形例的主要部分的截面图。
图11是表示图1的退火装置的另外的变形例的主要部分的截面图。
图12是表示图1的退火装置的另外的其它变形例的主要部分的截面图。
图13是表示在图12的退火装置中,对安装LED阵列后的冷却部件与光透过部件之间的空间进行真空排气的机构的示意图。
图14表示在图12的退火装置中,LED阵列和冷却部件的组装及LED阵列的安装顺序的图。
具体实施方式
下面,参照附图对本发明的实施方式进行说明。此处,以用于对表面被注入杂质的晶片进行退火的退火装置为例进行说明。
图1是表示本发明的一实施方式的退火装置的大致结构的截面图,图2是表示图1的退火装置的加热源的放大截面图,图3是表示对图1的退火装置的LED供电的部分的放大截面图。该退火装置100具有气密地构成的晶片W被搬入其中的处理室1。
处理室1具有配置有晶片W的圆柱状的退火处理部1a和在退火处理部1a的外侧设置成环状的气体扩散部1b。气体扩散部1b的高度比退火处理部1a高,处理室1的断面形成H形状。处理室1的气体扩散部1b通过腔室2被规定。在腔室2的上壁2a和底壁2b上形成有与退火处理部1a对应的圆形的孔3a、3b,在这些孔3a、3b中分别嵌入由铜等高热传导性材料构成的冷却部件4a、4b。冷却部件4a、4b具有凸缘部5a、5b,凸缘部5a、5b与腔室2的上壁2a和底壁2b之间借助密封部件6a、6b被密封。并且退火处理部1a通过该冷却部件4a、4b被规定。
在处理室1中设置有在退火处理部1a内水平地支承晶片W的支承部件7,该支承部件7在交接晶片W时能够利用图中未示的升降机构进行升降。另外,在腔室2的顶壁,设置有从图中未示的处理气体供给机构导入规定的处理气体的处理气体导入口8,该处理气体导入口8与供给处理气体的处理气体配管9连接。另外,在腔室2的底壁设置有排气口10,在该排气口10连接有与图中未示的排气装置相连接的排气配管11。并且,在腔室2的侧壁设置有用于向腔室2搬入搬出晶片W的搬入搬出口12,该搬入搬出口12通过闸阀13能够开关。在处理室1中设置有用于测定被支承在支承部件7上的晶片W的温度的温度传感器14。另外,温度传感器14与腔室2外侧的测量部15连接,温度检测信号从该计测部15被输出至后述的工艺控制器60。
在冷却部件4a、4b的与被支承部件7支承的晶片W相对的面上,以与被支承部件7支承的晶片W对应的方式形成有圆形的凹部16a、16b。并且,在该凹部16a、16b中配置有按照与冷却部件4a、4b直接接触的方式搭载有发光二极管(LED)的加热源17a、17b。
在冷却部件4a、4b的与晶片W相对的面上,按照覆盖凹部16a、16b的方式螺纹固定有使来自被搭载在加热源17a、17b上的LED的光透过到晶片W侧的光透过部件18a、18b。光透过部件18a、18b采用高效地透过从LED射出的光的材料,例如使用石英。另外,在由凹部16a与光透过部件18a形成的空间和由凹部16b与光透过部件18b形成的空间中填充透明树脂20(参照图1、3)。作为能够应用的透明树脂20,能够列举硅树脂和环氧树脂。为了在填充树脂时在树脂中不残留气泡,优选在对空间抽真空的同时填充树脂。
如果考虑到维护,那么,树脂20最好在LED一侧使用硬树脂,在光透过部件18a、18b一侧使用软树脂。这是因为,例如在更换LED的一部分的情况下,如果全部是硬树脂则难以取下光透过部件18a、18b,相反,如果全部是软树脂则在取下光透过部件18a、18b时,LED被树脂拉扯而被取下,于是,产生难以再利用的问题,与此相反,通过采用上述的双层构造,容易取下光透过部件18a、18b,而且,在取下时能够通过硬树脂保护LED。
在冷却部件4a、4b中设置有冷却介质流路21a、21b,在其中流通能够将冷却部件4a、4b冷却至0℃以下,例如-50℃左右的液体状的冷却介质,例如流通氟元素类非活泼性液体(商品名称:フロリナ—ト、ガルデン等)。冷却部件4a、4b的冷却介质流路21a、21b与冷却介质供给配管22a、22b和冷却介质排出配管23a、23b连接。由此能够使冷却介质在冷却介质流路21a、21b中循环能够使冷却部件4a、4b冷却。
此外,在腔室2中形成有冷却水流路25,在其中流通常温的冷却水,以此防止腔室2的温度过度上升。
如图2放大图所示,加热源17a、17b由在具有绝缘性的高热传导性材料,典型的是A1N陶瓷构成的支承体32上搭载有很多LED33的多个LED阵列34构成,这些LED阵列34的背面,在加热源17a上与冷却部件4a的下面,另外在加热源17b上与冷却部件4b的上面,例如通过软钎料全面地接触。在LED阵列34的支承体32与LED33之间,在铜上镀有金的等的导电性高的电极35以全面接触的状态被设置。另外,一个LED33与邻接的LED33的电极35之间用电线36连接。于是,由于从冷却介质向热传导率高的冷却部件4a、4b高效传达的冷热通过全面接触的热传导性高的支承体32、电极35到达LED33,因此,LED33以极高的效率被冷却。
在冷却部件4a的上方和冷却部件4b的下方分别设置有用于控制向LED33供电的控制盒37a、37b,它们与来自图中未示的电源的配线连接,从而控制向LED33的供电。
另一方面,如图3的放大图所示,电极35与通过冷却部件4a、4b的内部延伸的电极棒38连接(在图2中,电极棒38未表示)。电极棒38在每个LED阵列34中都设置有多个,例如8个(在图1、3中仅表示两个),电极棒38被由绝缘材料构成的保护罩38a覆盖。电极棒38从接近电极35的部分延伸至冷却部件4a的上端部和冷却部件4b的下端部,在此承受部件39被螺纹固定。在承受部件39与冷却部件4a、4b之间安装有绝缘环40。另外,电极棒38的顶端部通过软钎焊与一个电极35连接。此处,保护罩38a与冷却部件4a(4b)之间、保护罩38a与电极棒38之间的缝隙被钎焊,形成所谓的馈通。
在控制盒37a、37b内设置有多个控制板42。该控制板42也如图4(a)、(b)所示,具有与电极棒38对应的供电部件41连接的连接部42a、和来自电源的配线连接的供电连接器43。供电部件41向下方延伸,与安装在各个电极棒38上的承受部件39连接。供电部件41被由绝缘材料构成的保护罩44覆盖。在供电部件41的顶端部设置有弹簧销(Spring Pin)41a,该各个弹簧销41a与对应的承受部件39接触,由此从控制盒37a、37b借助供电部件41和电极棒38和加热源17a、17b的电极35向各个LED33供电。由此,通过供电LED33发光,利用该光从表面背面加热晶片W,从而进行退火处理。由于弹簧销41a被弹簧向承受部件39一侧弹压,因此,即使在控制板42的安装位置发生偏离等情况下,也能够确保供电部件41和电极棒38的接触。此外,在图4中,表示出三根供电部件41,但是它只是一个例子而已。
LED阵列34如图5所示形成六边形。图5表示出该LED阵列34的具体的LED33的排列和供电方法。在LED阵列34中,向各个LED33供给充足的电压,而且,如何减少供电部分的面积损失以增加搭载的IED33的数量极其重要。首先,为了供给充足的电压,将LED阵列34分成6个供电区域。具体来讲,用连接相对的两个边的中点的线二等分六边形的LED阵列34,形成两个区域341、342,将这些区域341、342分别分成三个供电区域341a、341b、341c和342a、342b、342c。此时供电区域的分割方法以区域341为例,由六边形的未被二等分的邻接的两个边和连结这两个边的端部的直线形成的大体呈三角形的区域是供电区域341a,用与六边形的被二等分的边平行的直线将剩余的区域大致二等分的区域是供电区域341b、341c。区域342也同样,大致呈三角形的区域是供电区域342a,将剩余的区域大致被二等分的区域是供电区域342b、342c。
作为向这些供电区域供电的电极,在区域341一侧,三个负极51a、51b、51c和共通的一个正极52排列成一条直线,在区域342一侧,三个负极53a、53b、53c和共通的一个正极54排列成一条直线。排列成一条直线的原因在于,电极棒38必须设置在冷却部件4a、4b的冷却介质流路21a、21b之间的区域。
并且,从共通的正极52向供电区域341a、341b、342c供电,从共通的正极54向供电区域342a、342b、341c供电。
在各个供电区域分别排列大约400个LED33。而且,各个供电区域的LED33如图6所示按照串联(serial)连接的组两组平行的方式配置。这样就能够抑制各个LED的参差不齐和电压的参差不齐。
这种构造的LED阵列34例如按照图7所示的方式配置。在一个LED阵列34中搭载有2000~5000个左右的LED33,在上述的例子中搭载大约2400个LED33。作为LED33,使用射出的光的波长在紫外光~接近红外光的范围,优选是0.36~1.0μm的范围的LED。作为射出这样的0.36~1.0μm范围的光的材料,举例表示是将GaN、GaAs等作为基底的化合物半导体。
此外,由于冷却部件4a、4b被冷却,因此,供电部件41的配置区域因其冷热而变成低温,在存在湿度高的空气的情况下,在供电部件41上结露而有可能引起电故障。因此,通过气体配管45a、45b(参照图1)向控制盒37a、37b与冷却部件4a、4b之间的空间中导入干燥气体。
退火装置100的各个构成部,如图1所示构成为与具备微型处理器(计算机)的工艺控制器60连接并被其控制的结构。例如,通过该工艺控制器60进行上述控制盒37a、37b的供电控制、驱动系统的控制、气体供给控制等。在工艺控制器60中连接有工艺管理者为了管理退火装置100而进行指令输入操作的键盘、可视化显示退火装置100的工作情况的显示器等构成的用户接口61连接。并且,工艺控制器60还连接有能够存储用于通过工艺控制器60的控制实现在退火装置100中执行的各种处理的控制程序、用于根据处理条件使在退火装置100的各构成部中实施处理的程序即方案的存储部62。方案既可以被存储在硬盘或半导体存储器中,也可以以被保存在CDROM、DVD等可移动性的存储介质中的状态下设置在存储部62的规定位置。此外,也可以从其它的装置,例如通过专用线路适当地传送方案。并且根据需要,通过来自用户接口61的指示等从存储部62中读取任意的方案并在工艺控制器60中执行,在工艺控制器60的控制下,在退火装置100中实施预期的处理。
下面,对于上述退火装置100中的退火处理动作进行说明。首先,打开闸阀13从搬入搬出口12搬入晶片W,并将其载置在支承部件7上。然后,关闭闸阀13使处理室1内成为密闭状态,通过排气口11并利用图中未示的排气装置对处理室1内进行排气,同时从图中未示的处理气体供给机构通过处理气体配管9和处理气体导入口8将规定的处理气体、例如氩气或者氮气导入处理室1内,将处理室1内的压力保持在例如100~10000Pa的范围内的规定压力。
另一方面,冷却部件4a、4b使液体状的冷却介质例如氟元素类非活泼性液体(商品名称フロリナ—ト(fluorinert)、ガルデン(galden)等)在冷却介质流路21a、21b中循环,将LED元件33冷却至0℃以下的规定温度,优选是-50℃以下的温度。
然后,从图中未示的电源通过控制盒37a、37b、供电部件41、电极棒38、电极35向LED33供给规定的电流,从而使LED33点亮。
此处,LED33在保持常温的情况下,由于LED33本身的发热等其发光量低下,但是,在本实施方式中,使冷却介质流通冷却部件4a、4b,如图2所示,由于通过冷却部件4a、4b、支承体32、电极35冷却LED33,因此能够有效地冷却LED33。
在上述特愿2006-184457中记载的技术中,由于使液体的冷却介质与LED直接接触进行冷却,因此能够提高冷却效率,但是为了有效地进行冷却,必须使冷却介质与LED的发光面接触,在发光面上出现气泡有可能降低照射效率。另外,如果低温的冷却介质不经常在发光面上流动,那么冷却效率下降,因此,必须使大量的冷却介质循环。
对此,在本发明中,利用冷却介质冷却由铜这样的高热传导性材料构成的冷却部件4a、4b并蓄积冷热,利用该蓄积的冷热冷却LED33,但是,冷却部件4a、4b与LED相比热容量大很多,并且由于通过热传导性高且全面接触的电极35和支承体32向LED33供给冷却部件4a、4b的冷热使其冷却,因此在退火时,即便不大量循环冷却介质也能够利用所蓄积的冷热来充分冷却LED33。另外,由于无需使冷却介质与LED33的发光面接触,因此也不会产生气泡问题。退火时间每一片晶片为1秒钟左右,晶片的更换时间为30秒钟左右,因此,能够充分设计,使得利用晶片的更换时间的30秒钟对冷却部件4a、4b进行冷却,于是在退火时LED33成为100℃以下。
在利用现有的LED的退火装置中,由于通过由石英等构成的光透过部件承受被保持为真空状态的处理室内与大气气氛的LED空间的差压,因此,必须加厚光透过部件,但是,在本实施方式中,处理室1与大气的差压由金属制造的冷却部件4a、4b承受,因此,能够使光透过部件18a、18b变薄。通过使光透过部件18a、18b变薄,抑制向该部件的蓄热,能够充分地实现借助冷却部件4a、4b而被冷却的冷却部分与处理室1内的加热部分之间的热绝缘。从更好地改善热绝缘的观点来看,用热传导率小的树脂或陶瓷等螺纹固定光透过部件18a、18b较好。而且,通过使光透过部件18a、18b变薄,高效地从晶片W向冷却部件4a、4b热辐射,因此,降温特性得到改善。
另外,作为LED阵列34的支承体32使用AlN,由此能够反射发光时的LED的波长,并且吸收来自被加热至1000℃的晶片W的辐射热,由此也能够改善升温降温特性。
并且,借助供电部件41和电极棒38从冷却部件4a、4b的背面侧向LED阵列34的LED33供电,因此,能够比较简便地向多个LED33供电。另外,由于使用弹簧销41a使供电部件41与承受部件39接触,因此,即使在控制板42的安装位置发生偏离等情况下,利用弹簧的作用力,能够简单且准确地使供电部件41与电极棒38接触。
下面,对上述实施方式的退火装置的几个变形例子进行说明。
在图8的例子中,冷却部件4a、4b与光透过部件18a、18b之间的空间封入Ar气46,而代替封入树脂。在此情况下,通过馈通,由于也考虑很少量的大气流入,因此,优选对LED阵列34实施防湿涂层。
在图9的例子中,设置对冷却部件4a、4b与光透过部件18a、18b之间的空间抽真空的真空泵48,和向该空间中导入Ar气等的气体导入机构49,使空间内成为规定的真空气氛。
在图10的例子中,取代用电线36连接电极35与LED33,而是在LED33的发光面上设置ITO(Indium Tin Oxide)或IZO(Indium ZincOxide)等透明电极50,使该透明电极50和光透过部件18a、18b接合。
另外,根据冷却介质的沸点调整冷却时冷却介质的温度,这样就能够产生沸腾传热。沸腾传热使冷却介质变成比沸点高的温度,除了利用冷却介质的温度进行冷却之外,也能够产生基于蒸发潜热的冷却,实现极其有效的冷却。
图11的例子是考虑了有效获取光和维修性的情况。
为了有效地获取光,优选使从固体发光的材料的折射率逐渐向空间的折射率变化。因此,在上述图1的例子中,采用在LED33的周围填充硅等的树脂,并且设置有由石英构成的光透过部件的结构。但是,在该情况下,当为了进行维护取下光透过部件18a、18b时,凝胶状的树脂与光透过部件18a、18b一同被剥落,正常的LED也有可能被破坏,存在维护性差这样的缺点。为了实现图1所示的退火装置,需要使用数十万个LED,由于其全部不可能长期工作,因此,优选以适当的单元单位进行更换为前提进行装置设计。
如果只考虑维护性,那么,优选如上述的图8中所示的气体填充,但是折射率不逐渐变化,从光效率这一点来看并不优选。
在此,在图11的例子中,在LED所在的空间内,对一种气体不溶解或者难以溶解的液体,折射率具有LED和构成光透过部件的石英的中间值,且蒸汽压低的、例如フロリナ—ト(fluorinert)、ガルデン(galden)、ノベック等的液体71预先进行脱气处理并进行填充。在此情况下,在对这些液体进行脱气加以使用的基础上,作为单纯的填充材料,不需要冷却功能,因此,难以产生气泡,因而由气泡导致照射效率下降的可能性很小。
另外,在本例子中,在LED阵列34的背面形成银膏或硅脂等的热传导性高的热传导层72,将LED阵列34通过螺钉73安装在冷却部件4a、4b上。由此,在维护和更换时,取下光透过部件18a、18b排出液体71,通过卸除螺钉73就能够简单地取下LED阵列34。在此情况下,也可以单独使用螺钉73,但是优选在螺钉73上设置有垫片或者杨氏模量高的Si3N4等构成的板簧。
另外,在本例子中,在LED阵列34中设置有到达光透过部件18a、18b的外框74,其具有作为反射板和光透过部件18a、18b的支承部件的功能,由此,就能进一步提高光效率,使光透过部件18a、18b变得更薄。此外,在外框74上以液体71遍及LED33所存在的整个空间的方式形成液体流通孔75。液体71的填充在安装光透过部件18a、18b后采用适当的方法进行。
在图12的例子中,对不降低冷却效率,进一步提高维护性、特别是更换LED的便利性的例子进行说明。
如上所述,当以高功率使LED发光时,其冷却是非常需要的,因此,必须通过软钎焊等将LED牢固地接合在冷却面上。另一方面,在使用LED对晶片进行快速加热的装置的情况下,LED的修理更换非常重要,期待具有比图11的构造更好的更换便利性。
因此,在图12的例子中,具有将下列部件构成单元的结构的LED阵列34’被排列有多个从而构成加热源17a(或者17b),上述部件为:支承多个LED33的由高热传导性绝缘材料的AlN构成的支承体32;被软钎焊或者钎焊在支承体32的背面侧的由高热传导性材料的铜构成的热扩散部件81;以覆盖被支承体32支承的多个LED33的方式设置的例如硅类的透明树脂(树脂透镜或者树脂铸模)构成的树脂层82;以及被插入分别形成于热扩散部件81和支承体32上的通孔81a和32a中、并且贯通它们而设置的用于向LED33供电的供电电极83。并且,LED阵列34’通过硅脂或银膏等的热传导性好的膏利用螺钉84被固定在冷却部件4a或者4b上。冷却部件4a(或者4b)与热扩散部件81之间用密封环89密封。
在供电电极83,在与热扩散部件81的背面侧对应的位置设置有安装口85,由此,贯通冷却部件4a以及4b(图中仅表示4a)的供电部件41’在安装口85处与供电电极83连接。
在冷却部件4a和4b上设置有用于安装各LED34’的多个安装部86。该安装部86具有框部件87,该框部件87具有与光透过部件18a或者18b接触的衬垫功能。该框部件87以围绕LED阵列34’的安装区域的方式设置。并且,在被安装在安装部86上的LED阵列34’的树脂层82与光透过部件18a(或者18b)之间存在空间88,该空间被保持为真空状态。
如图13所示,在冷却部件4a上,形成有当将光透过部件18a安装在冷却部件4a上时以和与凹部16a对应形成的空间92相邻的方式设置的通道93,另外在冷却部件4a上以与通道93连接的方式连接有排气管94,这些通道93与排气管94构成排气通路。并且,在排气管94的中途设置有具有直径比排气管94大的缓冲空间的缓冲部件95,经由这些通道93、排气管94、缓冲部件95利用排气装置96对空间88进行真空排气,从而变成真空状态。冷却部件4b也同样。由于空间88极窄,因此即使进行通常的排气,也难以使压力下降,但是通过设置这样的缓冲空间,即便在狭窄的空间中也能够很容易地进行真空排气。此外,在框部件87上形成有抽真空用的孔91,通过该孔91就能够对所有的空间88进行抽真空。
在图1的实施方式中,在冷却部件4a(4b)与光透过部件18a(18b)之间填充有树脂,但是填充量增多会给填充带来困难,同时也会发生因气泡等使LED的效率下降等问题。因此,在本例中,树脂层82按照覆盖LED33的厚度设置,通过对剩余的空间抽真空,这样就能够避免上述这些问题。
下面,参照图14,对LED阵列34’和冷却部件4a(4b)的组装、以及LED阵列34’的安装步骤进行说明。
首先,从A1N制的板材切出六边形的支承体32,形成作为供电电极和螺钉的插入孔的通孔32a(图14a)。接着,通过使用软钎料膏的软钎焊,将具有与支承体32相同的形状,并且在与通孔32a对应的位置形成有通孔81a的铜制热扩散部件81的表面粘贴在支承体32的背面(图14b)。然后,在通孔32a、81a中按照贯通支承体32和热扩散部件81的方式插入供电电极83,将其软钎焊在支承体32上(图14c)。
然后,在支承体32的表面涂覆软钎料膏,在其上载置有LED33的状态下,通过在批处理炉中实施热处理而进行软钎焊(图14d),进一步利用电线36进行焊接(图14e)。下面,为了LED33的保护和调整折射率,以覆盖LED33的方式利用透明树脂(树脂透镜或者树脂铸模)形成树脂层82,同时,在供电电极83与通孔81a之间的空间中填充环氧类树脂形成真空密封,完成LED阵列34’(图14f)。另一方面,与此同时进行组装冷却部件4a(4b)(图14g)。
之后,在冷却部件4a(4b)上安装LED阵列34’(图14h)。并且在供电电极83上连接供电部件41’,同时利用螺钉84固定LED阵列34’(图14i)。
按照以上的步骤,LED阵列34’的安装结束,之后,安装光透过部件18a、18b,变成图12的状态。
像这样,图12所示的退火装置,将LED阵列34’单元化,用螺钉84将其安装在冷却部件4a(4b),因此,安装和拆卸较容易,在更换LED33时,能够很容易地更换每一个LED阵列34’,因此,能够极大地提高维护性。另外,利用软钎焊(膏状焊料Cream Solder)使AlN制的支承体32与铜制的热扩散部件81面接触,在热扩散部件81和冷却部件4a(4b)之间利用硅脂或银膏等高热传导性膏使其面接触,因此,热阻抗低,冷却LED33的能力高。
另外,对于冷却部件4a(4b)与光透过部件18a(18b)之间的空间,用树脂层82仅覆盖LED33的安装部分,对其余的空间8抽真空,因此,如上所述,能够避免全部用树脂覆盖时的困难,同时,能够缓和没有树脂层82对整个空间抽真空时的LED33与真空的折射率之差引起的效率下降。即,通过设置树脂层82,成为LED33、树脂层82、空间88的折射率顺次降低的构造,难以发生因折射率的急速变化引起的个反射,效率也不会下降。
本发明并非局限于上述实施方式,可以有各种各样的变形。例如,在上述实施方式中,对在作为被处理体的晶片的两侧设置具有LED的加热源的例子进行了说明,但是,也可以在任一侧设置加热源。另外,在上述实施方式中,表示了作为发光元件使用LED的情况,也可以使用半导体激光器等其它发光元件。而且,对于被处理体,并非局限于半导体晶片,也可以是FPD用玻璃基板等其它被处理体作为对象。
本发明适合于被注入杂质后的半导体晶片的退火处理等需要快速加热的用途。

Claims (21)

1.一种退火装置,其特征在于,包括:
收容被处理体的处理室;
以面对被处理体的至少一个面的方式设置的、具有对被处理体照射光的多个发光元件的加热源;
与所述加热源对应设置的、透过来自所述发光元件的光的光透过部件;
以支承所述光透过部件的与所述处理室相反侧、直接接触所述加热源的方式设置的由高热传导性材料构成的冷却部件;
通过冷却介质冷却所述冷却部件的冷却机构;
对所述处理室内排气的排气机构;和
向所述处理室内供给处理气体的处理气体供给机构,
所述加热源具备多个发光元件阵列,所述发光元件阵列具有:以整个背面侧与所述冷却部件接触的方式设置的由高热传导性绝缘材料构成的支承体;以与所述支承体全面接触的方式设置的多个电极;以与所述各个电极全面接触的方式设置的多个发光元件。
2.根据权利要求1所述的退火装置,其特征在于:
所述冷却部件为铜制的,所述支承体为AlN制的。
3.根据权利要求1所述的退火装置,其特征在于:
在所述冷却部件与所述光透过部件之间具有空间,在所述空间中设置有所述加热源。
4.根据权利要求3所述的退火装置,其特征在于:
在所述空间中填充有透明树脂。
5.根据权利要求4所述的退火装置,其特征在于:
所述透明树脂构成为,在所述冷却部件侧的包括发光元件的部分设置有相对较硬的树脂,在所述光透过部件侧设置有相对较软的树脂。
6.根据权利要求3所述的退火装置,其特征在于:
在所述空间内填充有非活泼性气体。
7.根据权利要求6所述的退火装置,其特征在于,还具备:
对所述空间抽真空的抽真空机构、和向所述空间供给非活泼性气体的非活泼性气体供给机构。
8.根据权利要求1所述的退火装置,其特征在于:
在所述冷却部件与所述光透过部件之间具有空间,在所述空间内设置有所述加热源,在所述空间中填充有具有所述发光元件与所述光透过部件之间的折射率的液体,所述支承体通过热传导层被螺纹固定在所述冷却部件上。
9.根据权利要求8所述的退火装置,其特征在于:
所述支承体,以其外框与所述冷却部件接触的方式设置,其内侧成为反射面,同时设置有液体流通孔。
10.根据权利要求1所述的退火装置,其特征在于:
所述加热源具备多个发光元件阵列,所述发光元件阵列是将下述部件单元化而构成,即:在表面支承所述多个发光元件的由高热传导性绝缘材料构成的支承体、被软钎焊或者钎焊在所述支承体的背面侧的由高热传导性材料构成的热扩散部件、以覆盖被所述支承体支承的多个发光元件的方式设置的由透明树脂构成的树脂层、和贯通所述热扩散部件和所述支承体而设置的用于向所述发光元件供电的供电电极,所述发光元件阵列通过高热传导性膏被螺纹固定在所述冷却部件上。
11.根据权利要求10所述的退火装置,其特征在于:
所述冷却部件和所述热扩散部件是铜制的,所述支承体是AlN制的。
12.根据权利要求10所述的退火装置,其特征在于:
在所述发光元件阵列的所述树脂层与所述光透过部件之间具有空间,具备对该空间抽真空的抽真空机构。
13.根据权利要求12所述的退火装置,其特征在于:
所述抽真空机构具有:与所述空间连接的排气通路;在所述排气通路上设置的缓冲空间;和通过所述排气通路和所述缓冲空间对所述空间抽真空的泵。
14.根据权利要求12所述的退火装置,其特征在于:
所述冷却部件具有安装所述各个发光元件阵列的多个安装部,所述安装部具有以围绕所述发光元件阵列并且与所述冷却部件接触的方式设置的具有衬垫功能的框部件。
15.根据权利要求10所述的退火装置,其特征在于:
还具有通过所述冷却部件与所述供电电极连接,从电源向供电电极供电的供电部件。
16.一种退火装置,其特征在于,包括:
收容被处理体的处理室;
以面对被处理体的至少一个面的方式设置的、具有对被处理体照射光的多个发光元件的加热源;
与所述加热源对应设置的、透过来自所述发光元件的光的光透过部件;
支承所述加热源的加热源支承部件;
从所述加热源支承部件的背面侧通过所述加热源支承部件对所述发光元件供电的供电机构;
对所述处理室内排气的排气机构;和
向所述处理室内供给处理气体的处理气体供给机构,
所述加热源具备多个发光元件阵列,所述发光元件阵列具有:在所述加热源支承部件上设置的支承体;在所述支承体上形成的多个电极;在所述各个电极上形成的多个发光元件;和向所述发光元件供电的供电电极,
所述供电电极具有与所述发光元件阵列的供电电极连接并且在所述加热源支承部件中延伸的多个电极棒、和向各个电极棒供电的多个供电部件。
17.根据权利要求16所述的退火装置,其特征在于:
所述电极棒与所述供电部件之间通过弹簧销接触。
18.根据权利要求16所述的退火装置,其特征在于:
在所述发光元件阵列中设置的多个发光元件被分割配置在多个供电区域中,所述供电电极与各个供电区域对应设置有多个,多个供电电极排列成直线状。
19.根据权利要求18所述的退火装置,其特征在于:
所述供电电极具有多个负极和共通的正极。
20.根据权利要求18所述的退火装置,其特征在于:
所述供电区域中的多个发光元件中,串联连接的串联连接组多组平行地设置。
21.根据权利要求1至权利要求20中任一项所述的退火装置,其特征在于:
所述发光元件为发光二极管。
CN2007800094187A 2006-09-05 2007-08-31 退火装置 Active CN101405842B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP2006240420 2006-09-05
JP240420/2006 2006-09-05
JP034417/2007 2007-02-15
JP2007034417 2007-02-15
JP2007081609A JP5138253B2 (ja) 2006-09-05 2007-03-27 アニール装置
JP081609/2007 2007-03-27
PCT/JP2007/067053 WO2008029742A1 (fr) 2006-09-05 2007-08-31 Appareil de recuit

Publications (2)

Publication Number Publication Date
CN101405842A CN101405842A (zh) 2009-04-08
CN101405842B true CN101405842B (zh) 2010-09-01

Family

ID=39845645

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800094187A Active CN101405842B (zh) 2006-09-05 2007-08-31 退火装置

Country Status (5)

Country Link
US (2) US8246900B2 (zh)
JP (1) JP5138253B2 (zh)
KR (2) KR20110022740A (zh)
CN (1) CN101405842B (zh)
TW (1) TWI389170B (zh)

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5138253B2 (ja) * 2006-09-05 2013-02-06 東京エレクトロン株式会社 アニール装置
JP2009099925A (ja) * 2007-09-27 2009-05-07 Tokyo Electron Ltd アニール装置
WO2009041466A1 (ja) * 2007-09-27 2009-04-02 Tokyo Electron Limited アニール装置
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP2009253242A (ja) * 2008-04-11 2009-10-29 Tokyo Electron Ltd アニール装置
US20110174790A1 (en) * 2008-06-25 2011-07-21 Tokyo Electron Limited Annealing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5394730B2 (ja) * 2008-12-26 2014-01-22 東京エレクトロン株式会社 アニール装置およびアニール方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5526876B2 (ja) 2010-03-09 2014-06-18 東京エレクトロン株式会社 加熱装置及びアニール装置
BR112012028574A2 (pt) * 2010-05-07 2019-09-24 Pressco Ip Llc controle de irradiação de cubo de canto
JP5635378B2 (ja) * 2010-11-30 2014-12-03 日東電工株式会社 半導体ウエハ搬送方法および半導体ウエハ搬送装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI481058B (zh) * 2012-05-24 2015-04-11 Sunshine Pv Corp 薄膜太陽能電池的退火裝置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9318360B2 (en) * 2013-10-11 2016-04-19 Applied Materials, Inc. Linear high packing density for LED arrays
WO2015107009A1 (en) 2014-01-17 2015-07-23 Koninklijke Philips N.V. Heating system comprising semiconductor light sources
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6475054B2 (ja) * 2015-03-26 2019-02-27 日本碍子株式会社 半導体製造装置用部材
CN107660314A (zh) * 2015-05-13 2018-02-02 松下知识产权经营株式会社 太阳能电池组件的制造装置和太阳能电池组件的制造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6994313B2 (ja) * 2016-11-29 2022-01-14 東京エレクトロン株式会社 載置台及び電子デバイス検査装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125746A1 (en) 2016-12-30 2018-07-05 Frequency Therapeutics, Inc. 1h-pyrrole-2,5-dione compounds and methods of using them to induce self-renewal of stem/progenitor supporting cells
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7161854B2 (ja) * 2018-03-05 2022-10-27 東京エレクトロン株式会社 検査装置
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7042158B2 (ja) * 2018-05-23 2022-03-25 東京エレクトロン株式会社 検査装置及び温度制御方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11444245B2 (en) * 2018-10-22 2022-09-13 The Board Of Trustees Of The University Of Alabama Rapid layer-specific photonic annealing of perovskite thin films
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11109452B2 (en) 2019-11-14 2021-08-31 Applied Materials, Inc. Modular LED heater
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP7398935B2 (ja) 2019-11-25 2023-12-15 東京エレクトロン株式会社 載置台、及び、検査装置
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62160981A (ja) 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd 石油タンカ−の改造法
KR920004854B1 (ko) 1988-06-14 1992-06-19 삼성전자 주식회사 멀티채널시스템의 페이지 업/다운 모드 수행방법
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JPH04207020A (ja) * 1990-11-30 1992-07-29 Fujitsu Ltd 半導体製造装置と半導体製造方法
US6121579A (en) * 1996-02-28 2000-09-19 Tokyo Electron Limited Heating apparatus, and processing apparatus
JP2003077852A (ja) * 2001-09-03 2003-03-14 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP4207020B2 (ja) 2002-07-30 2009-01-14 コニカミノルタオプト株式会社 撮像レンズ
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP3989412B2 (ja) * 2002-10-21 2007-10-10 オリンパス株式会社 照明装置及び画像投影装置
US6998777B2 (en) * 2002-12-24 2006-02-14 Toyoda Gosei Co., Ltd. Light emitting diode and light emitting diode array
JP2006059931A (ja) * 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
JP5138253B2 (ja) * 2006-09-05 2013-02-06 東京エレクトロン株式会社 アニール装置

Also Published As

Publication number Publication date
US20120279944A1 (en) 2012-11-08
US20100038833A1 (en) 2010-02-18
CN101405842A (zh) 2009-04-08
JP5138253B2 (ja) 2013-02-06
TW200830354A (en) 2008-07-16
KR101059314B1 (ko) 2011-08-24
JP2008227435A (ja) 2008-09-25
KR20110022740A (ko) 2011-03-07
US8246900B2 (en) 2012-08-21
TWI389170B (zh) 2013-03-11
KR20090045314A (ko) 2009-05-07

Similar Documents

Publication Publication Date Title
CN101405842B (zh) 退火装置
CN101925981B (zh) 退火装置
KR101168827B1 (ko) Led 어레이
CN101465345B (zh) 光源装置的制造方法
US20080187299A1 (en) Heat treatment apparatus, computer program, and storage medium
KR20100134643A (ko) 어닐링 장치
WO2008029742A1 (fr) Appareil de recuit
CN111883631B (zh) 一种uvc-led发光器件的制备方法
TW200822230A (en) Annealing apparatus and annealing method
CN102141211B (zh) 光源装置
CN102945912A (zh) Led发光元器件支架
KR20150035176A (ko) 수직형 발광소자 패키지 및 이를 이용한 조명장치
CN108011026A (zh) 一种大功率led双层半球结构封装工艺
TW508833B (en) Light emitting diode with direct cooling
CN112413417A (zh) 一种一次散热能力强的cob新型光源封装
KR102120761B1 (ko) 수직형 발광소자 패키지 및 이를 이용한 조명장치
CN1462076A (zh) 直冷式发光二极体
GB2540299A (en) Vertical LED array element integrating LED epitaxial structures with LED package substrate
CN105023994A (zh) 发光装置
KR20150032007A (ko) 수직형 발광소자 패키지 및 이를 이용한 조명장치
KR20150035179A (ko) 발광소자 패키지 및 이를 이용한 조명장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant