JP2008066535A - 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体 - Google Patents

基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体 Download PDF

Info

Publication number
JP2008066535A
JP2008066535A JP2006243276A JP2006243276A JP2008066535A JP 2008066535 A JP2008066535 A JP 2008066535A JP 2006243276 A JP2006243276 A JP 2006243276A JP 2006243276 A JP2006243276 A JP 2006243276A JP 2008066535 A JP2008066535 A JP 2008066535A
Authority
JP
Japan
Prior art keywords
heating
heating device
substrate
output
outlet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006243276A
Other languages
English (en)
Other versions
JP4762835B2 (ja
Inventor
Hideki Nishimura
村 英 樹 西
Mikio Nakajima
島 幹 雄 中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006243276A priority Critical patent/JP4762835B2/ja
Priority to KR1020070086176A priority patent/KR101061951B1/ko
Priority to US11/896,936 priority patent/US8020315B2/en
Priority to TW096133248A priority patent/TW200816301A/zh
Priority to EP07017587A priority patent/EP1898446A3/en
Publication of JP2008066535A publication Critical patent/JP2008066535A/ja
Priority to US13/209,741 priority patent/US8266820B2/en
Application granted granted Critical
Publication of JP4762835B2 publication Critical patent/JP4762835B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

【課題】残存するパーティクル数を低減することができる基板処理方法を提供する。
【解決手段】基板処理方法においては、一つ以上の加熱機構56を有する加熱装置40によって加熱された流体を用い、被処理基板Wを乾燥させるようになっている。基板処理方法は、加熱装置によって加熱された不活性ガスと処理液とを含む混合流体を被処理基板が配置された処理室30内へ供給する工程と、加熱された不活性ガスを処理室内へ供給する工程と、備える。第1工程の開始時から所定の時間が経つまで、前記少なくとも一つの加熱機構の出力は予め設定された一定の値を維持する。第2工程において、加熱機構の出力はフィードバック制御を用い、決定される。
【選択図】図1

Description

本発明は、洗浄処理等の処理を施された被処理基板を乾燥させる基板処理方法および基板処理装置に係り、とりわけ、乾燥後の被処理基板に付着しているパーティクル数を低減することができる基板処理方法および基板処理装置に関する。
また、本発明は、洗浄処理等の処理を施された被処理基板を乾燥させる基板処理方法であって、とりわけ、乾燥後の被処理基板に付着しているパーティクル数を低減することができる基板処理方法を実行するためのプログラムおよび当該プログラムを記録したプログラム記録媒体に関する。
シリコンウエハ等の被処理基板に対する処理の多くは、被処理基板を液体で濡らしながら行われる。このような処理の体表的なものとして、リンス処理を含む洗浄処理が挙げられる。そして、液体を経由して多数のパーティクルが被処理基板に付着してしまうことや、液体が乾燥する際にウォーターマーク等の乾燥マークが残ってしてしまうこと等を防止するため、液体を用いた処理が終了した後に、これらの不具合を防止するための乾燥処理が被処理基板に対して施される。
なお、このような不具合の程度は、一般的に、パーティクルカウンタ等の計器による測定値によって評価される。すなわち、乾燥処理後の被処理基板に残存した付着物や色むら等であって、パーティクルとして計測され得る付着物や色むら等の数によって、評価されている。したがって、以降において、「パーティクル」という用語には、特筆されていない限りにおいて、粒状の付着物だけでなく、いわゆるウォーターマークのような白濁模様や、その他の乾燥処理に起因すると考えられる欠陥を含むこととする。
このような被処理基板の乾燥方法として、揮発性および親液体性を有する処理液(例えばIPA)を蒸気化し、被処理基板の周囲に前記蒸気化した処理液の雰囲気を形成する方法が知られている(特許文献1)。この方法では、処理液の揮発性および親液体性によって、被処理基板から液体の膜や液滴が取り除かれるようになっている。特許文献1に開示されているように、この方法において、処理液は、キャリアガスとしても機能する不活性ガスとともに加熱装置内に供給され、加熱装置内において加熱されて蒸発する。
特開平10−125649号公報
近年、ウエハ上に形成されるパターンの複雑化等にともない、乾燥能力を向上させることが望まれている。この場合、蒸気化した処理液の供給量を増大させることが必要となる。ところが、本件発明者らが研究したところ、処理液の蒸気の供給量、つまり加熱装置内への処理液の供給量を増大させた場合、被処理基板上に残存するパーティクル数が増大し得ることが知見された。
本発明はこのような知見から蒸気を用いた乾燥方法および乾燥装置を改善しようとするものであり、乾燥後の被処理基板に付着しているパーティクル数を低減することができる基板処理方法および基板処理装置を提供することを目的とする。
また、本発明は、乾燥後の被処理基板に付着しているパーティクル数を低減することができる基板処理方法を実行するためのプログラムおよび当該プログラムを記録したプログラム記録媒体を提供することを目的とする。
本件発明者らは、処理液と不活性ガスとを含む混合流体を加熱する加熱装置の加熱機構の制御方法と、被処理基板に残留するパーティクル数と、の関係を検討した。この結果、本件発明者らは、加熱機構の制御方法が被処理基板に残留するパーティクル数に影響を与える、との知見を得た。そして、本発明はこのような知見に基づくものである。
本発明による第1の基板処理方法は、入口と、出口と、前記入口から前記出口へと流れる流体を加熱する一つ以上の加熱機構と、を有する加熱装置によって加熱された流体を用いて被処理基板を乾燥させる基板処理方法であって、前記入口から前記加熱装置内に不活性ガスと処理液とを供給して、前記不活性ガスと前記処理液とを含む混合流体を前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された前記混合流体を、被処理基板が配置された処理室内へ供給する第1工程と、前記入口から前記加熱装置内に不活性ガスを供給して前記不活性ガスを前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された不活性ガスを前記処理室内へ供給する第2工程と、を備え、前記第1工程において、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、前記加熱装置の少なくとも一つの加熱機構の出力は予め設定された一定の値を維持し、前記第2工程において、前記加熱装置の加熱機構の出力は、加熱中または加熱後の不活性ガスの温度を予め設定された値にすることを目的として、フィードバック制御により決定されることを特徴とする。
本発明による第1の基板処理方法によれば、不活性ガスと処理液とを含む混合流体を被処理基板の乾燥に適した状態で、被処理基板が収容された処理室内へ供給することができる。この結果、乾燥処理後の被処理基板に付着したパーティクル数を著しく低減することができる。また、処理液の供給量を増大させて乾燥能力を向上させることにも可能である。また、既存の基板処理装置の制御方法を変更することのみによって、本発明による基板処理方法を実施することができる。
本発明による第1の基板処理方法において、前記加熱装置は入口から出口に向けて順に配置された複数の加熱機構を有し、前記第1工程において、最も入口側に配置された加熱機構の出力が、前記所定の時間の間、予め設定された一定の値に維持されるようになっている。このとき、前記第1工程において、最も出口側に配置された加熱機構の出力は、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定されるようにしてもよい。
また、本発明による第1の基板処理方法の前記第1工程において、前記少なくとも一つの加熱機構の出力は、前記加熱装置に前記処理液が供給されている間にわたって、一定の値に維持されるようにしてもよい。このような基板処理方法によれば、制御方法がより単純化することができる。あるいは、本発明による第1の基板処理方法の前記第1工程において、前記少なくとも一つの加熱機構の出力は、前記加熱装置に前記処理液が供給され始めてから所定の時間が経過した後の少なくとも一期間、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定されるようにしてもよい。
本発明による第2の基板処理方法は、入口と、出口と、前記入口から前記出口へと流れる流体を加熱する複数の加熱機構と、を有する加熱装置によって加熱された流体を用いて被処理基板を乾燥させる基板処理方法であって、処理室内に被処理基板を配置する工程と、前記入口から前記加熱装置内に不活性ガスと処理液とを供給して、前記不活性ガスと前記処理液とを含む混合流体を前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された前記混合流体を、被処理基板が配置された処理室内へ供給する工程と、を備え、前記混合流体を処理室に供給する工程において、前記加熱装置の最も入口側に配置された加熱機構の出力は、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、予め設定された一定の値を維持し、最も出口側に配置された加熱機構の出力は、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定されることを特徴とする。
本発明による第2の基板処理方法によれば、不活性ガスと処理液とを含む混合流体を被処理基板の乾燥に適した状態で、被処理基板が収容された処理室内へ供給することができる。この結果、乾燥処理後の被処理基板に付着したパーティクル数を著しく低減することができる。また、処理液の供給量を増大させて乾燥能力を向上させることにも可能である。また、既存の基板処理装置の制御方法を変更することのみによって、本発明による基板処理方法を実施することができる。
本発明による第2の基板処理方法の前記混合流体を処理室に供給する工程において、前記最も入口側に配置された加熱機構の出力は、前記加熱装置に前記処理液が供給されている間にわたって、一定の値に維持されるようにしてもよい。このような基板処理方法によれば、制御方法がより単純化することができる。
本発明による第1の基板処理装置は、入口と、出口と、入口から出口まで延びる流路と、流路を加熱する一つ以上の加熱機構と、を有する加熱装置と、前記加熱装置の前記出口と連結され、前記加熱装置から加熱された流体を供給されることによって、内部に収容した被処理基板を乾燥させるようになされた処理室と、前記一つ以上の加熱機構の出力を制御する制御装置と、を備え、前記制御装置は、前記加熱装置によって加熱された不活性ガスと処理液とを含む混合流体を前記処理室に供給する第1工程と、前記加熱装置によって加熱された不活性ガスを前記処理室に供給する第2工程と、を備えた被処理基板の乾燥処理を行う際に、前記第1工程において、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、前記加熱装置の少なくとも一つの加熱機構の出力を予め設定された一定の値に維持し、前記第2工程において、前記加熱装置の加熱機構の出力を、加熱中または加熱後の不活性ガスの温度を予め設定された値とすることを目的として、フィードバック制御により決定するようにしてもよい。
本発明による第1の基板処理装置によれば、不活性ガスと処理液とを含む混合流体を被処理基板の乾燥に適した状態で、被処理基板が収容された処理室内へ供給することができる。この結果、乾燥処理後の被処理基板に付着したパーティクル数を著しく低減することができる。また、処理液の供給量を増大させて乾燥能力を向上させることにも可能である。
本発明による第1の基板処理装置において、前記加熱装置は、入口から出口に向けて順に配置された複数の加熱機構を有し、前記制御装置は、前記乾燥処理の前記第1工程において、最も入口側に配置された加熱機構の出力を、前記所定の時間の間、予め設定された一定の値に維持するようにしてもよい。この場合、前記制御装置は、前記乾燥処理の前記第1工程において、最も出口側に配置された加熱機構の出力を、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定するようにしてもよい。
また、本発明による第1の基板処理装置において、前記制御装置は、前記乾燥処理の前記第1工程において、前記加熱装置に前記処理液が供給されている間にわたって、前記少なくとも一つの加熱機構の出力を一定の値に維持するようにしてもよい。あるいは、本発明による第1の基板処理装置において、前記制御装置は、前記乾燥処理の前記第1工程において、前記加熱装置に前記処理液が供給され始めてから所定の時間が経過した後の少なくとも一期間、前記少なくとも一つの加熱機構の出力を、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定するようにしてもよい。
本発明による第2の基板処理装置は、入口と、出口と、入口から出口まで延びる流路と、流路を加熱する複数の加熱機構と、を有する加熱装置と、前記加熱装置の前記出口と連結され、前記加熱装置から加熱された流体を供給されることによって、内部に収容した被処理基板を乾燥させるようになされた処理室と、前記複数の加熱機構の出力を制御する制御装置と、を備え、前記制御装置は、前記加熱装置によって加熱された不活性ガスと処理液とを含む混合流体を前記処理室に供給する工程を備えた被処理基板の乾燥処理を行う際に、前記加熱装置の最も入口側に配置された加熱機構の出力を、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、予め設定された一定の値に維持し、最も出口側に配置された加熱機構の出力を、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定することを特徴とする。
本発明による第2の基板処理装置によれば、不活性ガスと処理液とを含む混合流体を被処理基板の乾燥に適した状態で、被処理基板が収容された処理室内へ供給することができる。この結果、乾燥処理後の被処理基板に付着したパーティクル数を著しく低減することができる。また、処理液の供給量を増大させて乾燥能力を向上させることにも可能である。
本発明による第2の基板処理装置において、前記制御装置は、前記加熱装置に前記処理液が供給されている間にわたって、前記最も入口側に配置された加熱機構の出力を一定の値に維持するようにしてもよい。
本発明による第1のプログラムは、入口と、出口と、前記入口から前記出口へと流れる流体を加熱する一つ以上の加熱機構と、を有する加熱装置を備えた基板処理装置を制御する制御装置によって実行されるプログラムであって、前記制御装置によって実行されることにより、加熱装置によって加熱された流体を用いて被処理基板を乾燥させる被処理基板の処理方法であって、前記入口から前記加熱装置内に不活性ガスと処理液とを供給して、前記不活性ガスと前記処理液とを含む混合流体を前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された前記混合流体を、被処理基板が配置された処理室内へ供給する第1工程と、前記入口から前記加熱装置内に不活性ガスを供給して前記不活性ガスを前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された不活性ガスを前記処理室内へ供給する第2工程と、を備え、前記第1工程において、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、前記加熱装置の少なくとも一つの加熱機構の出力は予め設定された一定の値を維持し、前記第2工程において、前記加熱装置の加熱機構の出力は、加熱中または加熱後の不活性ガスの温度を予め設定された値にすることを目的として、フィードバック制御により決定される、被処理基板の処理方法を基板処理装置に実施させることを特徴とする。
本発明による第1の記録媒体は、入口と、出口と、前記入口から前記出口へと流れる流体を加熱する一つ以上の加熱機構と、を有する加熱装置を備えた基板処理装置を制御する制御装置によって実行されるプログラムが記録された記録媒体であって、前記プログラムが前記制御装置によって実行されることにより、加熱装置によって加熱された流体を用いて被処理基板を乾燥させる被処理基板の処理方法であって、前記入口から前記加熱装置内に不活性ガスと処理液とを供給して、前記不活性ガスと前記処理液とを含む混合流体を前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された前記混合流体を、被処理基板が配置された処理室内へ供給する第1工程と、前記入口から前記加熱装置内に不活性ガスを供給して前記不活性ガスを前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された不活性ガスを前記処理室内へ供給する第2工程と、を備え、前記第1工程において、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、前記加熱装置の少なくとも一つの加熱機構の出力は予め設定された一定の値を維持し、前記第2工程において、前記加熱装置の加熱機構の出力は、加熱中または加熱後の不活性ガスの温度を予め設定された値にすることを目的として、フィードバック制御により決定される、被処理基板の処理方法を基板洗浄装置に実施させることを特徴とする。
本発明による第2のプログラムは、入口と、出口と、前記入口から前記出口へと流れる流体を加熱する複数の加熱機構と、を有する加熱装置を備えた基板処理装置を制御する制御装置によって実行されるプログラムであって、前記制御装置によって実行されることにより、加熱装置によって加熱された流体を用いて被処理基板を乾燥させる被処理基板の処理方法であって、処理室内に被処理基板を配置する工程と、前記入口から前記加熱装置内に不活性ガスと処理液とを供給して、前記不活性ガスと前記処理液とを含む混合流体を前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された前記混合流体を、被処理基板が配置された処理室内へ供給する工程と、を備え、前記混合流体を処理室に供給する工程において、前記加熱装置の最も入口側に配置された加熱機構の出力は、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、予め設定された一定の値を維持し、最も出口側に配置された加熱機構の出力は、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定される、被処理基板の処理方法を基板処理装置に実施させることを特徴とする。
本発明による第2の記録媒体は、入口と、出口と、前記入口から前記出口へと流れる流体を加熱する複数の加熱機構と、を有する加熱装置を備えた基板処理装置を制御する制御装置によって実行されるプログラムが記録された記録媒体であって、前記プログラムが前記制御装置によって実行されることにより、加熱装置によって加熱された流体を用いて被処理基板を乾燥させる被処理基板の処理方法であって、処理室内に被処理基板を配置する工程と、前記入口から前記加熱装置内に不活性ガスと処理液とを供給して、前記不活性ガスと前記処理液とを含む混合流体を前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された前記混合流体を、被処理基板が配置された処理室内へ供給する工程と、を備え、前記混合流体を処理室に供給する工程において、前記加熱装置の最も入口側に配置された加熱機構の出力は、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、予め設定された一定の値を維持し、最も出口側に配置された加熱機構の出力は、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定される、被処理基板の処理方法を基板洗浄装置に実施させることを特徴とする。
本発明によれば、乾燥処理後の被処理基板に付着したパーティクル数を著しく低減することができる。また、処理液の供給量を増大させて乾燥能力を向上させることも可能である。
以下、図面を参照して本発明の一実施の形態について説明する。なお、以下の実施の形態においては、本発明による基板処理装置を半導体ウエハの処理装置、さらに具体的には半導体ウエハの洗浄装置に適用した例を説明する。ただし、本発明による基板洗浄装置は、半導体ウエハの洗浄への適用に限られるものではなく、広く基板の処理に適用することができる。
図1乃至図9は本発明による基板処理方法および基板処理装置の一実施の形態を示す図である。このうち図1は基板処理装置の構成を概略的に示す図であり、図2は基板処理方法を説明するための図である。
まず、図1を参照して、基板処理装置10を説明する。図1に示すように、本実施の形態において、基板処理装置10は、収容した半導体ウエハ(被処理基板)Wを洗浄する洗浄室35と、洗浄室35に接続され洗浄室35から洗浄済のウエハWを受ける処理室30と、処理室30に接続された加熱装置40と、加熱装置40に接続された制御装置20と、を備えている。このような基板処理装置10は、半導体ウエハWを洗浄するとともに洗浄後のウエハWを乾燥させるようになされている。
図1に示すように、本実施の形態において、洗浄室35は複数枚のウエハW、例えば50枚のウエハWを収容し得るようになっている。洗浄室は、純水源36に接続され、純水源36から純水(DIW)を受けるようになっている。そして、洗浄室35内において、何らかの洗浄処理を受けた複数枚のウエハWに対し、純水源36からの純水によって、リンス処理(濯ぎ処理)を施すことができるようになっている。なお、洗浄室35内で行われるウエハに対する洗浄処理としては、例えば、超音波洗浄が挙げられる。
同様に図1に示すように、処理室30も複数枚のウエハW、例えば50枚のウエハWを収容し得るようになっている。処理室30内には吹き出し口31が設けられており、この吹き出し口31は加熱装置40に連結されている。後述するように、加熱された流体が加熱装置40から吹き出し口31を介して処理室30内に吹き込まれ、処理室30内に収容されたウエハWを乾燥させる。
また、処理室30にはドレン32が設けられている。ドレン32には弁32aが設けられ、弁を開閉操作することにより、処理室30の内圧を調整することができるようになっている。
なお、図1に示すように、洗浄室35と処理室30との間には開閉機構34が設けられている。この開閉機構34を閉鎖することにより、洗浄室35と処理室30との間は遮断されるようになる。開閉機構34としては、シャッター等の公知の開閉手段が用いられ得る。
次に、加熱装置40について詳述する。図1に示すように、本実施の形態において、加熱装置は、入口41a,41bと、出口44と、入口41a,41bから出口44まで延びる配管(流路)50と、配管50を加熱する加熱機構56,57,58,59と、を有している。
図1に示すように、加熱装置40には二つの入口41a,41bが設けられている。一方の入口41aは不活性ガス源27に接続されており、他方の入口41bは処理液源28に接続されている。本実施の形態において、不活性ガス源27は、流量制御弁等の適切な機器類を介し、所定量の窒素を入口41aに供給し得るようになっている。一方、本実施の形態において、処理液源28は、流量制御弁等の適切な機器類を介し、所定量のIPA(イソプロピルアルコール)を流体として入口41bに供給し得るようになっている。二つの入口41a,41bは合流部42に接続されており、各入口41a,41bから供給された流体は合流し得るようになっている。合流部41は、例えば、ミキシングバルブや二流体ノズル等から構成され得る。
本実施の形態において、加熱装置40には、入口41a,41bから出口44に向けて順に配置された第1乃至第4加熱機構56,57,58,59が設けられている。本実施の形態において、各加熱機構56,57,58,59は棒状に延びるハロゲンランプからなっている。図1に示すように各加熱機構56,57,58,59は、それぞれ別個の電力調整器56a,57a,58a,59aに接続され、電力調整器56a,57a,58a,59aから電力を供給されるようになっている。また、各電力調整器56a,57a,58a,59aは制御装置20に接続されている。そして、各加熱機構56,57,58,59の出力を決定するようになる、各電力調整器56a,57a,58a,59aからハロゲンランプへの電力供給量は、制御装置20によって別個に制御されるようになっている。
また、図1に示すように、配管50は、第1乃至第4加熱機構56,57,58,59のそれぞれに対応して入口41a,41bから出口44に向けて順に設けられた第1乃至第4スパイラル部51,52,53,54を含んでいる。各スパイラル部51,52,53,54は、それぞれ配管50の一部分をおおよそ隙間無く螺旋状に巻くことによって形成されており、この結果、円筒状の形状を有している。そして、図1に示すように、円筒形状を有するスパイラル部51,52,53,54の中心を貫くようにして、細長状に延びる加熱機構56,57,58,59が配置されている。言い換えると、対応する加熱機構56,57,58,59を外方から包囲するようにして、各スパイラル部51,52,53,54が配置されている。
このような構成からなる加熱装置40においては、ハロゲンランプからなる加熱機構56,57,58,59から照射されるエネルギを、加熱機構56,57,58,59を取り囲むスパイラル部51,52,53,54が受け取る。そして、受け取ったエネルギによってスパイラル部51,52,53,54が加熱され、結果として、スパイラル部51,52,53,54内を流れる流体が加熱されるようになっている。
なお、このような加熱装置40においては、加熱機構56,57,58,59からの光エネルギを効率的に吸収し得るように、スパイラル部51,52,53,54が黒色に塗装されていることが好ましい。また、エネルギの損失を防止すべく、加熱機構56,57,58,59を含むスパイラル部51,52,53,54が、断熱材によって形成された収容体内に配置されていることが好ましい。
図1に示すように、本実施の形態において、第2スパイラル部52と第3スパイラル部53との間に配管50内の温度を測定するための中間温度センサ45が設けられている。また、第4スパイラル部54と出口44との間に配管50内の温度を測定するための最終温度センサ46が設けられている。さらに、第4スパイラル部54と出口44との間に配管50内を流れる流体の流量を調整するマスフローメータ47が設けられている。これらの中間温度センサ45、最終温度センサ46、およびマスフローメータ47は、図1に示すように、制御装置20に接続されている。
また、配管50にはドレン48が設けられており、図1に示すように、このドレン48はマスフローメータ47の上流側に配置されている。ドレン48には弁48aが設けられ、弁48aを開閉操作することにより、配管50内を流れる流体を外部に開放することができるようになっている。
制御装置20は、基板処理装置10の各構成要素に接続され、各構成要素の動作を制御するようになっている。本実施の形態において、制御装置20は、コンピュータ21と、コンピュータ21によって読み取り可能なプログラム記録媒体22と、を含んでいる。コンピュータ21が記録媒体22に予め記憶されたプログラムを実行することによって、基板洗浄装置10を用いた被処理ウエハWの処理が実行されるようになっている。
一例として、制御装置20は、予め設定されたプログラムに従い、各電力調整器56a,57a,58a,59aから対応する加熱機構56,57,58,59への電力供給量を個別に制御するようになっている。制御装置20は、配管50内を流れる流体の温度を所望の温度とすべく、各温度センサ45,46による検出値に基づいたフィードバック制御により、各加熱機構56,57,58,59の出力を算出することができる。この場合、各温度センサ45,46によって検出される温度に基づき、電力調整器56a,57a,58a,59aからの電力供給量が変動し、この結果、各加熱機構56,57,58,59の出力も変動するようになる。その一方で、本実施の形態において、制御装置20は、各加熱機構56,57,58,59の出力が一定値となるように、電力調整器56a,57a,58a,59aから各加熱機構56,57,58,59へ電力を供給するようにすることもできる。この場合、各温度センサ45,46による検出値が変動したとしても、電力調整器56a,57a,58a,59aからの電力供給量は一定値に維持される。
次に、このような構成からなる基板処理装置10を用いたウエハWの処理方法の一例について説明する。
図2に示すように、本実施の形態において、被処理ウエハWは、洗浄室35内において、洗浄処理を施される(洗浄工程)。上述したように、洗浄処理としては、洗浄液を用いた超音波洗浄等が挙げられる。次に、洗浄室35内において、リンス処理を施される(リンス工程)。この工程において、被処理ウエハWは、例えば、純水源36から供給される純水に浸され、洗浄処理で用いられた洗浄液が濯ぎ落とされる。
濯ぎ工程が終了すると、洗浄室35と処理室30とを区切る開閉機構34が開き、洗浄室35と処理室30とが連通する。そして、複数のウエハWが処理室30内へと上昇する。複数のウエハWが処理室30内に移動すると、開閉機構34が再び閉じて、洗浄室35と処理室30とが区画される。このようにして処理室30内にウエハWが配置される(配置工程)。
次に、処理室30内において、収容されたウエハWを乾燥させる乾燥工程が開始される。
ところで、上述の洗浄工程、リンス工程およびウエハ配置工程と並行し、不活性ガス(例えば、窒素)が、不活性ガス源27から加熱装置40内に入口41aを介して供給されている。配管50内を流れる窒素は第1乃至第4スパイラル部51,52,53,54内を通過する際に、第1乃至第4加熱機構56,57,58,59から各スパイラル部51,52,53,54に加えられた熱によって加熱される。
このとき、制御装置20は、中間温度センサ45による測定値が所定の値となるよう、第1電力調整器56aから第1加熱機構56への電力供給量および第2電力調整器57aから第2加熱機構57への電力供給量を、記録媒体22に記録されたプログラムに従って制御する。つまり、制御装置20は、加熱中の不活性ガスの温度が予め設定された温度となるように、第1加熱機構56の出力および第2加熱機構57の出力を、中間温度センサ45の測定値を入力値としたフィードバック制御を用いて、決定する。同様に、制御装置20は、最終温度センサ46による測定値が所定の値となるよう、第3電力調整器58aから第3加熱機構58への電力供給量および第4電力調整器59aから第4加熱機構59への電力供給量を、記録媒体22に記録されたプログラムに従って制御する。つまり、制御装置20は、加熱後の不活性ガスの温度が予め設定された温度となるように、第3加熱機構58の出力および第4加熱機構59の出力を、最終温度センサ46の測定値を入力値としたフィードバック制御により、決定する。なお、制御装置20による制御方式としては、種々の公知な制御方法を採用することができるが、一般的に有効な方法として、PID制御が挙げられる。
ところでこの間、加熱された不活性ガスの一部は処理室30内に導入され、残りの不活性ガスはドレン48から配管50外に排出される。このようにして乾燥工程が開始される前に、配管50の温度が所望の温度に保たれ、乾燥工程の開始時に、加熱装置40の出口44から所望の温度あるいは所望の温度近傍に加熱された不活性ガスを供給し得る状態となっている。
図2に示すように、本実施の形態において、乾燥工程は第1工程と第2工程とを有している。第1工程においては、加熱装置40にて不活性ガスとIPAとの混合流体が加熱され、加熱された混合流体が吹き出し口31を介して処理室30内に供給される。一方、第2工程においては、加熱装置40にて不活性ガスが加熱され、加熱された不活性ガスが吹き出し口31を介して処理室30内に供給される。以下、第1工程および第2工程について順に説明する。
第1工程において、まず、不活性ガスが不活性ガス源27から加熱装置40に入口41aを介して供給されるとともに、液体のIPAが処理液源28から加熱装置40に入口41bを介して供給される。加熱装置40に供給された不活性ガスとIPAとは合流部42にて合流し、その後、混合流体として配管50内を流れることになる。
混合流体は、配管50の第1乃至第4スパイラル部51,52,53,54内を通過する際、対応する各加熱機構56,57,58,59からの熱によって加熱される。ここで、表1を用いて、第1工程における各加熱機構56,57,58,59の出力について説明する。
Figure 2008066535
表1に示すように、制御装置20は、第1工程中、記録媒体22に記録されたプログラムに従い、第1電力調整器56aから第1加熱機構56への電力供給量および第2電力調整器57aから第2加熱機構57への電力供給量が一定値となるようにする。つまり、制御装置20は、第1加熱機構56の出力および第2加熱機構57の出力を一定とするよう、第1加熱機構56の出力および第2加熱機構57の出力を制御する。なお、第1加熱機構56の出力および第2加熱機構57の出力は、定格出力や定格出力の所定の割合(例えば70%や80%等)等に設定され得る。一方、制御装置20は、最終温度センサ46による測定値が所定の値となるよう、第3電力調整器58aから第3加熱機構58への電力供給量および第4電力調整器59aから第4加熱機構59への電力供給量を、記録媒体22に記録されたプログラムに従って制御する。つまり、制御装置20は、加熱後の混合流体の温度が予め設定された温度となるように、第3加熱機構58の出力および第4加熱機構59の出力を、フィードバック制御を用いて決定する。したがって、第1工程中、中間温度センサ45は、単なる監視用センサとして機能する。
乾燥工程の第1工程が開始されると、加熱装置40内に液体のIPAが供給されるようになる。IPAの供給量は、通常で2ml/sec、多くて4ml/sec程度となる。そして、液体のIPAを供給することによって、混合流体の温度は、それまで配管50内を流れていた不活性ガスの温度よりも低くなってしまう。また、配管50の温度も、それまで維持されていた所望の温度よりも低くなってしまう。
その一方で、上述したように、第1加熱機構56の出力および第2加熱機構57の出力は一定の値をとるように維持される。したがって、第2加熱機構57によって加熱される第2スパイラル部52直後に配置された中間温度センサ45の測定値は、時間の経過に伴って上下を繰り返すようには変動しない。中間温度センサ45の測定値は、好ましくは、第1工程開始時にいったん降下し、その後、上昇を続けるように変動する。また、中間温度センサ45以降の第3スパイラル部53および第4スパイラル部54に加えられる加熱量は、最終温度センサ46の測定値に基づき、制御される。この結果、加熱装置40の出口44を通過する際、混合流体が所望の温度を有するようになり、混合流体中におけるIPAは蒸気化した状態となる。
このようにして、蒸気化したIPAと窒素とを含む混合流体が、吹き出し口31を介して処理室30内に供給されるようになる。そして、処理室30内の雰囲気が、蒸気化したIPAと窒素とを含む混合流体によって置換されるようになる。このようなIPA蒸気を含む雰囲気によって、ウエハWの表面に付着していた純水膜や純水滴をウエハWの表面から迅速に取り除くことができる。このとき、純水膜や純水滴に含有されていたパーティクルは、ウエハWの表面に残存することなく、純水膜や純水滴とともにウエハWの表面から除去されるようになる。このような第1工程は、例えば60秒から120秒間継続され、IPA源28からのIPAの供給が停止することによって終了する。
そして、IPA源28からのIPAの供給が停止することによって、乾燥工程の第2工程が開始される。すなわち、第2工程においては、IPAの供給が停止する一方で、不活性ガスが不活性ガス源27から加熱装置40に入口41aを介して供給され続ける。不活性ガスは、配管50の第1乃至第4スパイラル部51,52,53,54内を通過する際、対応する各加熱機構56,57,58,59から熱によって加熱される。
表2に示すように、制御装置20は、第2工程中、中間温度センサ45による測定値が所定の値となるよう、第1電力調整器56aから第1加熱機構56への電力供給量および第2電力調整器57aから第2加熱機構57への電力供給量を、記録媒体22に記録されたプログラムに従って制御する。つまり、制御装置20は、加熱中の不活性ガスの温度が予め設定された温度となるように、第1加熱機構56の出力および第2加熱機構57の出力を、フィードバック制御により決定する。一方、制御装置20は、最終温度センサ46による測定値が所定の値となるよう、第3電力調整器58aから第3加熱機構58への電力供給量および第4電力調整器59aから第4加熱機構59への電力供給量を、記録媒体22に記録されたプログラムに従って制御する。つまり、制御装置20は、加熱後の不活性ガスの温度が予め設定された温度となるように、第3加熱機構58の出力および第4加熱機構59の出力を、フィードバック制御により決定する。
この結果、加熱装置40の出口44を通過する際、不活性ガスが所望の温度を有するようになる。所望の温度まで加熱された不活性ガスは、吹き出し口31を介して処理室30内に供給され、これにより、処理室30内からIPA蒸気が排出されるようになる。この結果、処理室30内の雰囲気が不活性ガスによって置換され、ウエハWが完全に乾燥する。このような第2工程は、例えば60秒から240秒間継続される。
後述する実施例から明らかとなるように、以上のように第1工程中における第1加熱機構56の出力および第2加熱機構57の出力が一定の値をとるようにした場合、乾燥処理後にウエハWに残存するパーティクル数は非常に少なくなる。このような現象が生じるメカニズムは明らかではないが、その一要因と考えられ得るメカニズムについて説明する。ただし、本件発明は以下のメカニズムの限定されるものではない。
第1加熱機構56の出力および第2加熱機構57の出力をフィードバック制御によって決定するようにした場合、液体IPAの供給開始時から数十秒間、上流側のスパイラル部内における温度が上下変動を繰り返すようになる。ここで図3および図4は、液体IPAの供給時における、第1スパイラル部51の入側外表面温度、入側内部温度、中間外表面温度、出側外表面温度、出側内部温度(各位置は図1参照)、最終温度センサ測定値、並びに、第1加熱機構56の定格出力に対する出力の比を、時間経過とともに示している。図3および図4に示す例において、第1加熱機構56の出力は、第1スパイラル部51の出側外表面温度を所定の温度に維持するよう、PID制御によって決定されている。また、図4に示す例は、図3に示す例に比べてPID制御の入力値(出側外表面温度)に対する感度を高めたものである。
図3および図4に示すように、液体IPAの供給開始時から数十秒間、第1スパイラル部51内における各位置の温度は激しく上下変動を繰り返すようになる。つまり、第1スパイラル部51内を通過する流体の温度は安定していない。その一方で、最終温度センサ46を通過する際の流体温度は、下流側の加熱機構を用いた温度制御により、所望の値に安定するようになっている。
ところで、IPAを安定して蒸気化させるためには、混合流体を所定の温度以上に加熱するだけでなく、所定の温度以上に所定の時間維持することが有効であると考えられる。すなわち、IPA供給による温度低下が著しい上流側の加熱機構の出力を、フィードバック制御(より具体的には、PID制御)により求められる変動出力として、流体温度が上下変動を繰り返すようにすることは、IPAを確実に蒸気化させるという点において好ましくないと考えられる。その一方で、加熱機構の出力を固定出力として、流体温度を早期に上昇させ始めるとともに安定して上昇させることは、IPAを確実に蒸気化させるという点において有効であると考えられる。
また、IPA供給時に大きな温度変動が生じるのは、時間的にはIPAの供給を開始し始めた時期であり、位置的には最も上流側に配置された第1スパイラル部51内である。したがってこのような観点からすれば、少なくとも最上流側に配置された加熱機構51の出力を、少なくとも加熱装置40にIPAを供給し始めてから所定の時間が経過するまでの間、固定出力に設定しておくことにより、乾燥後のウエハWに残留するパーティクル数を低減することができる、と想定される。
以上のような本実施の形態によれば、乾燥工程の第1工程の間、つまり加熱装置40にIPAが供給されている間にわたって、制御装置20は、上流側の加熱機構の出力を一定の値に維持するようになっている。したがって、この加熱装置40によって加熱される配管50内を流れる混合流体の温度が安定する。この結果、不活性ガスとIPAとを含む混合ガスをIPAが蒸気化した状態で処理室30内に供給し得ると予想される。このようなことから、処理室30内において、パーティクルの付着を効果的に防止しながら、ウエハの乾燥処理を行うことができる。また、固定出力時における加熱機構の出力を増大させることにより、IPAの供給量が多くなった場合にも対応することができる。また、このようなウエハの処理方法は、既存の設備の制御方法を変更することのみよって採用することができる。
上述した実施の形態に関し、本発明の要旨の範囲内で種々の変更が可能である。以下、変形例の一例について説明する。
上述した実施の形態における基板処理装置10に、上述した温度センサ等の機器類以外の機器、例えば、配管50内を流れる流体の状態や流量等を監視・制御するための機器類を種々の位置に設けるようにしてもよい。
また、上述した実施の形態において、第2工程中、第1加熱機構56の出力および第2加熱機構57の出力が、中間温度センサ45による測定値に基づいたフィードバック制御によって、決定される例を示したが、これに限られない。加熱中または加熱後の流体の温度を予め設定された値にすることを目的として、種々の入力値に基づいたフィードバック制御により、第1加熱機構56の出力および第2加熱機構57の出力が決定されるようにしてもよい。ここで、種々の入力値とは、例えば、第1スパイラル部51や第2スパイラル部52のいずれかの位置における表面温度等が挙げられる。
同様に、上述した実施の形態において、第1工程中および第2工程中、第3加熱機構58の出力および第4加熱機構59の出力が、最終温度センサ46による測定値に基づいたフィードバック制御によって、決定される例を示したが、これに限られない。加熱中または加熱後の流体の温度を予め設定された値にすることを目的として、種々の入力値に基づいたフィードバック制御により、第3加熱機構58の出力および第4加熱機構59の出力が決定されるようにしてもよい。ここで、種々の入力値とは、例えば、第3スパイラル部53や第4スパイラル部54のいずれかの位置における表面温度等が挙げられる。
さらに、上述した実施の形態において、四つの加熱機構56,57,58,59を設けるとともに、これらに対応した四つのスパイラル部51,52,53,54を設けた例を示したが、これに限られない。一つの加熱機構および一つのスパイラル部だけを設けるようにしてもよいし、二つの加熱機構および二つのスパイラル部を設けるようにしてもよいし、三つの加熱機構および三つのスパイラル部を設けるようにしてもよいし、五つ以上の加熱機構および五つ以上のスパイラル部を設けるようにしてもよい。
さらに、上述した実施の形態において、第1工程の間にわたって、第1加熱機構56の出力および第2加熱機構57の出力が一定の値となるようにした例を示したが、これに限られない。上述したように、少なくとも最上流側に配置された加熱機構51の出力を、少なくとも加熱装置40にIPAが供給され始めてから所定の時間が経過するまでの間、固定出力に設定しておくことにより、乾燥後のウエハWに残留するパーティクル数を低減することができる、と想定される。したがって、表2に示すように、加熱装置40にIPAを供給し始めてから所定の時間が経過するまでの間(表2における「初期」)だけ、すなわち第1工程中の一期間のみ、第1加熱機構56の出力および第2加熱機構57の出力を固定出力とするようにしてもよい。
Figure 2008066535
さらに、表3に示すように、加熱装置40にIPAを供給し始めてから所定の時間が経過するまでの間(表2における「初期」)だけ、第1加熱機構56の出力および第2加熱機構57の出力をある一定の値(例えば、定格出力の80%)に維持し、その後の期間、第1加熱機構56の出力および第2加熱機構57の出力を前記一定の値とは異なる別の一定の値(例えば、定格出力の50%)に維持するようにしてもよい。また、表3に示すように、第1工程を三つ以上の期間に区分けし、各期間において、加熱機構の出力を異なる方法で制御するようにしてもよい。さらに、表3に示すように、第1加熱機構56の出力値(例えば、定格値の80%)と、第2加熱機構57の出力値(例えば、定格値の60%)とを異なる値に設定してもよい。
Figure 2008066535
さらに、加熱装置40にIPAを供給し始めてから所定の時間、最上流側の加熱機構の出力のみを固定出力とし、他の加熱機構の出力をPID制御によって決定される変動出力としてもよい。さらに、加熱装置40にIPAを供給し始めてから所定の時間、最下流側の加熱機構以外の加熱機構の出力を固定出力とし、最下流側の加熱機構の出力のみをPID制御によって決定される変動出力としてもよい。
さらに、上述した実施の形態についてのいくつかの変形例を説明してきたが、当然に、複数の変形例を適宜組み合わせて適用することも可能である。
ところで、上述のように、基板処理装置10はコンピュータ21を含む制御装置20を備えている。この制御装置20により、基板処理装置10の各構成要素が動作させられ、被処理ウエハWに対する処理が実行されるようになっている。そして、基板処理装置10を用いたウエハWの処理を実施するために、制御装置20のコンピュータ21によって実行されるプログラムも本件の対象である。また、当該プログラムを記録したコンピュータ読み取り可能な記録媒体22も、本件の対象である。ここで、記録媒体22とは、フロッピーディスク(フレキシブルディスク)やハードディスクドライブ等の単体として認識することができるものの他、各種信号を伝搬させるネットワークも含む。
なお、以上の説明においては、本発明による基板処理方法、基板処理装置、プログラム、および記録媒体を、ウエハWの処理に適用した例を示しているが、これに限られず、LCD基板やCD基板等の処理に適用することも可能である。
本発明を実施例によってさらに詳細に説明する。
図1に示す基板処理装置を用い、リンス処理後のウエハに対し、IPAと窒素とを含む混合流体を加熱して処理室に供給する第1工程と、窒素を加熱して処理室に供給する第2工程と、を有する乾燥処理を施した。第1乃至第4加熱機構の定格出力はそれぞれ2000Wであった。第1工程中における窒素の供給量を100l/minとし、第2工程中における窒素の供給量を100l/minとした。第2工程の総時間を150秒とした。
第1工程および第2工程の間、第3加熱機構の出力および第4加熱機構の出力は、最終温度センサの測定値が所定の値となるように、最終温度センサの測定値に基づいたフィードバック制御により、決定した。また、第2工程の間、第1加熱機構の出力および第2加熱機構の出力は、中間温度センサの測定値が所定の値となるように、中間温度センサの測定値に基づいたフィードバック制御により、決定した。なお、フィードバック制御の制御方式として、PID制御を用いた。
以下、各実施例および各比較例について個別に設定した条件について、図5を参照しながら説明する。ここで図5は、各実施例における第1工程中の第1加熱機構および第2加熱機構の制御方法を説明するための図である。
(実施例1A)
図5に示すように、実施例1Aでは、第1工程の総時間を90秒とした。第1工程の間に渡って、第1加熱機構の出力および第2加熱機構の出力を一定の値に設定した。第1加熱機構の出力値および第2加熱機構の出力値は、表4に示すように、定格出力の60%、70%、80%、90%および100%の五種類に設定した(それぞれ実施例1A−1乃至実施例1A−5とする)。
また、IPA液体の供給量を4ml/secとした。
(実施例1B)
図5に示すように、実施例1Bでは、第1工程の総時間を90秒とした。第1工程の間に渡って、第1加熱機構の出力および第2加熱機構の出力を一定の値に設定した。第1加熱機構の出力値および第2加熱機構の出力値は、定格出力の70%に設定した。
また、IPA液体の供給量を3ml/secとした。
(実施例1C)
図5に示すように、実施例1Cでは、第1工程の総時間を90秒とした。第1工程の間に渡って、第1加熱機構の出力および第2加熱機構の出力を一定の値に設定した。第1加熱機構の出力値および第2加熱機構の出力値は、定格出力の60%に設定した。
また、IPA液体の供給量を2ml/secとした。
(実施例2A)
図5に示すように、実施例2Aでは、第1工程の総時間を60秒とした。第1工程の間に渡って、第1加熱機構の出力および第2加熱機構の出力を一定の値に設定した。第1加熱機構の出力値および第2加熱機構の出力値は、定格出力の80%に設定した。
また、IPA液体の供給量を4ml/secとした。
(実施例2B)
図5に示すように、実施例2Bでは、第1工程の総時間を60秒とした。第1工程の間に渡って、第1加熱機構の出力および第2加熱機構の出力を一定の値に設定した。第1加熱機構の出力値および第2加熱機構の出力値は、定格出力の70%に設定した。
また、IPA液体の供給量を3ml/secとした。
(実施例2C)
図5に示すように、実施例2Cでは、第1工程の総時間を60秒とした。第1工程の間に渡って、第1加熱機構の出力および第2加熱機構の出力を一定の値に設定した。第1加熱機構の出力値および第2加熱機構の出力値は、定格出力の60%に設定した。
また、IPA液体の供給量を2ml/secとした。
(実施例3A)
図5に示すように、実施例3Aでは、第1工程の総時間を120秒とした。第1工程の間に渡って、第1加熱機構の出力および第2加熱機構の出力を一定の値に設定した。第1加熱機構の出力値および第2加熱機構の出力値は、定格出力の80%に設定した。
また、IPA液体の供給量を4ml/secとした。
(実施例3B)
図5に示すように、実施例3Bでは、第1工程の総時間を120秒とした。第1工程の間に渡って、第1加熱機構の出力および第2加熱機構の出力を一定の値に設定した。第1加熱機構の出力値および第2加熱機構の出力値は、定格出力の70%に設定した。
また、IPA液体の供給量を3ml/secとした。
(実施例3C)
図5に示すように、実施例3Cでは、第1工程の総時間を120秒とした。第1工程の間に渡って、第1加熱機構の出力および第2加熱機構の出力を一定の値に設定した。第1加熱機構の出力値および第2加熱機構の出力値は、定格出力の60%に設定した。
また、IPA液体の供給量を2ml/secとした。
(実施例4A)
図5に示すように、実施例4では、第1工程の総時間を90秒とした。第1工程開始時からの30秒間、第1加熱機構の出力および第2加熱機構の出力を一定の値に設定した。第1加熱機構の出力値および第2加熱機構の出力値は、表4に示すように、定格出力の60%および100%の二種類に設定した(それぞれ実施例4A−1および実施例4A−2とする)。
一方、第1工程の残り60秒間、第1加熱機構の出力および第2加熱機構の出力は、中間温度センサの測定値が所定の値となるように、中間温度センサの測定値に基づいたフィードバック制御により、決定した。なお、フィードバック制御の制御方式として、PID制御を用いた。
また、IPA液体の供給量を4ml/secとした。
(比較例1A、1B、1C)
比較例1では、第1工程の総時間を90秒とした。第1工程の間に渡って、第1加熱機構の出力および第2加熱機構の出力は、中間温度センサの測定値が所定の値となるように、中間温度センサの測定値に基づいたフィードバック制御により、決定した。なお、フィードバック制御の制御方式として、PID制御を用いた。
また、IPA液体の供給量を4ml/sec、3ml/secおよび2ml/secとした(それぞれ比較例1A、比較例1Bおよび比較例1Cとする)。
(比較例2A、2B、2C)
比較例2では、第1工程の総時間を60秒とした。第1工程の間に渡って、第1加熱機構の出力および第2加熱機構の出力は、中間温度センサの測定値が所定の値となるように、中間温度センサの測定値に基づいたフィードバック制御により、決定した。なお、フィードバック制御の制御方式として、PID制御を用いた。
また、IPA液体の供給量を4ml/sec、3ml/secおよび2ml/secとした(それぞれ比較例2A、比較例2Bおよび比較例2Cとする)。
(比較例3A、3B、3C)
比較例3では、第1工程の総時間を120秒とした。第1工程の間に渡って、第1加熱機構の出力および第2加熱機構の出力は、中間温度センサの測定値が所定の値となるように、中間温度センサの測定値に基づいたフィードバック制御により、決定した。なお、フィードバック制御の制御方式として、PID制御を用いた。
また、IPA液体の供給量を4ml/sec、3ml/secおよび2ml/secとした(それぞれ比較例3A、比較例3Bおよび比較例3Cとする)。
(パーティクル数の計測)
上述の各実施例および各比較例について、パーティクルカウンタを用い、ウエハ一枚に残存するパーティクルの平均数を測定した。
表4に、実施例1A−1乃至1A−5、実施例4A−1および4A−2、並びに、比較例1Aの測定結果を示す。表4中には、パーティクルカウンタによって、0.06μm以上のパーティクル数を測定した場合の測定結果、0.09μm以上のパーティクル数を測定した場合の測定結果、および、0.16μm以上のパーティクル数を測定した場合の測定結果を示している。表4から明らかなように、実施例1A−1乃至1A−5、並びに、実施例4A−1および4A−2においては、比較例1Aに比べ、ウエハ一枚に残存する平均パーティクル数を格段に低減することができる。
Figure 2008066535
また、図6および図7には、比較例1Aおよび実施例1A−3における、最終温度センサの測定値、中間温度センサの測定値、および第1スパイラル部の出側外表面(図1参照)の温度測定値を、時間の経過とともに示している。実施例だけでなく比較例においても、最終温度センサの測定値はほぼ一定値に安定していた。
一方、図8および図9には、各実施例のパーティクル測定結果(白抜き)を、対応する比較例(斜線)とともにグラフで表している。なお、図8はパーティクルカウンタによって0.16μm以上のパーティクル数を測定した場合の測定結果を示し、図9は0.06μm以上のパーティクル数を測定した場合の測定結果を示している。IPAの供給量が3ml/secおよび4ml/secの場合、実施例においても、比較例に比べ、第1工程の時間に関係無くウエハ一枚に残存する平均パーティクル数を格段に低減することができる。
図1は、本発明による基板処理装置の一実施の形態を示す概略構成図である。 図2は、本発明による基板処理方法の一実施の形態を説明するための図である。 図3は、液体IPAの供給時における、第1スパイラル部の入側外表面温度、入側内部温度、中間外表面温度、出側外表面温度、出側内部温度、最終温度センサの測定値、並びに、第1加熱機構56の定格出力に対する出力の比を、時間経過とともに示す図である。 図4は、液体IPAの供給時における、第1スパイラル部の入側外表面温度、入側内部温度、中間外表面温度、出側外表面温度、出側内部温度、最終温度センサの測定値、並びに、第1加熱機構56の定格出力に対する出力の比を、時間経過とともに示す図である。 図5は、各実施例における第1工程中の第1加熱機構および第2加熱機構の制御条件を説明するための図である。 図6は、比較例1Aおよび実施例1A−3における、最終温度センサの測定値、中間温度センサの測定値、および第1スパイラル部の出側外表面の温度測定値を、時間の経過とともに示す図である。 図7は、比較例1Aおよび実施例1A−3における、最終温度センサの測定値、中間温度センサの測定値、および第1スパイラル部の出側外表面の温度測定値を、時間の経過とともに示す図である。 図8は、各実施例のパーティクル測定結果(白抜き)を、対応する比較例(斜線)とともにグラフで示している。 図9は、各実施例のパーティクル測定結果(白抜き)を、対応する比較例(斜線)とともにグラフで示している。
符号の説明
10 基板処理装置
20 制御装置
21 コンピュータ
22 記録媒体
30 処理室
31 吹き出し口
40 加熱装置
41a 入口
41b 入口
44 出口
45 中間温度センサ
46 最終温度センサ
50 配管(流路)
51 第1スパイラル部
52 第2スパイラル部
53 第3スパイラル部
54 第4スパイラル部
56 第1加熱機構
57 第2加熱機構
58 第3加熱機構
59 第4加熱機構

Claims (18)

  1. 入口と、出口と、前記入口から前記出口へと流れる流体を加熱する一つ以上の加熱機構と、を有する加熱装置によって加熱された流体を用いて被処理基板を乾燥させる基板処理方法であって、
    前記入口から前記加熱装置内に不活性ガスと処理液とを供給して、前記不活性ガスと前記処理液とを含む混合流体を前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された前記混合流体を、被処理基板が配置された処理室内へ供給する第1工程と、
    前記入口から前記加熱装置内に不活性ガスを供給して前記不活性ガスを前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された不活性ガスを前記処理室内へ供給する第2工程と、を備え、
    前記第1工程において、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、前記加熱装置の少なくとも一つの加熱機構の出力は予め設定された一定の値を維持し、
    前記第2工程において、前記加熱装置の加熱機構の出力は、加熱中または加熱後の不活性ガスの温度を予め設定された値にすることを目的として、フィードバック制御により決定される
    ことを特徴とする基板処理方法。
  2. 前記加熱装置は入口から出口に向けて順に配置された複数の加熱機構を有し、
    前記第1工程において、最も入口側に配置された加熱機構の出力が、前記所定の時間の間、予め設定された一定の値に維持される
    ことを特徴とする請求項1に記載の基板処理方法。
  3. 前記第1工程において、最も出口側に配置された加熱機構の出力は、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定される
    ことを特徴とする請求項2に記載の基板処理方法。
  4. 前記第1工程において、前記少なくとも一つの加熱機構の出力は、前記加熱装置に前記処理液が供給されている間にわたって、一定の値に維持される
    ことを特徴とする請求項1乃至3のいずれか一項に記載の基板処理方法。
  5. 前記第1工程において、前記少なくとも一つの加熱機構の出力は、前記加熱装置に前記処理液が供給され始めてから所定の時間が経過した後の少なくとも一期間、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定される
    ことを特徴とする請求項1乃至3のいずれか一項に記載の基板処理方法。
  6. 入口と、出口と、前記入口から前記出口へと流れる流体を加熱する複数の加熱機構と、を有する加熱装置によって加熱された流体を用いて被処理基板を乾燥させる基板処理方法であって、
    処理室内に被処理基板を配置する工程と、
    前記入口から前記加熱装置内に不活性ガスと処理液とを供給して、前記不活性ガスと前記処理液とを含む混合流体を前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された前記混合流体を、被処理基板が配置された処理室内へ供給する工程と、を備え、
    前記混合流体を処理室に供給する工程において、前記加熱装置の最も入口側に配置された加熱機構の出力は、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、予め設定された一定の値を維持し、最も出口側に配置された加熱機構の出力は、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定される
    ことを特徴とする基板処理方法。
  7. 前記混合流体を処理室に供給する工程において、前記最も入口側に配置された加熱機構の出力は、前記加熱装置に前記処理液が供給されている間にわたって、一定の値に維持される
    ことを特徴とする請求項6に記載の基板処理方法。
  8. 入口と、出口と、入口から出口まで延びる流路と、流路を加熱する一つ以上の加熱機構と、を有する加熱装置と、
    前記加熱装置の前記出口と連結され、前記加熱装置から加熱された流体を供給されることによって、内部に収容した被処理基板を乾燥させるようになされた処理室と、
    前記一つ以上の加熱機構の出力を制御する制御装置と、を備え、
    前記制御装置は、
    前記加熱装置によって加熱された不活性ガスと処理液とを含む混合流体を前記処理室に供給する第1工程と、前記加熱装置によって加熱された不活性ガスを前記処理室に供給する第2工程と、を備えた被処理基板の乾燥処理を行う際に、
    前記第1工程において、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、前記加熱装置の少なくとも一つの加熱機構の出力を予め設定された一定の値に維持し、
    前記第2工程において、前記加熱装置の加熱機構の出力を、加熱中または加熱後の不活性ガスの温度を予め設定された値とすることを目的として、フィードバック制御により決定する
    ことを特徴とする基板処理装置。
  9. 前記加熱装置は、入口から出口に向けて順に配置された複数の加熱機構を有し、
    前記制御装置は、前記乾燥処理の前記第1工程において、最も入口側に配置された加熱機構の出力を、前記所定の時間の間、予め設定された一定の値に維持する
    ことを特徴とする請求項8に記載の基板処理装置。
  10. 前記制御装置は、前記乾燥処理の前記第1工程において、最も出口側に配置された加熱機構の出力を、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定する
    ことを特徴とする請求項9に記載の基板処理装置。
  11. 前記制御装置は、前記乾燥処理の前記第1工程において、前記加熱装置に前記処理液が供給されている間にわたって、前記少なくとも一つの加熱機構の出力を一定の値に維持する
    ことを特徴とする請求項8乃至10のいずれか一項に記載の基板処理装置。
  12. 前記制御装置は、前記乾燥処理の前記第1工程において、前記加熱装置に前記処理液が供給され始めてから所定の時間が経過した後の少なくとも一期間、前記少なくとも一つの加熱機構の出力を、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定する
    ことを特徴とする請求項8乃至10のいずれか一項に記載の基板処理装置。
  13. 入口と、出口と、入口から出口まで延びる流路と、流路を加熱する複数の加熱機構と、を有する加熱装置と、
    前記加熱装置の前記出口と連結され、前記加熱装置から加熱された流体を供給されることによって、内部に収容した被処理基板を乾燥させるようになされた処理室と、
    前記複数の加熱機構の出力を制御する制御装置と、を備え、
    前記制御装置は、
    前記加熱装置によって加熱された不活性ガスと処理液とを含む混合流体を前記処理室に供給する工程を備えた被処理基板の乾燥処理を行う際に、
    前記加熱装置の最も入口側に配置された加熱機構の出力を、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、予め設定された一定の値に維持し、
    最も出口側に配置された加熱機構の出力を、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定する
    ことを特徴とする基板処理装置。
  14. 前記制御装置は、前記加熱装置に前記処理液が供給されている間にわたって、前記最も入口側に配置された加熱機構の出力を一定の値に維持する
    ことを特徴とする請求項13に記載の基板処理装置。
  15. 入口と、出口と、前記入口から前記出口へと流れる流体を加熱する一つ以上の加熱機構と、を有する加熱装置を備えた基板処理装置を制御する制御装置によって実行されるプログラムであって、
    前記制御装置によって実行されることにより、
    加熱装置によって加熱された流体を用いて被処理基板を乾燥させる被処理基板の処理方法であって、
    前記入口から前記加熱装置内に不活性ガスと処理液とを供給して、前記不活性ガスと前記処理液とを含む混合流体を前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された前記混合流体を、被処理基板が配置された処理室内へ供給する第1工程と、
    前記入口から前記加熱装置内に不活性ガスを供給して前記不活性ガスを前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された不活性ガスを前記処理室内へ供給する第2工程と、を備え、
    前記第1工程において、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、前記加熱装置の少なくとも一つの加熱機構の出力は予め設定された一定の値を維持し、
    前記第2工程において、前記加熱装置の加熱機構の出力は、加熱中または加熱後の不活性ガスの温度を予め設定された値にすることを目的として、フィードバック制御により決定される、被処理基板の処理方法を
    基板処理装置に実施させることを特徴とするプログラム。
  16. 入口と、出口と、前記入口から前記出口へと流れる流体を加熱する一つ以上の加熱機構と、を有する加熱装置を備えた基板処理装置を制御する制御装置によって実行されるプログラムが記録された記録媒体であって、
    前記プログラムが前記制御装置によって実行されることにより、
    加熱装置によって加熱された流体を用いて被処理基板を乾燥させる被処理基板の処理方法であって、
    前記入口から前記加熱装置内に不活性ガスと処理液とを供給して、前記不活性ガスと前記処理液とを含む混合流体を前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された前記混合流体を、被処理基板が配置された処理室内へ供給する第1工程と、
    前記入口から前記加熱装置内に不活性ガスを供給して前記不活性ガスを前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された不活性ガスを前記処理室内へ供給する第2工程と、を備え、
    前記第1工程において、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、前記加熱装置の少なくとも一つの加熱機構の出力は予め設定された一定の値を維持し、
    前記第2工程において、前記加熱装置の加熱機構の出力は、加熱中または加熱後の不活性ガスの温度を予め設定された値にすることを目的として、フィードバック制御により決定される、被処理基板の処理方法を
    基板洗浄装置に実施させることを特徴とする記録媒体。
  17. 入口と、出口と、前記入口から前記出口へと流れる流体を加熱する複数の加熱機構と、を有する加熱装置を備えた基板処理装置を制御する制御装置によって実行されるプログラムであって、
    前記制御装置によって実行されることにより、
    加熱装置によって加熱された流体を用いて被処理基板を乾燥させる被処理基板の処理方法であって、
    処理室内に被処理基板を配置する工程と、
    前記入口から前記加熱装置内に不活性ガスと処理液とを供給して、前記不活性ガスと前記処理液とを含む混合流体を前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された前記混合流体を、被処理基板が配置された処理室内へ供給する工程と、を備え、
    前記混合流体を処理室に供給する工程において、前記加熱装置の最も入口側に配置された加熱機構の出力は、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、予め設定された一定の値を維持し、最も出口側に配置された加熱機構の出力は、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定される、被処理基板の処理方法を
    基板処理装置に実施させることを特徴とするプログラム。
  18. 入口と、出口と、前記入口から前記出口へと流れる流体を加熱する複数の加熱機構と、を有する加熱装置を備えた基板処理装置を制御する制御装置によって実行されるプログラムが記録された記録媒体であって、
    前記プログラムが前記制御装置によって実行されることにより、
    加熱装置によって加熱された流体を用いて被処理基板を乾燥させる被処理基板の処理方法であって、
    処理室内に被処理基板を配置する工程と、
    前記入口から前記加熱装置内に不活性ガスと処理液とを供給して、前記不活性ガスと前記処理液とを含む混合流体を前記加熱装置内において加熱するとともに、前記加熱装置の前記出口から排出される加熱された前記混合流体を、被処理基板が配置された処理室内へ供給する工程と、を備え、
    前記混合流体を処理室に供給する工程において、前記加熱装置の最も入口側に配置された加熱機構の出力は、前記加熱装置に前記処理液が供給され始めてから所定の時間が経つまでの間、予め設定された一定の値を維持し、最も出口側に配置された加熱機構の出力は、加熱中または加熱後の混合流体の温度を予め設定された値とすることを目的として、フィードバック制御により決定される、被処理基板の処理方法を
    基板洗浄装置に実施させることを特徴とする記録媒体。
JP2006243276A 2006-09-07 2006-09-07 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体 Active JP4762835B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2006243276A JP4762835B2 (ja) 2006-09-07 2006-09-07 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体
KR1020070086176A KR101061951B1 (ko) 2006-09-07 2007-08-27 기판 처리 방법, 기판 처리 장치 및 프로그램 기록 매체
US11/896,936 US8020315B2 (en) 2006-09-07 2007-09-06 Substrate processing method, substrate processing apparatus, and program storage medium
TW096133248A TW200816301A (en) 2006-09-07 2007-09-06 Substrate processing method, substrate processing apparatus, and program storage medium
EP07017587A EP1898446A3 (en) 2006-09-07 2007-09-07 Substrate processing method, substrate processing apparatus, and program storage medium
US13/209,741 US8266820B2 (en) 2006-09-07 2011-08-15 Substrate processing method, and program storage medium therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006243276A JP4762835B2 (ja) 2006-09-07 2006-09-07 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体

Publications (2)

Publication Number Publication Date
JP2008066535A true JP2008066535A (ja) 2008-03-21
JP4762835B2 JP4762835B2 (ja) 2011-08-31

Family

ID=38657151

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006243276A Active JP4762835B2 (ja) 2006-09-07 2006-09-07 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体

Country Status (5)

Country Link
US (2) US8020315B2 (ja)
EP (1) EP1898446A3 (ja)
JP (1) JP4762835B2 (ja)
KR (1) KR101061951B1 (ja)
TW (1) TW200816301A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8020315B2 (en) * 2006-09-07 2011-09-20 Tokyo Electron Limited Substrate processing method, substrate processing apparatus, and program storage medium
WO2014017378A1 (ja) * 2012-07-25 2014-01-30 株式会社Kelk 半導体製造装置用温度調整装置、半導体製造におけるpid定数演算方法、及び半導体製造装置用温度調整装置の運転方法

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7637029B2 (en) 2005-07-08 2009-12-29 Tokyo Electron Limited Vapor drying method, apparatus and recording medium for use in the method
US20070298110A1 (en) * 2006-06-22 2007-12-27 Xerox Corporation Methods for embedding nanoparticles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5646419B2 (ja) * 2011-09-09 2014-12-24 東京エレクトロン株式会社 基板処理装置、基板処理方法および記憶媒体
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6224359B2 (ja) * 2013-06-20 2017-11-01 株式会社Screenホールディングス 基板処理装置のためのスケジュール作成方法およびスケジュール作成プログラム
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
DE102014207266A1 (de) * 2014-04-15 2015-10-15 Siltronic Ag Verfahren zum Trocknen von scheibenförmigen Substraten undScheibenhalter zur Durchführung des Verfahrens
US9349620B2 (en) * 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101927478B1 (ko) * 2014-09-02 2018-12-10 주식회사 제우스 기판 액처리 방법 및 장치
US9522844B2 (en) * 2014-09-03 2016-12-20 Shenzhen China Star Optoelectronics Technology Co., Ltd. Low temperature poly-silicon thin film preparation apparatus and method for preparing the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR101880232B1 (ko) * 2015-07-13 2018-07-19 주식회사 제우스 기판 액처리 장치 및 방법
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
CN106546088A (zh) * 2015-09-16 2017-03-29 泰科电子(上海)有限公司 风干系统
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6779701B2 (ja) * 2016-08-05 2020-11-04 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板処理方法を実行させるプログラムが記録された記憶媒体
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
JP6943595B2 (ja) * 2017-03-31 2021-10-06 ロレアル 少なくとも2種類の液体を基材のそれぞれのターゲットエリア上に施与するためのシステム、およびその方法
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
KR102135110B1 (ko) 2018-11-30 2020-07-17 세메스 주식회사 기판 처리 장치 및 가스 분산판 온도 제어 방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125649A (ja) * 1996-10-23 1998-05-15 Tokyo Electron Ltd 蒸気発生装置および該装置の処理液排出方法
JPH11154026A (ja) * 1997-08-12 1999-06-08 Tokyo Electron Ltd ガス系の制御方法及びその装置
JPH11305805A (ja) * 1998-04-23 1999-11-05 Kokusai Electric Co Ltd プロセス制御方法及びそれを用いる電子デバイス製造方法
JP2005064482A (ja) * 2004-07-15 2005-03-10 Ses Co Ltd 基板処理方法及び基板処理装置

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3152872A (en) * 1964-10-13 figure
US1480804A (en) * 1920-09-30 1924-01-15 Wood Products And By Products Process of treating lumber
US1721686A (en) * 1926-11-22 1929-07-23 Russell Vail N Method of extracting oils from oleaginous materials
US2422536A (en) * 1943-01-11 1947-06-17 William J Finnegan Apparatus for treatment of foods by dehydration or refrigeration comprising trucks and a treating inclosure
US2467435A (en) * 1944-08-25 1949-04-19 Anderson Co V D Solvent recovery distillation system
US2573966A (en) * 1947-02-19 1951-11-06 Us Hoffman Machinery Corp Method of dry cleaning
US2681512A (en) * 1949-04-20 1954-06-22 Us Hoffman Machinery Corp Dry cleaning system
US3212197A (en) * 1961-06-08 1965-10-19 James R Crawford Drying method and apparatus
US3256613A (en) * 1963-04-05 1966-06-21 Robert J Patch Fabric treatment
US3218728A (en) * 1963-04-08 1965-11-23 Fmc Corp Low pressure carrier gas sublimation
US3578299A (en) * 1969-09-26 1971-05-11 Gen Electric Method and apparatus for cement kiln control
US3714719A (en) * 1971-02-01 1973-02-06 Wayne T & Ass Apparatus for desolventizing solvent extracted milled rice and rice bran
US3905126A (en) * 1974-08-13 1975-09-16 Aer Corp Incinerator hot oil recovery system
US4013038A (en) * 1975-07-21 1977-03-22 Corning Glass Works Apparatus for controlling the temperature of a liquid body
US4064636A (en) * 1976-01-02 1977-12-27 Delta And Pine Land Company Of Mississippi Apparatus for delinting cottonseed
US4484396A (en) * 1979-07-02 1984-11-27 United Air Specialists, Inc. Oxygen reduction system and condenser apparatus with automatic defrost
JPS5649802A (en) * 1979-09-28 1981-05-06 Eitaro Kaneko Incremental combustion device
US4319410A (en) * 1980-06-24 1982-03-16 The Brandt Company Dryer system for drilling mud cuttings
US4497121A (en) * 1981-05-04 1985-02-05 Polaroid Corporation Process simulator
US4545134A (en) * 1983-04-01 1985-10-08 The Boc Group, Inc. Dual vessel heat exchange systems
JPS58193007A (ja) * 1983-04-14 1983-11-10 Sanyo Electric Co Ltd 液体燃料燃焼装置
US4685220A (en) * 1983-09-02 1987-08-11 American Toxic Disposal Partners Method and apparatus for separating dioxin from fluidizable solids
JPS60147515A (ja) * 1984-01-11 1985-08-03 Ishikawajima Harima Heavy Ind Co Ltd 熱交換器の非凝縮性ガス検出方法
CH664005A5 (de) * 1984-05-19 1988-01-29 Glatt Maschinen & Apparatebau Verfahren zum trocknen eines teilchenfoermigen gutes und einrichtung zur durchfuehrung des verfahrens.
JPS60255524A (ja) * 1984-05-31 1985-12-17 Nippon Denso Co Ltd ヒ−トパイプ使用伝熱装置
US4686779A (en) * 1985-08-30 1987-08-18 Ve Holding Corp. Method of and apparatus for particulate matter conditioning
US4667418A (en) * 1985-11-18 1987-05-26 Ve Holding Corp. Anaerobic pasteurizing conditioning system
US4941820A (en) * 1986-04-16 1990-07-17 Nea Technologies, Inc. Pulse combustion energy system
US4992043A (en) * 1986-04-16 1991-02-12 Nea Technologies, Inc. Pulse combustion energy system
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US5135122A (en) * 1989-01-03 1992-08-04 The J. M. Smucker Company Method and apparatus for dehydrating fruit
US5020237A (en) * 1989-01-03 1991-06-04 The J. M. Smucker Company Method and apparatus for dehydrating fruit
DE3904111A1 (de) * 1989-02-11 1990-08-16 Battelle Institut E V Verfahren und vorrichtung zur umweltfreundlichen massenentsaeuerung von buechern und anderen papiererzeugnissen
JPH0672742B2 (ja) * 1989-05-12 1994-09-14 共和真空技術株式会社 凍結真空乾燥装置および凍結真空乾燥方法
US5154007A (en) * 1989-08-17 1992-10-13 Board Of Regents University Of Texas System Method and apparatus for cryopreparing biological tissue
EP0419939B1 (en) * 1989-09-12 1994-02-23 Stec Inc. Apparatus for vaporizing and supplying organometal compounds
US5037676A (en) * 1989-12-27 1991-08-06 Xerox Corporation Method and apparatus for cleaning, coating and curing receptor substrates in an enclosed planetary array
US5177877A (en) * 1989-12-28 1993-01-12 Am International, Inc. Dryer-fuser apparatus and method for high speed electrophotographic printing device
US5248393A (en) * 1990-01-31 1993-09-28 S&K Products International, Inc. Solvent reprocessing system
CA2051092C (en) * 1990-09-12 2002-07-23 Stephen A. Livesey Method and apparatus for cryopreparation, dry stabilization and rehydration of biological suspensions
US6185842B1 (en) * 1990-10-17 2001-02-13 Gencor Industries, Inc. Apparatus and methods for controlling the temperature of exhaust gases in a drum mixer
NL9002522A (nl) * 1990-11-19 1992-06-16 Dalhuisen Gasres Apeldoorn Gasbrandersysteem, gasbrander, en een werkwijze voor verbrandingsregeling.
US5083870A (en) * 1991-01-18 1992-01-28 Sindelar Robert A Asphalt plant with segmented drum and zonal heating
US5105558A (en) * 1991-03-28 1992-04-21 Curry Donald P Apparatus and process for drying cellulosic and textile substances with superheated steam
US5156173A (en) * 1991-05-14 1992-10-20 Envirosolv High-efficiency, low-emissions cleaning method and apparatus
US5335425A (en) * 1991-08-14 1994-08-09 Matsushita Electric Industrial Co., Ltd. Dry-processing apparatus for heating and drying objects to be processed
US5651193A (en) * 1994-02-09 1997-07-29 The Gsi Group, Inc. Grain dryer and control system therefor
JPH08189768A (ja) * 1994-11-07 1996-07-23 Ryoden Semiconductor Syst Eng Kk 蒸気乾燥装置、それを組込んだ洗浄装置および蒸気乾燥方法
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5673496A (en) * 1995-04-10 1997-10-07 Tiegel Manufacturing Company Dry charge machine and method
US5968285A (en) * 1995-06-07 1999-10-19 Gary W. Ferrell Methods for drying and cleaning of objects using aerosols and inert gases
US5659972A (en) * 1995-10-06 1997-08-26 Avery Dennison Corporation Apparatus and method for drying or curing web materials and coatings
KR980012044A (ko) * 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5908292A (en) * 1997-03-07 1999-06-01 Semitool, Inc. Semiconductor processing furnace outflow cooling system
JP3230051B2 (ja) * 1997-05-16 2001-11-19 東京エレクトロン株式会社 乾燥処理方法及びその装置
US6009635A (en) * 1997-05-27 2000-01-04 Tecminomet S.A. Method and apparatus for producing gas occlusion-free and void-free compounds and composites
US6218458B1 (en) * 1997-05-27 2001-04-17 Mardela International, Inc. S.A. Method and apparatus for producing gas occlusion-free and void-free compounds and composites
US6143219A (en) * 1997-05-27 2000-11-07 Mardela International Inc. S.A. Method and apparatus for producing gas occlusion-free and void-free compounds and composites
JP3897404B2 (ja) * 1997-07-22 2007-03-22 オメガセミコン電子株式会社 ベーパ乾燥装置及び乾燥方法
US5884640A (en) * 1997-08-07 1999-03-23 Applied Materials, Inc. Method and apparatus for drying substrates
JP3194036B2 (ja) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 乾燥処理装置及び乾燥処理方法
US6270584B1 (en) * 1997-12-03 2001-08-07 Gary W. Ferrell Apparatus for drying and cleaning objects using controlled aerosols and gases
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US5913981A (en) * 1998-03-05 1999-06-22 Micron Technology, Inc. Method of rinsing and drying semiconductor wafers in a chamber with a moveable side wall
US6042369A (en) * 1998-03-26 2000-03-28 Technomics, Inc. Fluidized-bed heat-treatment process and apparatus for use in a manufacturing line
US6328809B1 (en) * 1998-10-09 2001-12-11 Scp Global Technologies, Inc. Vapor drying system and method
JP2000208504A (ja) * 1999-01-19 2000-07-28 Asahi Denka Kogyo Kk シリカ膜の形成方法及び電子部品の製造方法
JP2000212750A (ja) * 1999-01-19 2000-08-02 Asahi Denka Kogyo Kk 酸化タンタル膜の形成方法及び電子部品の製造方法
JP2000277504A (ja) * 1999-01-19 2000-10-06 Asahi Denka Kogyo Kk リンドープシリカ膜の形成方法及び電子部品の製造方法
JP2000277503A (ja) * 1999-01-19 2000-10-06 Asahi Denka Kogyo Kk ホウ素ドープシリカ膜の形成方法及び電子部品の製造方法
JP2000277505A (ja) * 1999-01-19 2000-10-06 Asahi Denka Kogyo Kk リンドープシリカ膜の形成方法及び電子部品の製造方法
JP2000219970A (ja) * 1999-01-29 2000-08-08 Asahi Denka Kogyo Kk シリカ膜の形成方法、電子部品の製造方法及び光学部品の製造方法
US20040000069A1 (en) * 1999-03-12 2004-01-01 Gurol I. Macit Agglomerating and drying apparatus
US6270708B1 (en) * 1999-03-12 2001-08-07 Tamer International, Ltd. Agglomerating and drying apparatus
US6729040B2 (en) * 1999-05-27 2004-05-04 Oliver Design, Inc. Apparatus and method for drying a substrate using hydrophobic and polar organic compounds
US6625901B1 (en) * 1999-05-27 2003-09-30 Oliver Design, Inc. Apparatus and method for drying a thin substrate
JP2001049434A (ja) * 1999-08-10 2001-02-20 Asahi Denka Kogyo Kk TiN膜の形成方法及び電子部品の製造方法
US6199298B1 (en) * 1999-10-06 2001-03-13 Semitool, Inc. Vapor assisted rotary drying method and apparatus
US6272768B1 (en) * 1999-11-12 2001-08-14 Michael J. Danese Apparatus for treating an object using ultra-violet light
US6223449B1 (en) * 1999-11-18 2001-05-01 Johnson Research & Development Company, Inc. Apparatus for extracting plasticizer
US6282812B1 (en) * 1999-12-20 2001-09-04 St Assembly Test Services Pte Ltd. Multi air-knife box and method of use
US6589359B2 (en) * 2000-07-11 2003-07-08 Tokyo Electron Limited Cleaning method and cleaning apparatus for substrate
KR100417040B1 (ko) * 2000-08-03 2004-02-05 삼성전자주식회사 웨이퍼를 건조시키기 위한 방법 및 이를 수행하기 위한웨이퍼 건조장치
JP4085813B2 (ja) * 2000-12-28 2008-05-14 株式会社ニコン 露光装置
US7809253B2 (en) * 2001-08-27 2010-10-05 Flexair, Inc. Compact air drying system
US6931205B2 (en) * 2001-08-27 2005-08-16 Flexair, Inc. Compact integrated forced air drying system
JP2003179025A (ja) * 2001-09-27 2003-06-27 Dainippon Screen Mfg Co Ltd 基板処理装置
US6763605B2 (en) * 2002-05-31 2004-07-20 Baker Hughes Incorporated Centrifugal drill cuttings drying apparatus
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6918192B2 (en) * 2002-11-07 2005-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate drying system
DE10259952A1 (de) * 2002-12-20 2004-07-01 Robert Bosch Gmbh Gasheizgerät mit Brennereinheit und Wärmetauscher
AU2004215035A1 (en) * 2003-02-28 2004-09-10 Delta S Technologies Limtied Improved efficiency dehumidifier drier with reversible airflow and improved control
JP3595325B1 (ja) * 2003-05-20 2004-12-02 健一 多賀 ハンドルの温度制御装置
US20050026455A1 (en) * 2003-05-30 2005-02-03 Satomi Hamada Substrate processing apparatus and substrate processing method
JP3592702B1 (ja) * 2003-08-12 2004-11-24 エス・イー・エス株式会社 基板処理方法及び基板処理装置
RU2006114770A (ru) * 2003-09-29 2007-11-10 Селф Пропеллед Рисерч энд Дивелопмент Спешелистс,эЛэЛСи (US) Сушильное устройство (варианты), стиральное устройство и сушильная камера (варианты)
TWI240952B (en) * 2003-10-28 2005-10-01 Samsung Electronics Co Ltd System for rinsing and drying semiconductor substrates and method therefor
KR100564582B1 (ko) * 2003-10-28 2006-03-29 삼성전자주식회사 전자 소자 기판의 표면 처리 장치 및 이를 이용한 표면처리 방법
US20070186340A1 (en) * 2003-11-21 2007-08-16 Brian Gay Coating apparatus and method
US20050147749A1 (en) 2004-01-05 2005-07-07 Msp Corporation High-performance vaporizer for liquid-precursor and multi-liquid-precursor vaporization in semiconductor thin film deposition
US20050220984A1 (en) * 2004-04-02 2005-10-06 Applied Materials Inc., A Delaware Corporation Method and system for control of processing conditions in plasma processing systems
JP4502189B2 (ja) * 2004-06-02 2010-07-14 ルネサスエレクトロニクス株式会社 薄膜の形成方法および半導体装置の製造方法
US20050274035A1 (en) * 2004-06-04 2005-12-15 Wastech International, Inc. Waste handling system
US7730633B2 (en) * 2004-10-12 2010-06-08 Pesco Inc. Agricultural-product production with heat and moisture recovery and control
US7987613B2 (en) * 2004-10-12 2011-08-02 Great River Energy Control system for particulate material drying apparatus and process
US7404262B2 (en) * 2004-10-12 2008-07-29 Pesco, Inc. Heat-moisture control in agricultural-product production using moisture from water vapor extraction
JP3969419B2 (ja) * 2004-12-16 2007-09-05 セイコーエプソン株式会社 被加熱体の乾燥方法、加熱炉、及びデバイスの製造方法
JP4179276B2 (ja) * 2004-12-24 2008-11-12 セイコーエプソン株式会社 溶媒除去装置および溶媒除去方法
JP2006194531A (ja) * 2005-01-14 2006-07-27 Tlv Co Ltd 蒸気減温装置
US7637029B2 (en) * 2005-07-08 2009-12-29 Tokyo Electron Limited Vapor drying method, apparatus and recording medium for use in the method
ATE556282T1 (de) * 2005-11-23 2012-05-15 Sherwin Williams Co System und verfahren zur steuerung von energieeingabe an ein material
US7665227B2 (en) * 2005-12-30 2010-02-23 Whirlpool Corporation Fabric revitalizing method using low absorbency pads
US7921578B2 (en) * 2005-12-30 2011-04-12 Whirlpool Corporation Nebulizer system for a fabric treatment appliance
US8839527B2 (en) * 2006-02-21 2014-09-23 Goji Limited Drying apparatus and methods and accessories for use therewith
JP4762835B2 (ja) * 2006-09-07 2011-08-31 東京エレクトロン株式会社 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体
JP2008125832A (ja) * 2006-11-21 2008-06-05 Sanyo Electric Co Ltd ランドリー機器
US20090077825A1 (en) * 2007-07-17 2009-03-26 Semiconductor Analytical Services, Inc. (Sas Inc.) Apparatus and method for cleaning and drying solid objects
US20090090022A1 (en) * 2007-10-09 2009-04-09 Hememics Biotechnologies, Inc. Desiccation Chamber and Methods for Drying Biological Materials

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125649A (ja) * 1996-10-23 1998-05-15 Tokyo Electron Ltd 蒸気発生装置および該装置の処理液排出方法
JPH11154026A (ja) * 1997-08-12 1999-06-08 Tokyo Electron Ltd ガス系の制御方法及びその装置
JPH11305805A (ja) * 1998-04-23 1999-11-05 Kokusai Electric Co Ltd プロセス制御方法及びそれを用いる電子デバイス製造方法
JP2005064482A (ja) * 2004-07-15 2005-03-10 Ses Co Ltd 基板処理方法及び基板処理装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8020315B2 (en) * 2006-09-07 2011-09-20 Tokyo Electron Limited Substrate processing method, substrate processing apparatus, and program storage medium
US8266820B2 (en) 2006-09-07 2012-09-18 Tokyo Electron Limited Substrate processing method, and program storage medium therefor
WO2014017378A1 (ja) * 2012-07-25 2014-01-30 株式会社Kelk 半導体製造装置用温度調整装置、半導体製造におけるpid定数演算方法、及び半導体製造装置用温度調整装置の運転方法
JP2014041593A (ja) * 2012-07-25 2014-03-06 Kelk Ltd 半導体製造装置用温度調整装置、半導体製造におけるpid定数演算方法、及び半導体製造装置用温度調整装置の運転方法
KR20150031286A (ko) * 2012-07-25 2015-03-23 가부시키가이샤 케르쿠 반도체 제조 장치용 온도 조정 장치, 반도체 제조에서의 pid 상수 연산 방법, 및 반도체 제조 장치용 온도 조정 장치의 운전 방법
US9484231B2 (en) 2012-07-25 2016-11-01 Kelk Ltd. Temperature controller for semiconductor manufacturing equipment, method for calculating PID constants in semiconductor manufacturing, and method for operating temperature controller for semiconductor manufacturing equipment
KR101700257B1 (ko) 2012-07-25 2017-01-26 가부시키가이샤 케르쿠 반도체 제조 장치용 온도 조정 장치, 반도체 제조에서의 pid 상수 연산 방법, 및 반도체 제조 장치용 온도 조정 장치의 운전 방법

Also Published As

Publication number Publication date
KR20080023120A (ko) 2008-03-12
KR101061951B1 (ko) 2011-09-05
US20080060214A1 (en) 2008-03-13
TWI361456B (ja) 2012-04-01
US8266820B2 (en) 2012-09-18
EP1898446A3 (en) 2012-01-11
TW200816301A (en) 2008-04-01
JP4762835B2 (ja) 2011-08-31
EP1898446A2 (en) 2008-03-12
US20110296707A1 (en) 2011-12-08
US8020315B2 (en) 2011-09-20

Similar Documents

Publication Publication Date Title
JP4762835B2 (ja) 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体
US9460944B2 (en) Substrate treating apparatus and method of treating substrate
JP6740098B2 (ja) 基板処理装置、基板処理方法及び記憶媒体
KR100709982B1 (ko) 기판처리방법 및 기판처리장치
TWI525685B (zh) 液體處理裝置、液體處理方法及記憶媒體
US7637029B2 (en) Vapor drying method, apparatus and recording medium for use in the method
US20110099838A1 (en) Fluid heater, manufacturing method thereof, substrate processing apparatus including fluid heater, and substrate processing method
JP2013045972A5 (ja)
JP2018074103A (ja) 基板処理装置、基板処理方法及び記録媒体
JP2007046838A (ja) 蒸気乾燥方法及びその装置並びにその記録媒体
JP3745140B2 (ja) 基板処理装置
JP4842787B2 (ja) 蒸気発生装置、処理システム、蒸気発生方法及び記録媒体
JP5912597B2 (ja) 流体二酸化炭素の供給装置及び供給方法
JP7390837B2 (ja) 基板処理方法および基板処理装置
TW202125678A (zh) 基板處理裝置及基板處理方法
WO2019087702A1 (ja) 基板処理装置及び基板処理方法
US20240069451A1 (en) Supercritical fluid supply apparatus, substrate processing apparatus including the same, and substrate processing method using the same
WO2021210597A1 (ja) ガス供給装置
JP7493325B2 (ja) 基板処理装置
TWI694229B (zh) 液體加熱裝置及洗淨系統
JP2007216144A (ja) 蒸気処理方法及びその装置
JP5083191B2 (ja) 紫外線処理装置
CN117747487A (zh) 基片处理装置和基片处理方法
JP2004014822A (ja) 基板処理装置
JPH11330040A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090114

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101014

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101022

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110603

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110608

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140617

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4762835

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250