JP2006237432A - エッチング装置のクリーニング方法 - Google Patents

エッチング装置のクリーニング方法 Download PDF

Info

Publication number
JP2006237432A
JP2006237432A JP2005052434A JP2005052434A JP2006237432A JP 2006237432 A JP2006237432 A JP 2006237432A JP 2005052434 A JP2005052434 A JP 2005052434A JP 2005052434 A JP2005052434 A JP 2005052434A JP 2006237432 A JP2006237432 A JP 2006237432A
Authority
JP
Japan
Prior art keywords
etching
plasma
cleaning
etched
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005052434A
Other languages
English (en)
Other versions
JP4764028B2 (ja
Inventor
Atsushi Yoshida
篤 吉田
Kotaro Fujimoto
幸太郎 藤本
Takeshi Shimada
剛 島田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2005052434A priority Critical patent/JP4764028B2/ja
Priority to US11/203,092 priority patent/US7662235B2/en
Publication of JP2006237432A publication Critical patent/JP2006237432A/ja
Application granted granted Critical
Publication of JP4764028B2 publication Critical patent/JP4764028B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like

Abstract

【課題】 金属膜をエッチングするエッチング装置のクリーニング方法において、エッチング処理室内部に堆積するエッチングによって生じた堆積物を効率良く除去して」、エッチング性能の再現性が有り、エッチング処理室内部から異物の発塵が少ない状態を維持する。
【解決の手段】 金属膜を有する試料を1枚エッチングする(S1)毎に、ダミー基板と試料を入れ替え(S2)、第1工程となる酸素(O)と四フッ化炭素(CF)のプラズマ処理により炭素系物質の堆積物を除去し(S3)、第2工程となる三塩化ホウ素(BCl)と塩素(Cl)のプラズマ処理により第1工程で除去できなかった残留物及び金属膜の除去を行なって(S4)、真空容器内のクリーニングを行なう。
【選択図】 図5

Description

本発明は、ドライエッチング装置のクリーニング方法に係り、特に半導体デバイスをエッチング処理するエッチング装置において、被エッチング膜のエッチングレート、被エッチング膜のエッチングレートウエハ面内均一性、被エッチング膜とマスク材または下地物質とのエッチングレート比(選択比)に再現性があって変動が少なく、装置内部からの異物発塵を最小限に抑えて安定した装置状態を供給できるようにしたクリーニング方法に関するものである。
半導体デバイスの製造工程において、微細なパターンを形成するためにエッチング技術が用いられる。エッチング技術には、ドライエッチングとウェットエッチングがあり、近年ではその加工性の良さからドライエッチングが主流である。このドライエッチング技術としては、マイクロ波プラズマエッチング、反応性イオンエッチング等が知られており、いずれも真空容器内にエッチングガスを導入し、サイクロトロン共鳴や高周波電界を利用してプラズマ状態に励起し、被エッチング膜をエッチング加工するものである。
一方、半導体デバイスの素子配線材料として、アルミニウム(Al)が用いられている。近年では、デバイスの高集積化に伴い素子の微細化が進んでおり、より化学的に安定で低抵抗の材料が注目されている。例えば金(Au)がその代替材料と考えられ、その他にも白金(Pt)、銀(Ag)、チタン(Ti)、チタンナイトライド(TiN)、酸化チタン(TiO)、アルミニウム合金、或いはこれらの膜の積層膜などがある。また、デバイス構造も薄膜化が進んでおり、マスク材であるフォトレジスト(PR)、酸化膜(SiO)、チタン(Ti)、チタンナイトライド(TiN)、下地物質である酸化膜(SiO)、有機膜に対し高い選択性が求められている。
これらを解決する手段として、エッチングガスに塩素(Cl)、三塩化ホウ素(BCl)、臭化水素(HBr)のうちから選ばれる少なくとも1種のガスに、メタン(CH)、エタン(C)、アセチレン(C)、ジクロロメタン(CHCl)、ジブロムメタン(CHBr)、クロロメタン(CHCl)、ブロムメタン(CHBr)、フルオルメタン(CHF)のうちから選ばれる少なくとも1種のガスを添加した混合ガスによって、エッチングすることにより高い選択性が得られる。
但し、添加ガスにCH系主体のガスを用いるため、被エッチング膜をエッチングする際、装置内部にはCH系の生成物が堆積する。また、被エッチング膜そのもの、或いは被エッチング膜とエッチングガスによって生じた反応生成物が排気されず装置内部に堆積する。いずれの堆積物もエッチング性能の低下や異物発生の原因となるため、随時除去することが必要である。
真空容器内部に付着した堆積物を除去する方法として、プラズマ処理によって実施するドライクリーニングと、容器内を大気開放して堆積物を除去するウェットクリーニングがある。通常、半導体デバイスの生産性を確保するため、短時間で行なえるドライクリーニングが選択される。ドライクリーニングに関する従来の技術として下記の方法が知られている。
(1)カーボン系堆積物の除去方法(例えば、特許文献1または特許文献2参照)
(2)アルミニウム(Al)、チタンナイトライド(TiN)、アルミニウム合金の堆積物の除去方法(例えば、特許文献3参照)
いずれも、クリーニングガスを選択しプラズマ処理することによって、真空容器内部に堆積した堆積物の除去するものである。しかしながら、金(Au)、白金(Pt)、銀(Ag)、チタン(Ti)、チタンナイトライド(TiN)、酸化チタン(TiO)、アルミニウム(Al)、アルミニウム合金、或いはこれらの膜の積層膜を、エッチングガスに塩素(Cl)、三塩化ホウ素(BCl)、臭化水素(HBr)のうちから選ばれる少なくとも1種のガスに、メタン(CH)、エタン(C)、アセチレン(C)、ジクロロメタン(CHCl)、ジブロムメタン(CHBr)、クロロメタン(CHCl)、ブロムメタン(CHBr)、フルオルメタン(CHF)のうちから選ばれる少なくとも1種のガスを添加した混合ガスによってエッチングした時に生じる堆積物を、除去する方法については、これまで開示されたものはない。
特開平6−53193号公報、 特開平9−36085号公報 特開2000−12515号公報
本発明は、被エッチング膜として金(Au)、白金(Pt)、銀(Ag)、チタン(Ti)、チタンナイトライド(TiN)、酸化チタン(TiO)、アルミニウム(Al)、アルミニウム合金、或いはこれらの膜の積層膜を、エッチングガスに塩素(Cl)、三塩化ホウ素(BCl)、臭化水素(HBr)のうちから選ばれる少なくとも1種のガスに、メタン(CH)、エタン(C)、アセチレン(C)、ジクロロメタン(CHCl)、ジブロムメタン(CHBr)、クロロメタン(CHCl)、ブロムメタン(CHBr)、フルオルメタン(CHF)のうちから選ばれる少なくとも1種のガスを添加した混合ガスによってエッチングした時、真空容器内部に付着した堆積物を随時除去し、大量にエッチング処理を行なっても、被エッチング膜のエッチングレート、被エッチング膜のエッチングレートウエハ面内均一性、被エッチング膜とマスク材または下地物質とのエッチングレート比(選択比)に再現性があって変動が少なく、異物の発塵を最小限に抑えて安定した装置状態を供給できるようにしたクリーニング方法を提供することにある。
エッチングガスにCl系或いはBr系とCH系を多く含む添加ガスとの混合ガスを用いてプラズマを生成し、上記金属膜のエッチングを行なった場合、真空容器内部には、金属膜、マスク材に含まれる物質、エッチングガスに含まれるCl系或いはBr系とCH系物質、金属膜とエッチングガスとが反応して生成される反応生成物などが堆積することになる。
上記課題を解決するために、本発明は、被エッチング膜が、金(Au)、白金(Pt)、銀(Ag)、チタン(Ti)、チタンナイトライド(TiN)、酸化チタン(TiO)、アルミニウム(Al)、アルミニウム合金、或いはこれらの膜の積層膜であり、エッチングガスに塩素(Cl)、三塩化ホウ素(BCl)、臭化水素(HBr)のうちから選ばれる少なくとも1種のガスに、メタン(CH)、エタン(C)、アセチレン(C)、ジクロロメタン(CHCl)、ジブロムメタン(CHBr)、クロロメタン(CHCl)、ブロムメタン(CHBr)、フルオルメタン(CHF)のうちから選ばれる少なくとも1種のガスを添加した混合ガスによって前記被エッチング膜のエッチングを行なうエッチング装置のクリーニング方法であって、上記被エッチング膜のエッチング毎に、ダミー基板と入れ替えてプラズマを発生させて処理室内部をクリーニングする。
さらに、本発明は、上記エッチング装置のクリーニング方法において、1番目に酸素(O)と四フッ化炭素(CF)のプラズマまたは酸素(O)と三フッ化メタン(CHF)のプラズマを用いてクリーニングする第1工程と、2番目に三塩化ホウ素(BCl)と塩素(Cl)のプラズマを用いてクリーニングする第2工程を連続して処理室内部をクリーニングする。
すなわち、本発明は、エッチング装置の真空容器内部に堆積した堆積物を除去するクリーニング方法において、金属膜を1枚エッチングする毎に真空容器内部へダミー基板との入れ替えを行ない、最初に酸素(O)87.0〜95.2%と四フッ化炭素(CF)4.8〜13.0%との混合ガスのプラズマで、処理圧力を5〜12Pa、処理時間20〜90sに設定して行なうプラズマ処理の第1工程と、次に三塩化ホウ素(BCl)10.0〜30.0%と塩素(Cl)70.0〜90.0%との混合ガスのプラズマで、処理時間20〜90sに設定して行なうプラズマ処理の第2工程を連続して行なう。
以上の説明から明らかなように、本発明のクリーニング方法において、金属膜を1枚エッチングする毎に、ダミー基板と試料を入れ替え、最初に第1工程となる酸素(O)と四フッ化炭素(CF)プラズマ処理と、次に第2工程となる三塩化ホウ素(BCl)+塩素(Cl)プラズマ処理を連続して行なうことによって、大量に半導体デバイスのエッチングを行なっても、エッチング性能の劣化なく再現性が得られ、異物の発塵が少ないエッチング処理室の状態を維持することができる。
以下、本発明にかかるドライエッチング装置のクリーニング方法の一実施例を、図1〜図9、表1〜表4を用いて説明する。本発明のドライエッチング装置のクリーニング方法が適用されるエッチング処理装置としては、半導体基板上に形成された試料をエッチングする試料の処理装置であって、プラズマ形成ガスの供給を受け、ガスプラズマを発生し、基板上に形成された金属膜をエッチングする処理装置を使用した。尚、プラズマエッチング処理装置としては、マイクロ波プラズマエッチング装置、誘導結合型プラズマエッチング装置、ヘリコン型プラズマエッチング装置、2周波励起平行平板型プラズマエッチング装置等が採用される。
図1は、本発明で用いるプラズマ処理装置の断面図を示す。処理室内部はプラズマ生成部を形成する石英もしくはセラミックの非導電性材料で成る放電部2、被処理物である試料12、電極6が配置された処理部3とから成る。処理部3はアースに設置されており、電極6は絶縁材を介して処理部3に取り付けられる。放電部2はプラズマを生成するため、誘導結合アンテナ1a/1b、整合器4、第1の高周波電源10等が取り付けられている。本実施例は典型的な例として、放電部2の外周にコイル状の誘電結合アンテナ1a/1bを配置したエッチング装置を使用した。処理室内部には、ガス供給装置5から処理ガスが供給される一方で、排気装置8によって所定の圧力に減圧排気される。ガス供給装置5より処理室内部に処理ガスを供給し、該処理ガスを誘導結合アンテナ1a/1bにより発生する電界の作用によってプラズマ化する。また、プラズマ7中に存在するイオンを試料12上に引き込むために電極6に第2の高周波電源11によりバイアス電圧を印加する。発光モニタリング装置13で発光するエッチングガスの強度または反応生成物の発光強度の変化をとらえてエッチングの終点を定める。また、本装置は不揮発性材エッチングに対応した構造を有している。ファラーデーシールド9へ電圧印加することによって、放電部2への反応生成物付着抑制および除去が可能となる。処理部3の内側に設置されているインナーカバー15の表面および電極6の表面には粗面加工を施し、一旦付着した反応生成物が剥がれ落ちないようにしている。試料12を電極6上に設置するためのサセプタ14の裏面には金属溶射が施され、プラズマ7による電圧印加によってサセプタ14表面の反応生成物付着抑制を行なっている。尚、これらの部品はスワップパーツとなっており、ウェットクリーニング等のメンテナンス時には、容易に交換することが可能となっている。
図2に、処理装置の構成を示す。大気ローダ16はロードロック室17およびアンロードロック室18と連結しており、ロードロック室17およびアンロードロック室18は真空搬送室19と連結した構成となっている。また、真空搬送室19はエッチング処理室21と接続されている。試料12は大気ローダ16および真空搬送ロボット20により搬送されエッチング処理室21でエッチング処理される。大気ローダ上には、試料12を設置するカセット1およびカセット2(22/23)とダミー基板ウエハを設置するカセット3(24)とがあり、被エッチング膜ウエハのカセットに、ダミー基板ウエハを入れ替える必要が無く、設置された試料12は随時エッチング処理室21に搬送され、処理後は元のカセット位置に戻るシステムになっている。
図3に、本発明で使用した試料の構造を示す。半導体シリコン基板25上に、有機膜26が成膜されている。有機膜とは、C、Hを主体とし、場合によっては、O、N、Fをも含む有機高分子物質である。例としては、エチレン、プロピレン、ブチレンのようなオレフィンや、スチレン、α−メチルスチレンのような芳香族ビニル化合物や、アクリル酸、メタクリル酸、2−フェニルアクリル酸、2−アセチルアクリル酸、マレイン酸、フマル酸のような不飽和カルボン酸や、アクリル酸メチル、アクリル酸エチル、アクリル酸プロピル、メタクリル酸メチル、メタクリル酸エチル、メタクリル酸プロピルのような不飽和カルボン酸エステルや、アクリル酸アミド、メタクリル酸アミド、2−フェニルアクリルアミド、2−アセチルアクリルアミドのような不飽和カルボン酸アミドや、無水マレイン酸のような不飽和カルボン酸無水物などの不飽和カルボン酸の官能的誘導体や、酢酸ビニル、塩化ビニル、塩化ビニリデン、アクリロニトリル、メタクリロニトリルなどの不飽和化合物の中から選ばれた少なくとも1種の単量体から成る重合体または共重合体が挙げることができる。これらの中で、ポリアクリル酸エチル、ポリメタクリル酸メチルなどの(メタ)アクリル酸エステル重合体およびポリスチレンなどのスチレン系樹脂が好適である。
今回の実施例は、この中のポリフッ化ビニリデンを使用した。有機膜26上には被エッチング膜である金(Au)27が成膜されている。最後にマスクとなるフォトレジスト(PR)28が成膜され電子回路のパターンを形成する。尚、マスク材は微細加工のため、酸化膜(SiO)、チタン(Ti)、チタンナイトライド(TiN)などが採用されることがある。
上記の試料について、被エッチング膜である金(Au)27と下地膜である有機膜26を選択性にエッチングするために、エッチングガスとして塩素(Cl)とアルゴン(Ar)にジクロルメタン(CHCl)を混合したガスを用いた。この時エッチング処理室の内部には、エッチングでスパッタされる金(Au)、マスク材のフォトレジスト(PR)に含まれる炭素系物質、エッチングガスに含まれる塩素(Cl)とCH系物質、金(Au)と塩素(Cl)とが反応して生成される塩化金(AuCl)などの反応生成物が堆積することになる。これらは、エッチング処理室内部に多く堆積するとエッチング性能の再現性を悪化させる。また、堆積物が処理室内部に浮遊していると、試料上に落下しやすくなり、これがマスクとなって正しい電子回路のパターン形成が出来なくなる。
本発明では、エッチング処理室内部を常にクリーンな状態を維持するために、上記試料を1枚エッチングする毎にエッチング処理室内部へダミー基板との入れ替えを行ない、プラズマクリーニングを実施することとした。1枚のエッチングで発生する堆積物を除去するので、プラズマクリーニングは短時間で終了することができる。プラズマクリーニングが終了すると、再度上記試料との入れ替えを行ない、エッチングを実施する。これを繰り返すことにより、エッチング処理室内部は常時クリーンな状態を維持することができる。
次に、プラズマクリーニングに使用するガスの検討を行なった。処理室内部の状態は、図4−aのように堆積物で覆われている。これらの表面組成を分析したところ、C、N、Al、Si、Cl、Au等が検出され、特にCが大半を占めていた。C系物質を除去するのに有効なガスとして、酸素(O)プラズマが考えられる。そこで同じC系を主体とするフォトレジスト(PR)のエッチングレートを調べた。エッチングレートが速いプラズマ条件ほど、C系物質の除去が容易に行なえると考えられる。
Figure 2006237432
表1に、フォトレジストのエッチングレート評価結果を示す。酸素(O)プラズマでは220.2nm/minであったが、ここに四フッ化炭素(CF)を添加と、689.6nm/minへと急激にエッチングレートが向上した。次に酸素(O)500ml/minに対し、四フッ化炭素(CF)を25,50,75ml/minと添加していくと、エッチングレートが徐々に増加しており、100ml/minまで添加すると逆にエッチングレートは低下する傾向にあった。より多く四フッ化炭素(CF)添加は、フォトレジスト(PR)のエッチングレートが低下するとともに、揮発性の悪いAuFを生成する可能性が有り、異物発生の原因にも成りかねない。また、エッチング処理室内部の装置材料に損傷を与える可能性があるため、過剰な添加は禁物である。処理圧力については、5,10,12Paと変更すると、エッチングレートが徐々に増加する傾向にあり、より高い処理圧力ほど有効である。但し、今回は装置能力上12Paが限界のためここで留めている。これらを纏めると、C系物質を除去するには、酸素(O)と四フッ化炭素(CF)を最適な流量比(O:87.0〜5.2%、Cl:4.8〜13.0%)および圧力(5〜12Pa)ならびに処理時間:20〜90秒)に設定することにより、成し遂げられる。
上記方法で、大方のC系物質が除去できるが、図4−bのように装置内部の表面状態は凹凸状態のため、微量ながらC系物質また金(Au)が堆積している。特に金(Au)は、化学的に反応が乏しいため除去することが難しい。そこで、装置内部の母材を少し削りながら、堆積物を一緒に除去する方法を考えた。装置内部の母材は大半がアルミニウム(Al)で、腐食しないようにアルマイト処理を施している。そのため、表面状態はAlの組成となっている。Alを削りためには、エッチングガスとして一般的に、三塩化ホウ素(BCl)と塩素(Cl)が用いられている。そこで、Alおよびフォトレジスト(PR)のエッチングレートを調べた。
Figure 2006237432
表2に、それぞれのエッチングレート評価結果を示す。三塩化ホウ素(BCl)/塩素(Cl)のガス流量を変更させたとき、三塩化ホウ素(BCl)比率が多いほどAlレートが速くなり、逆に塩素(Cl)比率が多いほどフォトレジストレートが速くなる。よって、Alを多く削るためには三塩化ホウ素(BCl3)比率が多い方が良く、C系物質を多く除去するには塩素(Cl)比率が多い方は良い。但し、三塩化ホウ素(BCl)比率が多すぎると、アルマイト処理した部分をすべて削る可能性がある。装置内部の部品は、なるべく長期に使用できることが望ましく、Alの削れ量は最小限にする必要がある。そのため、三塩化ホウ素(BCl)/塩素(Cl)のガス流量比は、堆積物が除去可能で且つAl削れ量が最小限である最適値に合わせる必要がある。例えば、三塩化ホウ素(BCl)と塩素(Cl)を最適な流量比(BCl:10.0〜30.0%、Cl:70.0〜90.0%)および処理時間:20〜90秒)に設定することにより、成し遂げられる。
以上に示した、エッチングおよびクリーニング処理の流れを図5のフローチャートを用いて説明する。被エッチング膜をエッチングした(S1)後、ダミー基板と入れ替えを行ない(S2)、クリーニングの第1工程となる酸素(O)と四フッ化炭素(CF)を用いたプラズマ処理(S3)の後、クリーニングの第2工程となる三塩化ホウ素(BCl)と塩素(Cl)を用いたプラズマ処理を連続して行なう(S4)。その後、試料を入れ替えた(S5)後、再度被エッチング膜のエッチングを行なう(S1)。この工程を繰り返すことによって、上記試料を大量にエッチングすることが可能となる。
図6に、上記プラズマクリーニングを行なったときのプラズマ波長の変化を示す。第1工程はCO系となる451nmの波長、第2工程はAlCl系となる396nmの波長を観察した。第1工程では、徐々に波形を下がっており20秒後に安定している。これは、20秒後にC系物質が除去されたものと考えられる。また第2工程では、徐々に波形が上がっており10秒後に安定している、これは、装置内部の材料であるAlが表面化したためであり、堆積物が除去されたものと考えられる。よって各ステップ20秒以上のプラズマクリーニングを行なえば、装置内部にある堆積物はほぼ除去できると考えられる。但し、長時間のプラズマクリーニングは、生産性の低下を招くため、各ステップ90秒が上限と考える。
次に本発明で得られたクリーニング方法を用いて、図3に示した試料を1000枚処理した時の結果を説明する。表3に、図3の試料をエッチング処理した時のエッチング条件を示す。表4に、1枚毎に実施したプラズマクリーニングのクリーニング条件を示す。
Figure 2006237432
Figure 2006237432
図7に、各処理枚数後のエッチング性能結果を示す。エッチング性能は、金(Au)のエッチングレート、金(Au)のエッチングレートウエハ面内均一性、金(Au)とポリフッ化ビニリデン膜のエッチングレート比(選択比)を調べた。図8に各処理枚数後の異物測定結果を示す。異物測定は、Siウエハを処理室へ導入して、表3のステップ1条件でガス出し60秒間(ソース高周波電力0W、バイアス高周波電力0W)を実施し、Siウエハ上にある異物数をカウントした。
図5に示す運用方法で1000枚処理した結果、図7に示すように金(Au)のエッチングレート、金(Au)のエッチングレートウエハ面内均一性、金(Au)とポリフッ化ビニリデン膜のエッチングレート比(選択比)は、変動がなく安定した値が得られエッチング性能は常に同じ状態が維持されている。
また、図8に示すように、粒径0.16μm以上の異物が平均12個と低発塵を維持しており、処理室内は常にクリーンな状態である。以上のことから、本発明のクリーニング方法を用いれば、歩留まりの良い半導体デバイスの生産が可能である。
上記実施例では、金(Au)を、塩素(Cl)とアルゴン(Ar)とジクロルメタン(CHCl)の混合したガスでエッチングした時に適用したプラズマクリーニングの説明を行なったが、金(Au)以外のエッチングでも、白金(Pt)、銀(Ag)、チタン(Ti)、チタンナイトライド(TiN)、酸化チタン(TiO)、アルミニウム(Al)、アルミニウム合金、或いはこれらの膜の積層膜で、エッチングガスは塩素(Cl)、三塩化ホウ素(BCl)、臭化水素(HBr)のうちから選ばれる少なくとも1種のガスにメタン(CH)、エタン(C)、アセチレン(C)、ジクロロメタン(CHCl)、ジブロムメタン(CHBr)、クロロメタン(CHCl)、ブロムメタン(CHBr)、フルオルメタン(CHF)のうちから選ばれる少なくとも1種のガスを添加した混合ガスでエッチングを行なった場合でも、本発明のクリーニング方法では同様な効果が得られる。
また、プラズマクリーニングの第1工程で酸素(O)とともに使用するに使用するガスは、四フッ化炭素(CF)以外に、三フッ化メタン(CHF)でも同様な効果が得られる。
図5の処理の流れでは、エッチング処理毎にクリーニングを行なうものとしているが、被エッチング膜の種類や膜厚、エッチングガスの種類や流量によって、装置内部に付着する堆積物の量が増減する。そのため、場合によってはエッチング処理枚数2枚毎、3枚毎、或いはn枚毎にクリーニングを実施しても同様な効果が得られる。
また、実施例は反応生成物付着抑制機能を有した装置を用いている。これは、図1のファラーデーシールド9へ電圧印加することで、放電部2への反応生成物付着抑制および除去が可能となる。ファラーデーシールド9への電圧は変更可能なため、放電部2の内壁状態を変化させることができる。図9に、ファラーデーシールド電圧可変時のAl、Auエッチングレートを示す。Al、Au試料を放電部内壁上部に装着し、表4のステップ2条件でファラーデーシールド電圧100Vおよび2000V時のエッチングレートを調べた。その結果、ファラーデーシールド電圧が高いとエッチングレートが速いことが判る。よって、ファラーデーシールド電圧が高いほど反応生成物付着抑制および除去効果が大きいと言える。ファラーデーシールド電圧が高い状態でエッチングを行なえば、放電部内壁への反応生成物付着が抑制される。そのため、装置内部に付着する堆積物量が低減されるため、クリーニング時間および回数を減らすことができる。生産性を考慮すると、クリーニングは少ない方が望ましい。
本発明の実施例である処理装置の断面図。 本発明の実施例である処理装置の構成を示す上面図。 本発明の実施例である試料の構成を示す断面図。 本発明の実施例である装置内部の状態を示す部分拡大断面図。 本発明の実施例である処理シーケンスを説明するフローチャート。 本発明の実施例である終点判定波形図。 本発明の実施例であるエッチング性能結果を示す図。 本発明の実施例である異物測定結果を示す図。 本発明の実施例である放電部内壁レート結果を示す図。
符号の説明
1a/1b…誘導結合アンテナ、2…放電部、3…処理部、4…整合器、5…ガス供給装置、6…電極、7…プラズマ、8…排気装置、9…ファラーデーシールド、10…第一の高周波電源、11…第二の高周波電源、12…試料、13…発光モニタリング装置、14…サセプタ、15…インナーカバー、16…大気ローダ、17…ロードロック室、18…アンロードロック室、19…真空搬送ロボット、20…真空搬送室、21…エッチング処理室、22…カセット1、23…カセット2、24…カセット3、25…シリコン基板、26…有機膜、27…Au膜、28…フォトレジスト膜

Claims (3)

  1. 被エッチング膜が、金(Au)、白金(Pt)、銀(Ag)、チタン(Ti)、チタンナイトライド(TiN)、酸化チタン(TiO)、アルミニウム(Al)、アルミニウム合金、或いはこれらの膜の積層膜であり、エッチングガスに塩素(Cl)、三塩化ホウ素(BCl)、臭化水素(HBr)のうちから選ばれる少なくとも1種のガスに、メタン(CH)、エタン(C)、アセチレン(C)、ジクロロメタン(CHCl)、ジブロムメタン(CHBr)、クロロメタン(CHCl)、ブロムメタン(CHBr)、フルオルメタン(CHF)のうちから選ばれる少なくとも1種のガスを添加した混合ガスによって前記被エッチング膜のエッチングを行なうエッチング装置のクリーニング方法であって、
    上記被エッチング膜のエッチング毎に、ダミー基板と入れ替えてプラズマを発生させて処理室内部をクリーニングすることを特徴とするエッチング装置のクリーニング方法。
  2. 請求項1に記載のエッチング装置のクリーニング方法において、1番目に酸素(O)と四フッ化炭素(CF)のプラズマまたは酸素(O)と三フッ化メタン(CHF)のプラズマを用いてクリーニングする第1工程と、2番目に三塩化ホウ素(BCl)と塩素(Cl)のプラズマを用いてクリーニングする第2工程を連続して処理室内部をクリーニングすることを特徴とするエッチング装置のクリーニング方法。
  3. 請求項2に記載のエッチング装置のクリーニング方法において、第1の工程が酸素(O)87.0〜95.2%と四フッ化炭素(CF)4.8〜13.0%との混合ガスのプラズマで、処理圧力を5〜12Pa、処理時間20〜90sに設定して行なうプラズマ処理を行う工程であり、第2の工程が三塩化ホウ素(BCl)10.0〜30.0%と塩素(Cl)70.0〜90.0%との混合ガスのプラズマで、処理時間20〜90sに設定して行なうプラズマ処理を行う工程であることを特徴とするエッチング装置のクリーニング方法。
JP2005052434A 2005-02-28 2005-02-28 プラズマ処理方法 Active JP4764028B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005052434A JP4764028B2 (ja) 2005-02-28 2005-02-28 プラズマ処理方法
US11/203,092 US7662235B2 (en) 2005-02-28 2005-08-15 Method of cleaning etching apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005052434A JP4764028B2 (ja) 2005-02-28 2005-02-28 プラズマ処理方法

Publications (2)

Publication Number Publication Date
JP2006237432A true JP2006237432A (ja) 2006-09-07
JP4764028B2 JP4764028B2 (ja) 2011-08-31

Family

ID=36930939

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005052434A Active JP4764028B2 (ja) 2005-02-28 2005-02-28 プラズマ処理方法

Country Status (2)

Country Link
US (1) US7662235B2 (ja)
JP (1) JP4764028B2 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009016611A (ja) * 2007-07-05 2009-01-22 Hitachi High-Technologies Corp プラズマエッチング処理方法
JP2009200182A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd 付着物除去方法及び基板処理方法
JP2012084600A (ja) * 2010-10-07 2012-04-26 Hitachi High-Technologies Corp プラズマの処理方法及びプラズマ処理装置
JP2012204786A (ja) * 2011-03-28 2012-10-22 Panasonic Corp 基板のプラズマ処理方法
JP2012243958A (ja) * 2011-05-19 2012-12-10 Hitachi High-Technologies Corp プラズマ処理方法
JP2013254903A (ja) * 2012-06-08 2013-12-19 Panasonic Corp 基板のプラズマ処理方法
JP2014204001A (ja) * 2013-04-05 2014-10-27 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2015135897A (ja) * 2014-01-17 2015-07-27 住友電気工業株式会社 半導体光素子の製造方法
JP2015167155A (ja) * 2014-03-03 2015-09-24 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
KR20170081554A (ko) * 2016-01-04 2017-07-12 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 방법
JP2021012951A (ja) * 2019-07-05 2021-02-04 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
KR20230119605A (ko) 2022-02-07 2023-08-16 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4593413B2 (ja) * 2005-09-15 2010-12-08 株式会社日立ハイテクノロジーズ プラズマ処理方法及び処理装置
US7550090B2 (en) * 2007-01-23 2009-06-23 Applied Materials, Inc. Oxygen plasma clean to remove carbon species deposited on a glass dome surface
JP2009188257A (ja) * 2008-02-07 2009-08-20 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置並びに記憶媒体
US20090325387A1 (en) * 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
US20100024840A1 (en) * 2008-07-29 2010-02-04 Chang-Lin Hsieh Chamber plasma-cleaning process scheme
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011100865A (ja) * 2009-11-06 2011-05-19 Hitachi High-Technologies Corp プラズマ処理方法
US20120094499A1 (en) * 2010-10-15 2012-04-19 Siu Tang Ng Method of performing an in situ chamber clean
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130084707A1 (en) * 2011-09-30 2013-04-04 Tokyo Electron Limited Dry cleaning method for recovering etch process condition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5783890B2 (ja) * 2011-12-07 2015-09-24 株式会社日立ハイテクノロジーズ プラズマ処理方法
US8784676B2 (en) * 2012-02-03 2014-07-22 Lam Research Corporation Waferless auto conditioning
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP5982223B2 (ja) * 2012-08-27 2016-08-31 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9142393B2 (en) * 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10265742B2 (en) * 2013-11-25 2019-04-23 Applied Materials, Inc. Method for in-situ chamber clean using carbon monoxide (CO) gas utlized in an etch processing chamber
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6284786B2 (ja) * 2014-02-27 2018-02-28 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
CN107359113B (zh) * 2017-07-28 2021-04-13 武汉光谷量子技术有限公司 一种使用RIE设备刻蚀InP材料的方法及刻蚀InP材料
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102414617B1 (ko) 2017-08-17 2022-07-01 삼성전자주식회사 기판 처리 장치 및 이의 세정 방법
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN112219266A (zh) * 2018-04-13 2021-01-12 玛特森技术公司 以使用烷基卤化物生成的反应性核素处理工件
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019240029A1 (ja) * 2018-06-15 2019-12-19 株式会社アルバック 真空処理装置、ダミー基板装置
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN108987349A (zh) * 2018-07-25 2018-12-11 信利(惠州)智能显示有限公司 传片基板保护方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11289312B2 (en) 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7390134B2 (ja) * 2019-08-28 2023-12-01 東京エレクトロン株式会社 エッチング処理方法およびエッチング処理装置
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230010978A1 (en) * 2021-07-12 2023-01-12 Applied Materials, Inc. Selective removal of transition metal nitride materials
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6240728A (ja) * 1985-08-15 1987-02-21 Tokuda Seisakusho Ltd ドライエツチング装置
JPS6417430A (en) * 1987-07-10 1989-01-20 Fujitsu Ltd Etching method
JPH05129246A (ja) * 1991-11-07 1993-05-25 Fujitsu Ltd 半導体製造装置のクリーニング方法
JPH07335626A (ja) * 1994-06-10 1995-12-22 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JPH0936085A (ja) * 1995-07-21 1997-02-07 Nippon Steel Corp ドライエッチング装置のクリーニング方法
JPH1092793A (ja) * 1996-09-10 1998-04-10 Hitachi Ltd エッチング方法
JP2001053059A (ja) * 1999-08-06 2001-02-23 Hitachi Ltd ドライエッチング方法
JP2003243362A (ja) * 2002-02-15 2003-08-29 Hitachi High-Technologies Corp プラズマ処理方法及び処理装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5417826A (en) 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
JPH08319586A (ja) * 1995-05-24 1996-12-03 Nec Yamagata Ltd 真空処理装置のクリーニング方法
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
JP2000012515A (ja) 1998-06-22 2000-01-14 Hitachi Ltd マイクロ波プラズマエッチング装置のプラズマクリーニング方法
US6852242B2 (en) * 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
JP4131813B2 (ja) * 2002-10-24 2008-08-13 株式会社半導体エネルギー研究所 プラズマエッチング方法及び半導体装置の作製方法
US7122125B2 (en) * 2002-11-04 2006-10-17 Applied Materials, Inc. Controlled polymerization on plasma reactor wall
TW200410337A (en) * 2002-12-02 2004-06-16 Au Optronics Corp Dry cleaning method for plasma reaction chamber

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6240728A (ja) * 1985-08-15 1987-02-21 Tokuda Seisakusho Ltd ドライエツチング装置
JPS6417430A (en) * 1987-07-10 1989-01-20 Fujitsu Ltd Etching method
JPH05129246A (ja) * 1991-11-07 1993-05-25 Fujitsu Ltd 半導体製造装置のクリーニング方法
JPH07335626A (ja) * 1994-06-10 1995-12-22 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JPH0936085A (ja) * 1995-07-21 1997-02-07 Nippon Steel Corp ドライエッチング装置のクリーニング方法
JPH1092793A (ja) * 1996-09-10 1998-04-10 Hitachi Ltd エッチング方法
JP2001053059A (ja) * 1999-08-06 2001-02-23 Hitachi Ltd ドライエッチング方法
JP2003243362A (ja) * 2002-02-15 2003-08-29 Hitachi High-Technologies Corp プラズマ処理方法及び処理装置

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009016611A (ja) * 2007-07-05 2009-01-22 Hitachi High-Technologies Corp プラズマエッチング処理方法
JP2009200182A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd 付着物除去方法及び基板処理方法
JP2012084600A (ja) * 2010-10-07 2012-04-26 Hitachi High-Technologies Corp プラズマの処理方法及びプラズマ処理装置
US8500912B2 (en) 2010-10-07 2013-08-06 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
JP2012204786A (ja) * 2011-03-28 2012-10-22 Panasonic Corp 基板のプラズマ処理方法
JP2012243958A (ja) * 2011-05-19 2012-12-10 Hitachi High-Technologies Corp プラズマ処理方法
JP2013254903A (ja) * 2012-06-08 2013-12-19 Panasonic Corp 基板のプラズマ処理方法
JP2014204001A (ja) * 2013-04-05 2014-10-27 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2015135897A (ja) * 2014-01-17 2015-07-27 住友電気工業株式会社 半導体光素子の製造方法
JP2015167155A (ja) * 2014-03-03 2015-09-24 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
KR20170081554A (ko) * 2016-01-04 2017-07-12 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 방법
JP2017123356A (ja) * 2016-01-04 2017-07-13 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9972776B2 (en) 2016-01-04 2018-05-15 Hitachi High-Technologies Corporations Plasma processing method
KR101903432B1 (ko) * 2016-01-04 2018-10-04 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 방법
JP2021012951A (ja) * 2019-07-05 2021-02-04 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
JP7241627B2 (ja) 2019-07-05 2023-03-17 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
KR20230119605A (ko) 2022-02-07 2023-08-16 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치

Also Published As

Publication number Publication date
US7662235B2 (en) 2010-02-16
US20060191555A1 (en) 2006-08-31
JP4764028B2 (ja) 2011-08-31

Similar Documents

Publication Publication Date Title
JP4764028B2 (ja) プラズマ処理方法
US9960031B2 (en) Plasma processing apparatus and plasma processing method
JP4975113B2 (ja) 誘電体カバーを伴うエッジ電極
US5779926A (en) Plasma process for etching multicomponent alloys
JP5061231B2 (ja) 水素含有ラジカルによる未変性酸化物の洗浄
JP5414179B2 (ja) フォトレジスト及びエッチング残余物の低圧除去
JP5632280B2 (ja) 異なるアスペクト比の構成を誘電層内にエッチングするための方法、及びその方法によって作成される半導体デバイス、並びにそのための装置
JPH09186143A (ja) プラズマチャンバ表面から副生成物をクリーニングするための方法及び装置
JP2004247755A (ja) キセノンを用いたプラズマエッチング
EP2916344B1 (en) Method of cleaning a plasma processing apparatus
JP2014528642A (ja) エッチング処理条件を回復させる乾式クリーニング方法
KR20180083264A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR101540816B1 (ko) 플라즈마 에칭 방법, 컴퓨터 기억 매체 및 플라즈마 에칭 장치
TWI420588B (zh) Plasma etching method
JP2004111779A (ja) 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
JP2007324154A (ja) プラズマ処理装置
JP2006120983A (ja) プラズマエッチング方法
US7488689B2 (en) Plasma etching method
JP2009212289A (ja) ドライエッチング方法及びドライエッチング装置
TW201829835A (zh) 被處理體之處理方法
JPH0697127A (ja) 配線形成方法
JP2011066450A (ja) プラズマ処理方法
JP2003273077A (ja) ドライクリーニング方法及びドライクリーニング用基板
JP4357397B2 (ja) プラズマ処理による試料処理方法
US7902073B2 (en) Glue layer for hydrofluorocarbon etch

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070920

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100713

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100910

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101019

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101214

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110414

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110425

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110607

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110610

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140617

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4764028

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350