JP2003503855A - インターポーザおよびその製造方法 - Google Patents

インターポーザおよびその製造方法

Info

Publication number
JP2003503855A
JP2003503855A JP2001506612A JP2001506612A JP2003503855A JP 2003503855 A JP2003503855 A JP 2003503855A JP 2001506612 A JP2001506612 A JP 2001506612A JP 2001506612 A JP2001506612 A JP 2001506612A JP 2003503855 A JP2003503855 A JP 2003503855A
Authority
JP
Japan
Prior art keywords
interposer
layer
substrate
copper
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001506612A
Other languages
English (en)
Other versions
JP5285829B2 (ja
JP2003503855A5 (ja
Inventor
ボーア,マーク・ティ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2003503855A publication Critical patent/JP2003503855A/ja
Publication of JP2003503855A5 publication Critical patent/JP2003503855A5/ja
Application granted granted Critical
Publication of JP5285829B2 publication Critical patent/JP5285829B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/157Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49156Manufacturing circuit on or in base with selective destruction of conductive paths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49162Manufacturing circuit on or in base by using wire as conductive path
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49165Manufacturing circuit on or in base by forming conductive walled aperture in base

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 支持基板に対する集積回路の接続に適した構造であって、集積回路と良好に整合する熱膨張特性を有する構造はインターポーザである。集積回路およびインターポーザは、実質的に類似の熱膨張係数を有するボディから構成される。インターポーザは、集積回路に対する電気的かつ機械的な結合に適合された第1の表面を有する。またこのインターポーザは、支持基板に対する電気的かつ機械的な結合に適合された第2の表面を有する。インターポーザの第1の表面と第2の表面の間における信号パスは、電気伝導性を有するビアによって提供される。インターポーザ内には、各種の回路エレメントを組み込むことができる。これらの回路エレメントは、能動エレメント、受動エレメント、もしくは能動エレメントおよび受動エレメントの組み合わせとすることができる。

Description

【発明の詳細な説明】
【0001】 (発明の背景) (発明の分野) 本発明は、集積回路とプリント回路ボード等の支持基板の間における接続に関
する。より詳細に述べれば、本発明は集積回路を支持基板に結合するためのイン
ターポーザに関する。
【0002】 (背景) 集積回路は、長年にわたって作られてきた。従来より、この種の製造には、ダ
イと呼ばれる半導体材料片に対する各種の能動ならびに受動回路エレメントの集
積が伴い、ダイは、セラミクスまたはプラスチックのパッケージにカプセル化さ
れる。これらのパッケージは、通常その後、パッケージの周縁部に沿って配置さ
れた接続ピンによって、プリント回路ボードに取り付けられる。電子システムは
、各種の集積回路パッケージをプリント回路ボードに接続することによって構成
することができる。
【0003】 半導体製造テクノロジにおける進歩に従って、各集積回路上のトランジスタ数
が実質的に増加し、それに応じて各集積回路の機能が向上した。その一方、機能
の向上によって、集積回路と、その集積回路が一部を構成する電子システムの残
りの部分との間の接続に多数の入力/出力(I/O)接続を増加する必要が生じ
た。増大したI/O接続の要求を解決するために設計された1つの例は、単純に
新しいピンをパッケージ上に追加するだけというものであった。残念ながら、パ
ッケージに対するピンの追加は、パッケージによって消費される面積を増加させ
ることになった。許容不能なまでに大きな面積を消費することなく増大したI/
O接続の要求を解決するために設計された別の例は、ピン・グリッド・アレイ(
PGA)パッケージおよびボール・グリッド・アレイ(BGA)パッケージの開
発である。この種のパッケージにおいては、多数のI/O接続端子が、パッケー
ジの主表面の実質的な部分にわたって2次元アレイとして配置される。PGAパ
ッケージおよびBGAパッケージは、通常、集積回路ダイを含み、かつプリント
回路ボード等の支持基板に取り付けられる。
【0004】 PGAパッケージおよびBGAパッケージは、多数のI/O接続を必要として
いる集積回路に空間節約の一つの解を与えるが、それらの製造に使用される材料
と集積回路ダイに使用される材料は、それぞれの熱膨張係数という点において良
好な整合性を持っていない。
【0005】 今必要とされていることは、支持基板に対する集積回路の電気的かつ機械的な
結合に適した構造であって、集積回路と良好に整合する熱膨張特性を有する構造
である。さらには、その種の構造を製造する方法も必要とされている。
【0006】 (発明の要約) 端的に述べれば、支持基板に対する集積回路の電気的かつ機械的な結合に適し
た構造であって、集積回路と良好に整合する熱膨張特性を有する構造は、インタ
ーポーザである。集積回路およびインターポーザは、実質的に類似の熱膨張係数
を有するボディから構成される。インターポーザは、集積回路に対する電気的か
つ機械的な結合に適合された第1の表面を有する。またこのインターポーザは、
支持基板に対する電気的かつ機械的な結合に適合された第2の表面を有する。イ
ンターポーザの第1の表面と第2の表面の間における信号パスとして、電気伝導
性を有するビアが設けられている。
【0007】 本発明のさらに別の側面においては、各種の回路エレメントをインターポーザ
内に組み込むことができる。これらの回路エレメントは、能動エレメント、受動
エレメント、もしくは能動エレメントおよび受動エレメントの組み合わせとする
ことができる。
【0008】 (詳細な説明) (全般) シリコン集積回路とプリント回路ボードの間における接続を構成するための最
近のアプローチは、パッケージまたはインターポーザの使用を伴う。これらのパ
ッケージならびにインターポーザは、とりわけ空間変換機能を提供する。つまり
、集積回路およびプリント回路ボードを製造するために使用されるプロセスが、
結果として実質的に異なる内部接続ピッチをもたらすことから、集積回路が有す
るピッチの狭いI/O接続端子を、比較的ピッチの広いプリント回路ボードのI
/O接続端子に接続するために、パッケージならびにインターポーザが必要にな
る。通常のパッケージならびにインターポーザは、シリコン集積回路を構成する
材料と実質的に異なる材料から構成される。従来のパッケージならびにインター
ポーザの接続スキームに関連した問題に、集積回路および基板に対する接続に必
要な内部接続ピッチおける相違、および集積回路と基板の間の接続がパッケージ
またはインターポーザを通過する際の、それらの接続上におけるキャパシタンス
、抵抗ならびにインダクタンスの配置に関する制約である。内部接続ピッチに関
しては、今日の製造に関する代表的な要件に、集積回路とインターフェースする
ための、一般に200μmに満たない狭いピッチ、およびプリント回路ボード等
の基板とインターフェースするための、約1mmに及ぶ粗いピッチが含まれる。
【0009】 現在使用可能なテクノロジを用いた場合、有機ランド・グリッド・アレイ(O
LGA)パッケージを使用してトランジスタを作ることはできない。それに加え
て、OLGAパッケージの温度的な制約が、たとえばバリウム・ストロンチウム
・チタネート(BaSrTiO3)等の高い誘電率を有する誘電体の形成の助けと
ならない。バリウム・ストロンチウム・チタネートはBSTとも呼ばれる。高い
誘電率を有する材料を用いて形成されるキャパシタは、良好な減結合キャパシタ
として使用することができる。またOLGAパッケージは、達成可能な内部接続
ピッチにおいても制限を受ける。OLGAパッケージ基板にシリコン集積回路ダ
イを取り付けるときには、それぞれの熱膨張係数の不整合から、200μmを超
えるC4バンプ・ピッチが必要とされている。本発明に従って集積回路ダイおよ
びインターポーザの両方にシリコン・ウェーファを使用すれば、この相違が実質
的に狭められ、その結果、それを行わなければC4バンプが受けたはずの機械的
ストレスが低減される。この機械的ストレスの低減は、より小さいバンプならび
により密なピッチの使用を可能にする。今日の製造テクニックに関して言えば、
OLGAパッケージ上の内部接続ピッチが、約225μmもしくはそれ以上に制
限されている。
【0010】 本発明を例示する実施形態においては、シリコン−ベースの内部接続テクノロ
ジが使用されてインターポーザが作られ、それがOLGAもしくはそのほかのタ
イプのパッケージに代えて使用されて、シリコン−ベースの集積回路とプリント
回路ボード等の基板が接続される。本発明に従ったインターポーザは、密および
粗の内部接続ピッチを容易に達成できるだけでなく、インターポーザ上もしくは
その中に抵抗、キャパシタンス、およびインダクタンスを形成する要件を容易に
達成することができる。チップにおける狭い内部接続ピッチからプリント回路ボ
ードもしくはそのほかのタイプの支持基板、または回路基板における比較的粗い
内部接続ピッチへの空間変換機能は、ファンアウトと呼ばれることもある。なお
上記に加えて、本発明の実施形態は、インターポーザ内に回路エレメントを組み
込むことを可能にする。
【0011】 インターポーザを形成するためのシリコン基板の使用は、キャパシタ等の受動
回路エレメント、およびトランジスタ等の能動エレメントをインターポーザ上に
集積することを可能にする。これらの回路エレメントは、集積回路上において使
用されている回路エレメントを強化することが可能であり、さらに重要なことと
して集積回路のエレメントとは独立して最適化できることが挙げられる。インタ
ーポーザに集積されるキャパシタは、減結合キャパシタとして使用することがで
きる。
【0012】 (用語) この分野においては、チップ、集積回路、モノリシック・デバイス、半導体デ
バイス、およびマイクロエレクトロニック・デバイスという用語が、しばしば相
互に交換可能な形で使用される。本発明は、一般にこの分野において理解されて
いるように、これらのすべてに適用することができる。
【0013】 金属ライン、トレース、ワイヤ、導体、信号パス、およびシグナリング・メデ
ィアという用語はすべて同族である。これらの同族用語は、一般に相互に交換可
能であり、上記は、特定目的から一般的表現に向かう順序に記載されている。こ
の分野においては、金属ラインが、トレース、ワイヤ、ライン、内部接続あるい
は単にメタルと呼ばれることもある。金属ラインは、一般にアルミニウム(Al
)、銅(Cu)またはAlとCuの合金が用いられ、電気回路に結合または内部
接続のための信号パスを提供する導体である。マイクロエレクトロニック・デバ
イスにおいては、金属以外の導体を使用することができる。そのほかの導体の例
としては、ドープ・ポリシリコン、ドープ単結晶シリコン(この種のドーピング
が熱拡散によって達成されているか、あるいはイオン・インプランテーションに
よって達成されているかよらず、しばしば単純に拡散と呼ばれる)、チタン(T
i)、モリブデン(Mo)、コバルト(Co)、ニッケル(Ni)、ならびにタ
ングステン(W)等の材料および高融点金属シリサイドを挙げることができる。
【0014】 コンタクトおよびビアという用語は、ともに、異なる内部接続レベルからの導
体の電気接続を得るための構造をいう。この分野においては、これらの用語は、
当該構造が完成されることになる絶縁体の開口、および完成された当該構造自体
の両方を記述するためにしばしば用いられる。この開示の目的から、ここではコ
ンタクトおよびビアは完成した構造を指すものとする。
【0015】 低誘電率の材料といった表現は、シリコン酸化物より低い誘電率を有する材料
を指す。たとえば、有機ポリマ、ナノフォーム、有機ポリマを包含するシリコン
−ベースの絶縁体、およびシリコン酸化物を含むフッ素は、シリコン酸化物より
誘電率が低い。
【0016】 英文字kは、しばしば誘電率を表すために使用される。同様に、高−kおよび
低−kが、この分野においては、それぞれ高誘電率および低誘電率の意味で使用
される。
【0017】 層内誘電体という用語は、この分野において使用されているように、所定の内
部接続レベル上の内部接続ライン間に配置される誘電体材料を指すものと理解す
る。つまり、層内誘電体は、内部接続ラインの垂直方向に上また下においてでは
なく、隣接する内部接続ラインの間において見られる。
【0018】 エピタキシャル層は、単結晶半導体材料の層を指す。
【0019】 「ゲート」という用語は、前後関係からの影響を受けやすく、集積回路につい
て記述するときには、2とおりの方法で使用することができる。ここでの使用に
関して言えば、トランジスタ回路構成についての内容において使用されていれば
、ゲートが3端子FETの絶縁されたゲート端子を指し、ロジック・ゲートの内
容において使用されていれば、任意のロジック関数を実現するための回路を指し
てゲートと言う。FETは、半導体ボディを考慮すると、4端子デバイスと見る
ことができる。
【0020】 多結晶シリコンは、ランダムな方向に向けられたクリスタライトまたはドメイ
ンからなる無孔フォームのシリコンである。多結晶シリコンは、しばしばシリコ
ンのソースガスからの化学蒸着法によって、あるいはそのほかの方法によって形
成され、広角グレイン境界、ツイン境界、またはその両方を含む構造を有する。
この分野においては、しばしば多結晶シリコンをポリシリコンまたは単にポリと
呼ぶことがある。
【0021】 ソース/ドレイン端子は、FETの端子を指し、ゲート端子間に電圧が印加さ
れた結果として導かれる電界の影響の下に生じる半導体表面の反転に続いて、そ
れらの間において、電界の影響の下に伝導が得られる。一般にソースならびにド
レイン端子は、それらが幾何学的に対称となるように作られる。幾何学的に対称
なソースおよびドレイン端子は、単純にソース/ドレイン端子と一般的に呼ばれ
、ここでもこの呼び方を用いることにする。しばしば設計者は、回路内において
FETを動作させるとき、個々のソース/ドレイン端子に印加される電圧に基づ
いて、それぞれを「ソース」または「ドレイン」と呼んで区別している。
【0022】 ここでは、垂直という用語を、物体の表面に対して実質的に垂直であるという
意味で用いる。
【0023】 図1を参照すると、従来の構成が示されており、それにおいては、シリコン−
ベースの集積回路ダイ102がOLGAパッケージ104に取り付けられている
。集積回路ダイ102とOLGAパッケージ104の間を電気的に接続するため
にソルダ・バンプ106が使用されている。ソルダ・バンプ106は、しばしば
C4バンプと呼ばれることもあり、それは、このスタイルの内部接続が、つぶれ
をコントロールしたチップ接合(つまり、C4の元になった英語Control
led Collapse Chip Connection)パッケージング
に使用されることによる。OLGAパッケージ104は、ソルダ・ボール110
によってプリント回路ボード108に取り付けられる。ソルダ・ボール108は
、OLGAパッケージ104とプリント回路ボード108の間における電気的な
接続を提供する。このようにして、集積回路ダイ102とプリント回路ボード1
08の間の電気的な接続が、OLGAパッケージ104を介して構成される。
【0024】 図2は、OLGA 104の断面を示した概要図である。この図から、ソルダ
・バンプ106が内部接続112によってソルダ・ボール110と電気的に接続
されていることがわかる。内部接続112は、一般に1ないしは複数の内部接続
レベル上の金属ラインである。複数の内部接続レベルが使用される場合には、異
なる層上の金属ラインの間の接続が、通常はビアの使用を通じて達成される。
【0025】 図3は、本発明に従ったインターポーザ115の一実施形態の断面を示した概
要図である。インターポーザ115は、ボディ部分116、ソルダ・バンプ10
6、ソルダ・ボール110、内部接続118、絶縁材料120、および深いビア
122を含む。例示したこの実施形態におけるボディ部分116は、シリコン基
板である。通常、このシリコン基板は、このインターポーザ115に取り付けら
れることになる集積回路ダイ102の製造に使用される基板に類似である。内部
接続118は、銅等の金属から形成され、ダマスク・プロセス、デュアル・ダマ
シン金属プロセス、減法ミール・プロセス、あるいはその他の導電性内部接続の
形成に適した任意の方法によって形成することができる。ソルダ・バンプ106
は、集積回路ダイ102に対する接続に適合されている。ソルダ・ボール110
は、プリント回路ボード108に対する接続に適合されている。深いビア122
は、インターポーザ115の第1のサイドと第2のサイドの間における電気的伝
導性を有する通路である。インターポーザ115の、ソルダ・バンプ106が備
えられているサイドは、チップ−サイド、またはそれに代えてトップ−サイドも
しくはフロント−サイドと呼ばれることもある。インターポーザ115の、ソル
ダ・ボール110が備えられるサイドは、ボード−サイド、またはそれに代えて
ボトム−サイドもしくはバック−サイドと呼ばれることもある。
【0026】 図4は、本発明に従ったインターポーザ115の断面を示した別の概要図であ
る。この図を参照すると、複数のソルダ・ボールが、インターポーザ115の一
部として含められることがよくわかる。それに加えて、ボード−サイドの内部接
続ピッチに比べてチップ−サイドの内部接続ピッチがより密になっていることも
わかる。本発明においてチップ−サイドとボード−サイドの内部接続ピッチの間
に特定の関係が求められることはないが、一般的には、ボード−サイドの内部接
続のピッチよりチップ−サイドの内部接続のピッチの方が密に、すなわちピッチ
が小さくなる。
【0027】 図5は、本発明に従ったインターポーザ115の断面を示した別の概要図であ
る。この図を参照すると、インターポーザ115にキャパシタ130および13
4が組み込まれていることがわかる。キャパシタ130は、一対の金属プレート
および誘電体層132を含む。金属プレートは、基本的に金属内部接続118と
同一である。この金属は、任意の形状に形作ることが可能であるが、通常、キャ
パシタ130は矩形プレートを有する。誘電体材料132は、バリウム・ストロ
ンチウム・チタネート等の高誘電率材料とすることができる。キャパシタ134
は、基板、またはボディ部分116を一方のプレートとして含み、また限定する
意図ではないが、金属またはドープ・ポリシリコン等の導電性材料から形成する
ことができる第2のプレートを含む。誘電体層136は、高誘電率材料またはシ
リコン酸化物とすることができる。しかしながら、本発明によって特定の誘電体
材料ないしは誘電体の厚さが求められることはない。従来のパッケージならびに
インターポーザにおいて可能であった位置より集積回路に近づけて減結合キャパ
シタを配置することによって、従来の構成のリードに関連した望ましくない寄生
インダクタンスが実質的に抑えられる。
【0028】 図6は、本発明に従ったインターポーザ115の断面を示した別の概要図であ
る。この図を参照すると、インターポーザ115にトランジスタ140が組み込
まれていることがわかる。トランジスタ140は、絶縁ゲート電界効果トランジ
スタ(FET)であり、図6に示されるように、ソース/ドレイン端子142、
ゲート電極144、およびゲート誘電体145を含む。トランジスタ140は、
n−チャンネルFETまたはp−チャンネルFETとすることができる。この開
示から恩典を受ける当業者であれば認識されようが、n−チャンネルおよびp−
チャンネルのFETの組み合わせを基板116上に作成することもできる。なお
、本発明が、FET 140に関して、特定の電気的性質ないしは物理的寸法を
求めることはない。本発明は、受動ならびに能動回路エレメントをインターポー
ザ115内に組み込むことを可能にする。
【0029】 各種の能動ならびに受動回路エレメントをインターポーザ内に組み込むことに
よって、インターポーザに回路機能を含ませることが可能になる。たとえば、静
電放電(ESD)保護回路をインターポーザ内に含めれば、それによって、イン
ターポーザに取り付けられる集積回路ダイの、その種の保護回路をすべて組み込
む負担が軽減される。同様に、そのほかの回路機能をインターポーザ内に組み込
むこともできる。例を挙げれば、限定する意図ではないが、キャッシュ・メモリ
回路、I/Oバッファ回路、電源調整回路、電圧レベル・シフト回路がある。こ
の開示から恩典を受ける当業者であれば認識されようが、本発明の各種実施形態
に従って多くの回路機能をインターポーザに組み込み、能動ならびに受動回路エ
レメントを形成することができる。
【0030】 インターポーザに組み込まれるトランジスタは、必須ではないが、集積回路ダ
イ上に形成されるトランジスタの製造に使用される製造プロセスと同じ製造プロ
セスを用いて形成することができる。たとえば、集積回路ダイ上のトランジスタ
およびそれらとともに形成される回路を第1の電圧範囲において動作するように
設計し、インターポーザ上のトランジスタおよびそれらとともに形成される回路
を第2の電圧範囲において動作するように設計することが考えられる。同様に、
インターポーザ上の回路エレメントの各種電気的特性が、集積回路ダイ上の回路
エレメントの電気的特性と異なるようにすることもできる。インターポーザと集
積回路ダイの間において異なるものとすることができる電界効果トランジスタの
電気的特性の例として、スレッショルド電圧、ゲート誘電体の降伏電圧、キャリ
ア移動度、オフ状態漏れ電流、接合漏れ電流、および接合キャパシタンスが挙げ
られるが、これらに限定する意図はない。この種の電気的特性が、トランジスタ
の物理的な設計の強い関数となることから、集積回路ダイおよびインターポーザ
の回路エレメントを互いに分離して製造することが可能になる。たとえば、イン
ターポーザ上の回路が集積回路ダイ上の回路より高い電圧において動作するよう
に設計することができる。
【0031】 図7〜10を参照して、本発明を使用するプロセスについて説明する。例示し
たこの実施形態においては、トップ−サイド(つまりチップ−サイド)のメタラ
イザーション・オペレーションに先行して基板を通る深いビアが形成される。
【0032】 図7に示されるように、シリコン基板202は、互いに反対側となる表面に形
成された二酸化ケイ素(SiO2)層204およびSiO2層206を有する。こ
の特定の実施形態においては、約0.5μmの厚さとなるまでSiO2 層204
および206の熱成長が行われる。続いてSiO2 層206の上側に、通常は約
0.2μmの厚さの窒化シリコン(Si34)層208が形成される。Si34 層208は、プラズマ増速化学蒸着法(PECVD)オペレーションによって形
成することができる。次に、SiO2 層204の露出した表面の上に深いビアの
エッチングを行うためのマスキング層が形成され、パターンが作られる。SiO 2 層204の露出された部分は、その後エッチングが行われ、シリコン基板20
2の対応する部分が露出される。さらにその後、シリコン基板202の露出され
た部分のエッチングが行われて深いビア開口209が図7に示すように形成され
る。図7においては、説明を目的とすることから単一の深いビア開口のみが示さ
れているが、通常は、本発明に従ったインターポーザの製造時に、この種の複数
の深いビア開口が形成される。深いビア開口209のエッチングは、SiO2
206に到達して停止する。言い換えるとSiO2 層206は、深いビア開口2
09の形成時にエッチングのストップ層として機能する。
【0033】 図8を参照するが、深いビア開口209の形成に続いて、SiO2 層206の
、深いビア開口209の上にある部分のエッチングが行われる。SiO2 層20
6のエッチングについては、Si34層208がストップ層として機能する。そ
の後、深いビア開口209の内表面に対する酸化物層210の成長が行われる。
図8との関連から説明した本発明を例示する実施形態においては、酸化物層21
0の厚さが約0.5μmである。酸化物層210は、サイドウォール酸化物層と
呼ばれることもある。酸化物層210の形成に続いて、深いビア開口209内に
バリア層および銅のシード層のスパッタ堆積が行われる。スパッタリングされる
バリア層は、10〜50nmの範囲の厚さを持たせたTaまたはTaNとするこ
とができる。スパッタリングされるシード層は銅であり、100〜300nmの
範囲の厚さを有する。これに代えて、銅のシード層を化学蒸着法(CVD)によ
り形成することもできる。銅のシード層を形成については、CVDオペレーショ
ンによって、より良好なサイドウォールの被覆範囲がもたらされることがある。
【0034】 銅層212は、続いて電気メッキが施されて、その結果、深いビア209内に
銅が実質的に充填され、さらにインターポーザのバック・サイドが銅層によって
覆われる。プロセスのこの段階におけるインターポーザのバック・サイドは、S
iO2層204、およびSiO2層204上に形成されたバリア層および銅のシー
ド層をはじめ、それらの上に電気メッキされた銅を含んでいる。
【0035】 ここで図9を参照すると、窒化シリコン層208の上に約5μmの厚さのSi
2 層214が堆積される。その後、通常はフォトレジストからなるマスキング
層(図示せず)が形成され、SiO2 層214の上にパターンが形成される。使
用されたパターンは、ダマシン銅のメタライザーション・オペレーションを容易
にするための、酸化物層214ならびに窒化物層208内に形成されるトレンチ
に対応する。マスキング層のパターン形成が完了すると、酸化物層214の露出
部分に対するエッチングが行われる。続いてこのエッチングが、窒化物層208
を露出させる。その後は、フォトレジスト・マスキング層を除去することができ
る。次に、窒化物層208の露出部分に対するエッチングが行われる。その後、
上記のように酸化物層214ならびに窒化物層208によって形成されたトレン
チ内に入り込むように、インターポーザのチップ−サイド表面に銅のバリア層お
よび銅のシード層の堆積が行われる。銅のシード層の上には銅層215の電気メ
ッキが行われる。銅層215は、実質的にトレンチを満たし、酸化物層214の
上に堆積されたバリア層の表面を覆う。さらに銅層215の平坦化/研磨オペレ
ーションが実施され、その結果、過剰な銅およびその下にあるバリア層の対応す
る部分が酸化物層214の表面から除去される。平面化/研磨オペレーションは
、一般に化学機械研磨法(CMP)によって達成される。研磨オペレーションを
最適化するために、別のスラリー・ケミストリを使用して銅ならびにバリア層の
研磨が行われることもある。続いて、図9に示されるように、銅層215および
酸化物層214の上から窒化シリコン層216の堆積が行われる。窒化シリコン
層216は、一般にPECVDオペレーションによって約0.1μmの厚さまで
形成される。
【0036】 図10は、追加の絶縁層ならびにデュアル・ダマシン導体層が形成され、イン
ターポーザのトップ−サイド上にパターンが形成された後の図9の構造を示して
いる。まず窒化シリコン層216の上に、酸化物層218が堆積される。酸化物
層218は、層間誘電体(ILD)を形成し、例示した実施形態においては、そ
れが約10μmの厚さに形成される。次に、従来のデュアル・ダマスク・プロセ
ッシングに従って、ILDビア開口用のマスキング層のパターン形成が行われ、
それに続いて酸化物層218内にILDビア開口のエッチングが行われる。その
後、ILDビア開口用のマスキング層が除去される。続いてメタル−2(M2)
トレンチのためのマスキング層のパターン形成が行われ、酸化物層218内にM
2トレンチのエッチングが行われる。さらにその後、M2トレンチ用のマスキン
グ層が除去され、それに続いて窒化シリコン層216の、ILDビア開口のボト
ムに露出した部分のエッチングが行われて、その下にある銅の層が露出される。
次に、M2トレンチおよびILDビア開口内への、銅のバリア層ならびに銅のシ
ード層のスパッタリングが行われる。この銅のシード層に対して、銅層220の
電気メッキが行われる。銅層220は、ILDビア開口およびM2トレンチを満
たし、さらに酸化物層218の上に形成される。
【0037】 図11〜14を参照すると、本発明のプロセスの別の実施形態が示されている
。ここに例示した実施形態においては、トップ−サイド(つまりチップ−サイド
)のメタライザーション・オペレーションに続いて、基板を通る深いビアが形成
される。
【0038】 図11に示されるように、シリコン基板202は、互いに反対側となる表面に
形成された二酸化ケイ素(SiO2)層204および二酸化ケイ素(SiO2)層
206を有する。この特定の実施形態においては、SiO2 層204および20
6の熱成長が、約0.5μmの厚さとなるまで行われる。続いてSiO2 層20
6の上側に、通常は約0.2μmの厚さの窒化シリコン(Si34)層208が
形成される。Si34層208は、プラズマ増速化学蒸着法(PECVD)オペ
レーションによって形成することができる。次に、層間誘電体としてSiO2
214を形成することができる。例示したこの実施形態においては、Si34
208の上から、約5μmの厚さとなるまでSiO2 層214の堆積が行われる
。その後、通常はフォトレジスト層がSiO2 層214の上に形成されてパター
ンが作られ、その結果、SiO2 層214の、ダマシン金属プロセス用のトレン
チを形成するために除去される部分が露出される。フォトレジストのパターン形
成の後、露出されたSiO2 層214の部分に対するエッチングが行われる。窒
化物層208は、このSiO2 エッチング・プロセスにおけるエッチングのスト
ップ層として機能する。SiO2 のエッチング・プロセスに続いて、フォトレジ
ストが除去される。それに続き、インターポーザのチップ−サイド表面に対する
、銅のバリア層および銅のシード層の堆積が行われる。バリア層は、通常、電気
的伝導性を有するTaまたはTaN等の材料であり、銅のマイグレーションに対
するバリアとなり、銅のための接着層として機能する。その後、シード層の上か
ら銅の電気メッキが行われ、その結果、トレンチが銅によって満たされるが、さ
らにチップ−サイド表面の残りの部分にわたっても銅層が形成される。トレンチ
の外側に形成された銅の部分は、過剰と見なされる。そのため、化学機械研磨オ
ペレーションが実施されて過剰な銅の除去が行われる。この結果、図11の概略
断面図に示されるような独立した銅の内部接続ライン215が得られる。その後
、インターポーザのチップ−サイド表面にわたって、Si34層216の堆積が
行われる。Si34層216は、通常、PECVDオペレーションによって形成
され、一般に約0.1μmの厚さに形成される。Si34層216は、続くビア
形成オペレーションのためのストップ層として機能し、また銅のマイグレーショ
ンに対するバリアとしても機能する。
【0039】 前述した過剰な銅の除去に関して言えば、過剰な銅が、可能性としては異なる
化学的および機械的特性を有するバリア層の上に配置されることから、限定する
意図ではないが、スラリー・ケミストリ、ダウン−フォース、ローテーション・
シード、熱等を含む望ましい結果を達成するためのCMP条件が、銅層とバリア
層の間において変えられることがある。
【0040】 図12は、さらにプロセッシング・オペレーションを実施し、追加のレベルの
金属内部接続ラインを構成した後の図11の構造を示している。ここに図示した
実施形態においては、デュアル・ダマシンのメタライザーション・プロセスが使
用されて追加の内部接続ラインおよび内部接続レベル間のビアが形成される。こ
の開示から恩典を受ける当業者であれば認識されようが、この形態において数レ
ベルの相互接続を作成することができる。例示したこの実施形態においては、S
34層216の上に、厚さ約10μmのSiO2 層218の堆積が行われ、層
間誘電体(ILD)が形成される。その後、SiO2 層218の上から、通常は
フォトレジスト層となる第1のマスキング層(図示せず)が形成され、SiO2 層218の、デュアル・ダマシンの金属プロセス用のビア開口を形成するために
除去される部分が露出するようにパターンが形成される。フォトレジストのパタ
ーン形成の後、露出されたSiO2 層218の部分に対するエッチングが行われ
る。窒化物層216は、このSiO2 のエッチング・プロセスにおけるエッチン
グのストップ層として機能する。SiO2 のエッチング・オペレーションに続い
て、フォトレジストが除去される。次に、SiO2 層218の上から第2のマス
キング層(図示せず)が形成され、SiO2 層218の、金属の内部接続ライン
用のトレンチを形成するためにエッチングを行う部分が露出するようにパターン
が形成される。このトレンチのエッチングは、金属の内部接続ラインに希望され
る厚さと実質的に対応する深さまで、SiO2 の露出した部分を除去する。その
後、第2のマスキング層が除去される。続いてビア開口のボトムに露出している
窒化シリコン層216の部分のエッチングが行われ、それによって、その下にあ
る銅の内部接続ライン215が露出される。次に、インターポーザのチップ−サ
イド表面に、銅のバリア層および銅のシード層のスパッタ堆積が行われる。その
後、シード層に対する銅の電気メッキが行われ、その結果、ビアおよびトレンチ
が銅によって埋められるが、さらにチップ−サイド表面の残りの部分にわたって
も銅層が形成される。トレンチの外側に形成された銅の部分は、過剰と見なされ
る。
【0041】 図13は、さらに深いビア開口209を形成するためのプロセッシング・オペ
レーションが実施された後の図12の構成を示している。まず、フォトレジスト
等のマスキング層(図示せず)が形成され、酸化物層204の、深いビア開口2
09の形成のために除去される部分が露出するようにパターンが形成される。続
いて、酸化物層204の露出された部分に対するエッチングが行われ、それによ
ってインターポーザのシリコン基板、またはボディ202の対応部分が露出され
る。次に、シリコン基板202を通る深いビア開口209のエッチングが行われ
るが、この場合は、酸化物層206がエッチングのストップ層として機能する。
ここに断面図が示されてはいるが、深いビア開口209が特定の形状に拘束され
ることはなく、バック−サイド表面から開口を見たときの形状が円形、矩形、ま
たは何らかの複雑な多角形となることもあり得る。深いビア開口209の形成に
続いて、深いビア開口209の露出した内側表面、呼び方を変えればサイドウォ
ール上にSiO2 層210が形成される。例示の実施形態においては、SiO2 層210が約0.5μmの厚さを有し、化学蒸着法(CVD)プロセスによって
その堆積を行うことができる。その後、酸化物層206の、深いビア開口209
によって露出された部分のエッチングが行われる。図13からわかるように、酸
化物層206の露出された部分を除去することによって、窒化シリコン層208
の対応する部分が露出される。さらに、この窒化シリコン層208の露出された
部分のエッチングが行われて、銅層215の対応する部分が露出される。
【0042】 図14は、銅層212を形成するプロセッシング・オペレーションが実施され
た後の図13の構造を示しており、その結果、深いビア開口209が銅によって
満たされ、さらにインターポーザのバック−サイド上の酸化物層204がそれに
よって覆われている。図14に示されているように、まず、窒化シリコン層20
8の、深いビア開口209によって露出された部分が、エッチングによって除去
される。それに続いて、深いビア開口209内に銅のバリア層ならびに銅のシー
ド層のスパッタ堆積が行われる。その後、深いビア開口209内およびインター
ポーザのバック−サイド表面に対する銅の電気メッキが行われる。
【0043】 図15および16は、図7〜10(最初に深いビアを加工する)および図11
〜14(最後に深いビアを加工する)に図示し、それを参照して説明したプロセ
スの両方に共通するプロセッシング・オペレーションを図示している。
【0044】 図15を参照すると、インターポーザのボード−サイドの過剰な銅が、CMP
によって除去されている。当業者であれば認識されようが、2ステップのCMP
プロセスの使用が考えられ、その第1のステップは、銅を除去するスラリー・ケ
ミストリを使用し、第2のステップは、バリア層を除去するスラリー・ケミスト
リを使用する。同様に、インターポーザのチップ−サイド上の過剰な銅をはじめ
、バリア層の不要部分がCMPによって除去される。その後、残された露出して
いる銅に対して無電解Ni/Auメッキ・オペレーションが行われ、その結果、
Ni/Au層224がインターポーザのチップ−サイドならびにボード−サイド
の両方に形成される。無電解ケミストリは、露出した金属表面に対する選択的な
堆積を提供する。
【0045】 図16は、集積回路ダイをインターポーザに取り付けるため、およびインター
ポーザを回路基板に取り付けるために使用されるスクリーン・プリント共晶ソル
ダを生成する、いくつかの追加のプロセッシング・オペレーションが実施された
後の図15の構造を示している。より詳細を述べれば、図15に示した構造は、
そのバック−サイド、つまりボード−サイドに対してPb/Snスパッタ堆積オ
ペレーションが行われる。このスパッタリングによって形成されるPb/Sn層
は、従来のリソグラフ方法を用いてパターン形成され、ソルダ・ボール先駆物質
構造226が形成される。続いて、図16に示されるように、インターポーザの
チップ−サイド上にポリイミド層228が形成される。次に、従来のリソグラフ
方法を用いてポリイミド層228のパターン形成が行われ、Ni/Au層224
が部分的に露出される。その後、別のPb/Snスパッタ堆積オペレーションが
行われて、インターポーザのトップ−サイド、つまりチップ−サイドを覆うPb
/Sn層が形成される。チップ−サイドのPb/Sn層は、さらにパターン形成
されて、図16に示されるように、ソルダ・バンプ先駆物質構造230が形成さ
れる。この開示から恩典を受ける当業者であれば認識されようが、特定のプロセ
ス・オペレーションの順序が入れ替えられることもあり、その場合にも所望の構
造を達成することができる。プロセス・オペレーションの順序におけるこの種の
変形は、すべて本発明の範囲内に含まれると見なす。
【0046】 図17に、本発明に従ったプロセスのフローチャートを示す。集積回路および
インターポーザは、302において結合される。本発明の原理によれば、インタ
ーポーザおよび集積回路は、実質的に類似の熱膨張係数を有している。特定の実
施形態においては、インターポーザおよび集積回路が実質的に同一の材料から作
られた基板、言い換えればボディを有する。一例としては、インターポーザおよ
び集積回路をともにシリコン基板から作ることができる。インターポーザがシリ
コン等の材料から作られる場合においては、従来の半導体製造方法によって、限
定する意図ではないが、キャパシタおよびトランジスタ等の各種の回路エレメン
トをその中に形成することができる。304においては、回路基板、たとえばプ
リント回路ボードとインターポーザが結合される。インターポーザは、集積回路
と回路基板の間における機械的な接続を提供する。それに加えて、インターポー
ザは、そのボディの中を通る導体信号パスを提供し、それにより集積回路と回路
基板を電気的に結合する。
【0047】 次に、図18〜21参照して、本発明の別の実施形態について説明するが、こ
れらの図は、シリコン−ベースのインターポーザを製造する各種の段階を示して
おり、それにおいては2段階プロセスを用いて深いビアが形成され、その結果、
深いビアの第1のポジションに傾斜付きサイドウォールがもたらされる。このイ
ンターポーザ構造を形成するプロセスは、図7〜10に示した実施形態との関連
から説明したプロセスに類似であるが、これは、傾斜がつけられたサイドウォー
ルに深いビアが形成され、実質的に垂直であった前の例と異なる。
【0048】 図18を参照すると、傾斜がつけられたサイドウォールを伴う深いビア開口の
エッチングが行われた後のインターポーザの概略断面図が示されている。より詳
細を述べれば、シリコン基板202は、その各主表面に熱成長された、厚さが約
0.5μmの二酸化ケイ素層204、206を有する。次に、酸化物層206の
上に、厚さが約0.1μmの窒化シリコンの層の堆積が行われる。続いて深いビ
アのマスキング層のパターン形成が行われ、深いビア開口を形成するためにエッ
チングが行われる部分を除いた残りの酸化物層204がコーティングされる。そ
の後、酸化物層204の露出された部分に対するエッチングが行われ、基板20
2の対応部分が露出される。さらに、シリコン基板202に対する等方性エッチ
ングが実施されて、図18に示されるようなシリコン基板202を部分的に通る
傾斜のあるサイドウォールが作られる。さらにその後、異方性エッチングが実施
されて、図18に示されるような深いビア開口409が完成される。異方性エッ
チングおよび等方性エッチングの組み合わせは、酸化物のオーバーハングした部
分410を形成する。
【0049】 図19は、深いビアのサイドウォール上に絶縁層が形成され、かつ深いビア内
に電気的伝導性を有する材料が形成された後の図18のインターポーザを示して
いる。オーバーハング410は、酸化物層204の厚さの2分の1が除去される
ように設計したウェット・エッチングによって除去される。オーバーハング41
0の両側がウェット・エッチングにさらされるため、実質的に酸化物層204の
2倍のレートでオーバーハングのエッチングが行われる。オーバーハング410
を除去した後、深いビアサイドウォールの傾斜付きの部分および垂直部分の上に
、厚さが約0.5μmになるまでサイドウォール酸化物210の成長が行われる
。その後、深いビア開口409内における銅の拡散バリアおよびシード層のスパ
ッタ堆積が行われる。続いて、銅の電気メッキが行われ、深いビア開口409の
実質的に垂直のサイドウォールを有する部分が実質的に満たされ、深いビア開口
409の傾斜付きサイドウォールの上に導体コーティングが施され、さらに酸化
物層204の上に導体層がもたらされる。銅が深いビア開口409の傾斜付きサ
イドウォールを流れることから、図19に示されるように、グルーブ・タイプの
構造が形成される。
【0050】 図20および21は、2つの金属層および2つのビアの層の形成を示している
。これらの金属とビアの対のそれぞれは、図9〜10および図13〜14との関
連から説明したデュアル・ダマシンの金属プロセスによって形成される。
【0051】 (結論) 本発明の実施形態は、基板に対する集積回路ダイの電気的かつ機械的な結合に
適したインターポーザを提供し、さらにその一方で、熱膨張係数の良好な整合、
密度の高い内部接続ピッチ、およびインターポーザ内における能動ならびに受動
回路エレメントの集積を提供する。
【0052】 本発明の特定の実施形態における利点に、インターポーザ内に容易に高誘電率
材料を組み込みできることが挙げられる。これは、特に減結合キャパシタとして
使用することができるキャパシタの形成を容易にする。
【0053】 また本発明の特定の実施形態における利点として、インターポーザ内に電界効
果トランジスタを容易に組み込みできることも挙げられる。
【0054】 この開示から恩典を受ける当業者であれば理解されようが、本発明の範囲内に
おいて多くの設計上の選択肢が可能である。たとえば、集積回路ダイならびにイ
ンターポーザのボディを、シリコン以外の材料から形成することも考えられる。
同様に、銅以外の導体材料を使用して、インターポーザもしくは集積回路上の各
種の内部接続を形成することもできる。別の変形には、トランジスタが組み込ま
れていないか、トランジスタ間に大きな空間を有するインターポーザ上の銅のバ
リア層を接着層に代えることが含まれる。この種の接着層材料の例としては、限
定する意図ではないが、TiおよびTiNが挙げられる。さらに別の変形の例に
おいては、SiO2 に代えて、限定する意図ではないが、フッ素ドープしたシリ
コン酸化物を含めた低−k材料を層間誘電体として使用する。
【0055】 ここに図示し、説明した詳細、材料および部品ならびにステップの構成につい
て、付随する特許請求の範囲に示される本発明の原理ならびに範囲から逸脱する
ことなく、このほかの各種の変更がこの開示から恩典を受ける当業者にとっては
可能であることを理解されるであろう。
【図面の簡単な説明】
【図1】 ソルダ・バンプによってOLGAパッケージに結合されるシリコン−ベースの
集積回路ダイ、およびソルダ・ボールによってプリント回路ボードに結合される
OLGAパッケージの概略の側面図である。
【図2】 OLGAパッケージの概略の断面図である。
【図3】 本発明に従ったシリコン−ベースのインターポーザの概略の断面図である。
【図4】 多数の接続端子を示した、シリコン−ベースのインターポーザの概略の断面図
である。
【図5】 集積化される減結合キャパシタを示した、本発明に従ったシリコン−ベースの
インターポーザの概略の断面図である。
【図6】 集積化されるトランジスタを示した、本発明に従ったシリコン−ベースのイン
ターポーザの概略の断面図である。
【図7】 図7〜図10は本発明の第1の例とする実施形態に従ったシリコン−ベースの
インターポーザの製造であって、チップ−サイドの内部接続の形成に先行して深
いビアの形成が行われるインターポーザの製造における各種の段階を示し、図7
は深いビアが形成された後のインターポーザの概略の断面図である。
【図8】 深いビアのサイドウォールに絶縁層が形成され、さらに深いビアが電気的伝導
性を有する材料によって満たされた後の図7のインターポーザを示した概略の断
面図である。
【図9】 さらにメタライザーション・オペレーションが行われた後の図8のインターポ
ーザを示した概略の断面図である。
【図10】 さらに別のメタライザーション・オペレーションが行われた後の図9のインタ
ーポーザを示した概略の断面図である。
【図11】 図11〜図14は本発明の第2の例とする実施形態に従ったシリコン−ベース
のインターポーザの製造であって、チップ−サイドの内部接続の形成に続いて深
いビアの形成が行われるインターポーザの製造における各種の段階を示し、図1
1はインターポーザのチップ−サイド上にメタライザーションの第1の層を伴う
インターポーザの概略の断面図である。
【図12】 チップ−サイドのメタライザーションの追加の層が形成された後の図11のイ
ンターポーザを示した概略の断面図である。
【図13】 インターポーザのボディを通って深いビアが形成され、さらに深いビアのサイ
ドウォール上に絶縁層が形成された後の図12のインターポーザを示した概略の
断面図である。
【図14】 深いビアが電気的伝導性を有する材料によって満たされた後の図13のインタ
ーポーザを示した概略の断面図である。
【図15】 図15〜図16は図7〜10に示したプロセスおよび図11〜14に示したプ
ロセスの両方に共通するプロセスを示し、図15は本発明に従った、チップ−サ
イドおよびボード−サイドのメタライザーション層の研磨およびメッキが行われ
た後のインターポーザの概略の断面図である。
【図16】 チップ−サイドのソルダ・バンプおよびボード−サイドのソルダ・ボールに使
用されるPb/Snパターンが形成された後の図15のインターポーザを示した
概略の断面図である。
【図17】 本発明に従ったプロセスを示したフローチャートである。
【図18】 図18〜21は、深いビアの第1の部分に傾斜付きのサイドウォールをもたら
す2段階プロセスを用いて深いビアが形成される、本発明の第3の例とする実施
形態に従ったシリコン−ベースのインターポーザの各種製造段階を示し、図18
は傾斜付きのサイドウォールを伴う深いビアのエッチングが行われた後のインタ
ーポーザの概略の断面図である。
【図19】 深いビアのサイドウォール上に絶縁層が形成され、さらに深いビア内に電気的
伝導性を有する材料が形成された後の図18のインターポーザを示した概略の断
面図である。
【図20】 さらにメタライザーション・オペレーションが行われた後の図19のインター
ポーザを示した概略の断面図である。
【図21】 さらに別のメタライザーション・オペレーションが行われた後の図20のイン
ターポーザを示した概略の断面図である。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,MZ,SD,SL,SZ,TZ,UG ,ZW),EA(AM,AZ,BY,KG,KZ,MD, RU,TJ,TM),AE,AG,AL,AM,AT, AU,AZ,BA,BB,BG,BR,BY,CA,C H,CN,CR,CU,CZ,DE,DK,DM,DZ ,EE,ES,FI,GB,GD,GE,GH,GM, HR,HU,ID,IL,IN,IS,JP,KE,K G,KP,KR,KZ,LC,LK,LR,LS,LT ,LU,LV,MA,MD,MG,MK,MN,MW, MX,MZ,NO,NZ,PL,PT,RO,RU,S D,SE,SG,SI,SK,SL,TJ,TM,TR ,TT,TZ,UA,UG,US,UZ,VN,YU, ZA,ZW

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 第1の材料を包含するボディを有するダイ、および、 第1のサイドおよび第2のサイドを有するインターポーザであって、前記ダイ
    が前記第1のサイドに結合されるインターポーザ、 を包含するアッセンブリにおいて、 前記インターポーザは、前記第1の材料を包含し、前記第1のサイドは、電気
    的かつ機械的に前記ダイと結合されることを特徴とするアッセンブリ。
  2. 【請求項2】 シリコン基板上に形成された集積回路、 第1の表面およびそれと反対側の第2の表面を有するインターポーザ、および
    、 回路基板、 を包含するアッセンブリにおいて、 前記インターポーザは、前記集積回路と前記インターポーザの間に配置され、
    かつ前記インターポーザはシリコンを包含することを特徴とするアッセンブリ。
  3. 【請求項3】 シリコン基板を有するダイ、 第1の表面およびそれと反対側の第2の表面を伴うシリコン基板を有するイン
    ターポーザであって、前記第1の表面が、ソルダ・バンプによって前記ダイに取
    り付けられるインターポーザ、および、 ソルダ・ボールによって前記第2の表面に取り付けられる回路基板、 を包含する電子アッセンブリにおいて、 前記インターポーザが回路エレメントを包含することを特徴とする電子アッセ
    ンブリ。
  4. 【請求項4】 電子アッセンブリを作成するための方法において、 集積回路(IC)とインターポーザを結合するステップ、および、 回路基板と前記インターポーザを結合するステップ、 を包含し、 前記ICおよびインターポーザは、同一の材料を実質的に包含するボディをそ
    れぞれ有し、結合は、機械的な取り付けおよび電気的な接続を含むものとするこ
    とを特徴とする方法。
  5. 【請求項5】 インターポーザを作成するための方法において、 基板の第1の表面および第2の表面のそれぞれの上に酸化物層を形成するステ
    ップ、 前記基板の部分を露出させるように、前記第1の表面の酸化物層のパターン形
    成を行うステップ、 前記露出された基板の第1の部分を通る等方性エッチングを行って、深いビア
    開口の第1の部分を形成するステップ、 前記露出された基板の第2の部分を通る異方性エッチングを行って、深いビア
    開口の第2の部分を形成するステップ、 前記深いビア開口の前記第1および第2の部分内に銅のバリアおよび銅のシー
    ド層のスパッタリングを行うステップ、 前記シード層の上から導体材料の電気メッキを行って深いビアを形成するステ
    ップ、および、 前記基板の第2の表面の上にビアおよび内部接続ラインを形成するステップ、
    を包含し、 少なくとも1つの内部接続ラインが、少なくとも1つの深いビアと電気的に接
    続されることを特徴とする方法。
JP2001506612A 1999-06-28 2000-05-26 インターポーザおよびその製造方法 Expired - Fee Related JP5285829B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/340,530 1999-06-28
US09/340,530 US6617681B1 (en) 1999-06-28 1999-06-28 Interposer and method of making same
PCT/US2000/014588 WO2001001486A1 (en) 1999-06-28 2000-05-26 Interposer and method of making same

Publications (3)

Publication Number Publication Date
JP2003503855A true JP2003503855A (ja) 2003-01-28
JP2003503855A5 JP2003503855A5 (ja) 2007-07-19
JP5285829B2 JP5285829B2 (ja) 2013-09-11

Family

ID=23333787

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001506612A Expired - Fee Related JP5285829B2 (ja) 1999-06-28 2000-05-26 インターポーザおよびその製造方法

Country Status (9)

Country Link
US (3) US6617681B1 (ja)
EP (1) EP1190449A1 (ja)
JP (1) JP5285829B2 (ja)
KR (1) KR20020016855A (ja)
CN (1) CN1199264C (ja)
AU (1) AU5166500A (ja)
IL (2) IL147304A0 (ja)
TW (1) TWI247563B (ja)
WO (1) WO2001001486A1 (ja)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008021987A (ja) * 2006-06-16 2008-01-31 Shinko Electric Ind Co Ltd 半導体装置及び半導体装置の製造方法及び基板
JP2009021433A (ja) * 2007-07-12 2009-01-29 Fujikura Ltd 配線基板及びその製造方法
JP2010103433A (ja) * 2008-10-27 2010-05-06 Toshiba Corp 半導体装置および半導体装置の製造方法
WO2010131391A1 (ja) * 2009-05-14 2010-11-18 パナソニック株式会社 半導体装置及びそれを有する電子機器
JP2011061132A (ja) * 2009-09-14 2011-03-24 Zycube:Kk インターポーザ
JP2012505557A (ja) * 2008-10-16 2012-03-01 マイクロン テクノロジー, インク. 一体型ビア及びビア端子を有する半導体回路基板、並びに関連するシステム及び方法
JP2012089566A (ja) * 2010-10-15 2012-05-10 Elpida Memory Inc 半導体装置及びその製造方法、並びにデータ処理システム
JP2012099518A (ja) * 2010-10-29 2012-05-24 Fujitsu Ltd 貫通電極基板
WO2013103136A1 (ja) * 2012-01-06 2013-07-11 凸版印刷株式会社 半導体装置およびその製造方法
US8674514B2 (en) 2009-12-07 2014-03-18 Shinko Electric Industries Co., Ltd. Wiring board, manufacturing method of the wiring board, and semiconductor package
US8686548B2 (en) 2010-01-12 2014-04-01 Shinko Electric Industries Co., Ltd. Wiring substrate, method for manufacturing wiring substrate, and semiconductor package including wiring substrate
JP2014519716A (ja) * 2011-06-16 2014-08-14 ザイリンクス インコーポレイテッド 集積回路用の応力認識設計
JP2016512360A (ja) * 2013-03-15 2016-04-25 マイクロン テクノロジー, インク. コントローラ及びメモリのスタックを備えたフレキシブルなメモリシステム
US9530857B2 (en) 2003-06-20 2016-12-27 Tessera Advanced Technologies, Inc. Electronic device, assembly and methods of manufacturing an electronic device including a vertical trench capacitor and a vertical interconnect
DE102016219365A1 (de) 2015-10-07 2017-04-13 Disco Corporation Herstellungsverfahren für ein halbleiterbauelement
JP2018093011A (ja) * 2016-12-01 2018-06-14 株式会社村田製作所 コンデンサの実装構造
KR20180068871A (ko) 2016-12-14 2018-06-22 가부시기가이샤 디스코 인터포저의 제조 방법
KR20180068862A (ko) 2016-12-14 2018-06-22 가부시기가이샤 디스코 인터포저의 제조 방법

Families Citing this family (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8352400B2 (en) 1991-12-23 2013-01-08 Hoffberg Steven M Adaptive pattern recognition based controller apparatus and method and human-factored interface therefore
GB2289983B (en) 1994-06-01 1996-10-16 Simage Oy Imaging devices,systems and methods
US9029793B2 (en) 1998-11-05 2015-05-12 Siemens Aktiengesellschaft Imaging device
US6495442B1 (en) 2000-10-18 2002-12-17 Magic Corporation Post passivation interconnection schemes on top of the IC chips
US7904187B2 (en) 1999-02-01 2011-03-08 Hoffberg Steven M Internet appliance system and method
US6617681B1 (en) * 1999-06-28 2003-09-09 Intel Corporation Interposer and method of making same
JP2001044589A (ja) * 1999-07-30 2001-02-16 Nitto Denko Corp 回路基板
JP4454814B2 (ja) * 2000-08-29 2010-04-21 Necエレクトロニクス株式会社 樹脂封止型半導体装置及びその製造方法
US6593644B2 (en) * 2001-04-19 2003-07-15 International Business Machines Corporation System of a package fabricated on a semiconductor or dielectric wafer with wiring on one face, vias extending through the wafer, and external connections on the opposing face
US20020170897A1 (en) * 2001-05-21 2002-11-21 Hall Frank L. Methods for preparing ball grid array substrates via use of a laser
US7189595B2 (en) * 2001-05-31 2007-03-13 International Business Machines Corporation Method of manufacture of silicon based package and devices manufactured thereby
TW560017B (en) * 2001-07-12 2003-11-01 Hitachi Ltd Semiconductor connection substrate
US20030059976A1 (en) * 2001-09-24 2003-03-27 Nathan Richard J. Integrated package and methods for making same
US6580611B1 (en) * 2001-12-21 2003-06-17 Intel Corporation Dual-sided heat removal system
JP2003283086A (ja) * 2002-01-21 2003-10-03 Hitachi Cable Ltd 配線基板、配線基板の製造方法及び配線基板を用いた電子部品
US6960837B2 (en) * 2002-02-26 2005-11-01 International Business Machines Corporation Method of connecting core I/O pins to backside chip I/O pads
DE10215654A1 (de) * 2002-04-09 2003-11-06 Infineon Technologies Ag Elektronisches Bauteil mit mindestens einem Halbleiterchip und Flip-Chip-Kontakten sowie Verfahren zu seiner Herstellung
JP4285629B2 (ja) * 2002-04-25 2009-06-24 富士通株式会社 集積回路を搭載するインターポーザ基板の作製方法
TWI229435B (en) * 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US6933597B1 (en) * 2002-07-09 2005-08-23 National Semiconductor Corporation Spacer with passive components for use in multi-chip modules
US6902872B2 (en) * 2002-07-29 2005-06-07 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
US6593221B1 (en) * 2002-08-13 2003-07-15 Micron Technology, Inc. Selective passivation of exposed silicon
JP2004079701A (ja) * 2002-08-14 2004-03-11 Sony Corp 半導体装置及びその製造方法
JP2004095849A (ja) * 2002-08-30 2004-03-25 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
GB0224689D0 (en) 2002-10-23 2002-12-04 Simage Oy Formation of contacts on semiconductor substrates
JP2006504258A (ja) 2002-10-25 2006-02-02 ゴールドパワー リミテッド 回路基板およびその製造方法
TW569416B (en) * 2002-12-19 2004-01-01 Via Tech Inc High density multi-chip module structure and manufacturing method thereof
US7114961B2 (en) * 2003-04-11 2006-10-03 Neoconix, Inc. Electrical connector on a flexible carrier
US7056131B1 (en) 2003-04-11 2006-06-06 Neoconix, Inc. Contact grid array system
US7113408B2 (en) * 2003-06-11 2006-09-26 Neoconix, Inc. Contact grid array formed on a printed circuit board
US7758351B2 (en) * 2003-04-11 2010-07-20 Neoconix, Inc. Method and system for batch manufacturing of spring elements
US8584353B2 (en) * 2003-04-11 2013-11-19 Neoconix, Inc. Method for fabricating a contact grid array
US7244125B2 (en) * 2003-12-08 2007-07-17 Neoconix, Inc. Connector for making electrical contact at semiconductor scales
US20100167561A1 (en) * 2003-04-11 2010-07-01 Neoconix, Inc. Structure and process for a contact grid array formed in a circuitized substrate
US7628617B2 (en) * 2003-06-11 2009-12-08 Neoconix, Inc. Structure and process for a contact grid array formed in a circuitized substrate
US20070020960A1 (en) * 2003-04-11 2007-01-25 Williams John D Contact grid array system
US20050120553A1 (en) * 2003-12-08 2005-06-09 Brown Dirk D. Method for forming MEMS grid array connector
US7597561B2 (en) * 2003-04-11 2009-10-06 Neoconix, Inc. Method and system for batch forming spring elements in three dimensions
US6916181B2 (en) * 2003-06-11 2005-07-12 Neoconix, Inc. Remountable connector for land grid array packages
JP2004363380A (ja) * 2003-06-05 2004-12-24 Sanyo Electric Co Ltd 光半導体装置およびその製造方法
US7070419B2 (en) * 2003-06-11 2006-07-04 Neoconix Inc. Land grid array connector including heterogeneous contact elements
US6869290B2 (en) * 2003-06-11 2005-03-22 Neoconix, Inc. Circuitized connector for land grid array
TWI245381B (en) * 2003-08-14 2005-12-11 Via Tech Inc Electrical package and process thereof
US7233061B1 (en) 2003-10-31 2007-06-19 Xilinx, Inc Interposer for impedance matching
US7566960B1 (en) * 2003-10-31 2009-07-28 Xilinx, Inc. Interposing structure
KR100513422B1 (ko) * 2003-11-13 2005-09-09 삼성전자주식회사 집적회로 모듈의 구조
US20050227510A1 (en) * 2004-04-09 2005-10-13 Brown Dirk D Small array contact with precision working range
JP3896112B2 (ja) 2003-12-25 2007-03-22 エルピーダメモリ株式会社 半導体集積回路装置
JP4587676B2 (ja) * 2004-01-29 2010-11-24 ルネサスエレクトロニクス株式会社 チップ積層構成の3次元半導体装置
US7347698B2 (en) * 2004-03-19 2008-03-25 Neoconix, Inc. Deep drawn electrical contacts and method for making
US7383632B2 (en) * 2004-03-19 2008-06-10 Neoconix, Inc. Method for fabricating a connector
JP4377269B2 (ja) * 2004-03-19 2009-12-02 Necエレクトロニクス株式会社 半導体装置
US7090503B2 (en) * 2004-03-19 2006-08-15 Neoconix, Inc. Interposer with compliant pins
US7025601B2 (en) * 2004-03-19 2006-04-11 Neoconix, Inc. Interposer and method for making same
US20050205988A1 (en) * 2004-03-19 2005-09-22 Epic Technology Inc. Die package with higher useable die contact pad area
JP4439976B2 (ja) 2004-03-31 2010-03-24 Necエレクトロニクス株式会社 半導体装置およびその製造方法
US7241680B2 (en) * 2004-04-30 2007-07-10 Intel Corporation Electronic packaging using conductive interposer connector
US7692287B2 (en) * 2004-05-21 2010-04-06 Nec Corporation Semiconductor device and wiring board
WO2006003563A2 (en) * 2004-06-29 2006-01-12 Koninklijke Philips Electronics N.V. Light emitting diode module
US20060000542A1 (en) * 2004-06-30 2006-01-05 Yongki Min Metal oxide ceramic thin film on base metal electrode
JP4865197B2 (ja) 2004-06-30 2012-02-01 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4343044B2 (ja) * 2004-06-30 2009-10-14 新光電気工業株式会社 インターポーザ及びその製造方法並びに半導体装置
US20060000642A1 (en) * 2004-07-01 2006-01-05 Epic Technology Inc. Interposer with compliant pins
US7866038B2 (en) * 2004-07-06 2011-01-11 Tokyo Electron Limited Through substrate, interposer and manufacturing method of through substrate
US7354276B2 (en) * 2004-07-20 2008-04-08 Neoconix, Inc. Interposer with compliant pins
TWI250596B (en) * 2004-07-23 2006-03-01 Ind Tech Res Inst Wafer-level chip scale packaging method
US7413995B2 (en) * 2004-08-23 2008-08-19 Intel Corporation Etched interposer for integrated circuit devices
US7049208B2 (en) 2004-10-11 2006-05-23 Intel Corporation Method of manufacturing of thin based substrate
US7358444B2 (en) * 2004-10-13 2008-04-15 Intel Corporation Folded substrate with interposer package for integrated circuit devices
US7290315B2 (en) * 2004-10-21 2007-11-06 Intel Corporation Method for making a passive device structure
US20060091495A1 (en) * 2004-10-29 2006-05-04 Palanduz Cengiz A Ceramic thin film on base metal electrode
TWI246384B (en) * 2004-11-22 2005-12-21 Benq Corp Multi-layer printed circuit board layout and manufacturing method thereof
TWI313914B (en) * 2005-01-31 2009-08-21 Sanyo Electric Co Semiconductor device and a method for manufacturing thereof
US7160798B2 (en) * 2005-02-24 2007-01-09 Freescale Semiconductor, Inc. Method of making reinforced semiconductor package
US7629269B2 (en) * 2005-03-31 2009-12-08 Intel Corporation High-k thin film grain size control
US20060220177A1 (en) * 2005-03-31 2006-10-05 Palanduz Cengiz A Reduced porosity high-k thin film mixed grains for thin film capacitor applications
US7375412B1 (en) * 2005-03-31 2008-05-20 Intel Corporation iTFC with optimized C(T)
US7371676B2 (en) * 2005-04-08 2008-05-13 Micron Technology, Inc. Method for fabricating semiconductor components with through wire interconnects
US7292055B2 (en) * 2005-04-21 2007-11-06 Endicott Interconnect Technologies, Inc. Interposer for use with test apparatus
US7393770B2 (en) * 2005-05-19 2008-07-01 Micron Technology, Inc. Backside method for fabricating semiconductor components with conductive interconnects
US7510907B2 (en) * 2005-06-22 2009-03-31 Intel Corporation Through-wafer vias and surface metallization for coupling thereto
US7453144B2 (en) * 2005-06-29 2008-11-18 Intel Corporation Thin film capacitors and methods of making the same
US7495330B2 (en) * 2005-06-30 2009-02-24 Intel Corporation Substrate connector for integrated circuit devices
KR100712517B1 (ko) * 2005-07-14 2007-04-30 삼성전자주식회사 에어 갭 구조를 갖는 반도체 소자의 인터포저
US9601474B2 (en) * 2005-07-22 2017-03-21 Invensas Corporation Electrically stackable semiconductor wafer and chip packages
US7435627B2 (en) * 2005-08-11 2008-10-14 International Business Machines Corporation Techniques for providing decoupling capacitance
US20070050738A1 (en) * 2005-08-31 2007-03-01 Dittmann Larry E Customer designed interposer
TWI272728B (en) * 2005-09-02 2007-02-01 Touch Micro System Tech Three-dimensional interconnect interposer adapted for use in system in package and method of making the same
US7307348B2 (en) 2005-12-07 2007-12-11 Micron Technology, Inc. Semiconductor components having through wire interconnects (TWI)
US7357644B2 (en) * 2005-12-12 2008-04-15 Neoconix, Inc. Connector having staggered contact architecture for enhanced working range
US7402912B2 (en) * 2005-12-15 2008-07-22 International Business Machines Corporation Method and power control structure for managing plurality of voltage islands
US8003479B2 (en) * 2006-03-27 2011-08-23 Intel Corporation Low temperature deposition and ultra fast annealing of integrated circuit thin film capacitor
US7759776B2 (en) * 2006-03-28 2010-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Space transformer having multi-layer pad structures
US20070246821A1 (en) * 2006-04-20 2007-10-25 Lu Szu W Utra-thin substrate package technology
US7659612B2 (en) 2006-04-24 2010-02-09 Micron Technology, Inc. Semiconductor components having encapsulated through wire interconnects (TWI)
US7510928B2 (en) * 2006-05-05 2009-03-31 Tru-Si Technologies, Inc. Dielectric trenches, nickel/tantalum oxide structures, and chemical mechanical polishing techniques
AT9551U1 (de) * 2006-05-16 2007-11-15 Austria Tech & System Tech Verfahren zum festlegen eines elektronischen bauteils auf einer leiterplatte sowie system bestehend aus einer leiterplatte und wenigstens einem elektronischen bauteil
WO2008007258A2 (en) * 2006-06-20 2008-01-17 Nxp B.V. Power amplifier assembly
DE102006034679A1 (de) * 2006-07-24 2008-01-31 Infineon Technologies Ag Halbleitermodul mit Leistungshalbleiterchip und passiven Bauelement sowie Verfahren zur Herstellung desselben
US7804177B2 (en) 2006-07-26 2010-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-based thin substrate and packaging schemes
US7473577B2 (en) * 2006-08-11 2009-01-06 International Business Machines Corporation Integrated chip carrier with compliant interconnect
JP5117698B2 (ja) * 2006-09-27 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
US7989895B2 (en) * 2006-11-15 2011-08-02 Avx Corporation Integration using package stacking with multi-layer organic substrates
DE102007023666A1 (de) * 2006-12-01 2008-06-05 Infineon Technologies Ag Halbleiterbaustein und Verfahren zur Herstellung eines Halbleiterbausteins
JP2008160019A (ja) * 2006-12-26 2008-07-10 Shinko Electric Ind Co Ltd 電子部品
US7528492B2 (en) * 2007-05-24 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Test patterns for detecting misalignment of through-wafer vias
US8476735B2 (en) * 2007-05-29 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Programmable semiconductor interposer for electronic package and method of forming
US7939941B2 (en) 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
US7982137B2 (en) * 2007-06-27 2011-07-19 Hamilton Sundstrand Corporation Circuit board with an attached die and intermediate interposer
US7935408B2 (en) * 2007-10-26 2011-05-03 International Business Machines Corporation Substrate anchor structure and method
US8273603B2 (en) * 2008-04-04 2012-09-25 The Charles Stark Draper Laboratory, Inc. Interposers, electronic modules, and methods for forming the same
US8017451B2 (en) 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
US8853830B2 (en) 2008-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. System, structure, and method of manufacturing a semiconductor substrate stack
US9159679B2 (en) * 2008-09-15 2015-10-13 International Rectifier Corporation Semiconductor package with integrated passives and method for fabricating same
US7859099B2 (en) * 2008-12-11 2010-12-28 Stats Chippac Ltd. Integrated circuit packaging system having through silicon via with direct interconnects and method of manufacture thereof
US8907462B2 (en) * 2009-02-05 2014-12-09 Hewlett-Packard Development Company, L. P. Integrated circuit package
JP2009111433A (ja) * 2009-02-18 2009-05-21 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
TWI394253B (zh) * 2009-03-25 2013-04-21 Advanced Semiconductor Eng 具有凸塊之晶片及具有凸塊之晶片之封裝結構
US7936060B2 (en) * 2009-04-29 2011-05-03 International Business Machines Corporation Reworkable electronic device assembly and method
US8334202B2 (en) * 2009-11-03 2012-12-18 Infineon Technologies Ag Device fabricated using an electroplating process
US10297550B2 (en) 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US8324511B1 (en) * 2010-04-06 2012-12-04 Amkor Technology, Inc. Through via nub reveal method and structure
US9293366B2 (en) * 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8913402B1 (en) 2010-05-20 2014-12-16 American Semiconductor, Inc. Triple-damascene interposer
JP5608430B2 (ja) * 2010-06-07 2014-10-15 新光電気工業株式会社 配線基板及び配線基板の製造方法
US8426961B2 (en) * 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
JP2011009781A (ja) * 2010-09-29 2011-01-13 Fujikura Ltd 貫通電極付き半導体デバイスの製造方法
US8710623B1 (en) 2010-11-18 2014-04-29 Xilinx, Inc. Integrated circuit having a discrete capacitor mounted on a semiconductor die
US9412708B2 (en) * 2011-01-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced ESD protection of integrated circuit in 3DIC package
US8373252B1 (en) * 2011-03-07 2013-02-12 Xilinx, Inc. Integrated circuit having capacitor on back surface
US8587127B2 (en) * 2011-06-15 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US8872345B2 (en) * 2011-07-07 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Forming grounded through-silicon vias in a semiconductor substrate
KR20130026920A (ko) * 2011-09-06 2013-03-14 삼성전자주식회사 질화물계 반도체 패키지 및 그의 제조 방법, 접합 기판
US9236278B2 (en) 2011-09-23 2016-01-12 Stats Chippac Ltd. Integrated circuit packaging system with a substrate embedded dummy-die paddle and method of manufacture thereof
US10475759B2 (en) * 2011-10-11 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure having dies with connectors of different sizes
US8641428B2 (en) 2011-12-02 2014-02-04 Neoconix, Inc. Electrical connector and method of making it
US20130288474A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Methods for fabricating dual damascene interconnect structures
KR101225450B1 (ko) * 2012-05-02 2013-01-24 (주) 이피웍스 다마신 실리콘 인터포저
US9275933B2 (en) 2012-06-19 2016-03-01 United Microelectronics Corp. Semiconductor device
KR101401988B1 (ko) * 2012-09-07 2014-05-30 주식회사 동부하이텍 반도체 패키지 및 반도체 패키지 형성 방법
JP2014060185A (ja) 2012-09-14 2014-04-03 Toshiba Corp 半導体装置の製造方法および半導体装置
US8803287B2 (en) * 2012-10-17 2014-08-12 Texas Instruments Deutschland Gmbh Electronic device comprising a semiconductor structure having an integrated circuit back end capacitor and thin film resistor and method of manufacturing the same
TW201417225A (zh) * 2012-10-25 2014-05-01 Ind Tech Res Inst 封裝基板及其製法
US9799592B2 (en) 2013-11-19 2017-10-24 Amkor Technology, Inc. Semicondutor device with through-silicon via-less deep wells
KR101366461B1 (ko) 2012-11-20 2014-02-26 앰코 테크놀로지 코리아 주식회사 반도체 디바이스 및 그 제조 방법
US9304272B2 (en) * 2013-03-15 2016-04-05 Compass Electro Optical Systems Ltd. EO device for processing data signals
US9680273B2 (en) 2013-03-15 2017-06-13 Neoconix, Inc Electrical connector with electrical contacts protected by a layer of compressible material and method of making it
US9214433B2 (en) * 2013-05-21 2015-12-15 Xilinx, Inc. Charge damage protection on an interposer for a stacked die assembly
US9581639B2 (en) 2013-12-28 2017-02-28 Intel Corporation Organic space transformer attachment and assembly
US9510454B2 (en) 2014-02-28 2016-11-29 Qualcomm Incorporated Integrated interposer with embedded active devices
US9632251B2 (en) 2014-04-02 2017-04-25 International Business Machines Corporation Integration of photonic, electronic, and sensor devices with SOI VLSI microprocessor technology
EP3035385A1 (en) 2014-12-16 2016-06-22 IMEC vzw Semiconductor interposer comprising a schottky diode and a method for fabricating the interposer
KR20170046344A (ko) 2015-10-21 2017-05-02 삼성전자주식회사 반도체 장치 및 이를 포함하는 반도체 패키지
US10720339B2 (en) 2016-05-10 2020-07-21 Agency For Science, Technology And Research Fan-out wafer-level packaging method and the package produced thereof
US9960328B2 (en) 2016-09-06 2018-05-01 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US10383225B1 (en) 2018-08-10 2019-08-13 Seagate Technology Llc Interposer with offset-stacked traces
US11289427B2 (en) * 2019-06-11 2022-03-29 Intel Corporation Multi-faceted integrated-circuit dice and packages
US11315831B2 (en) 2019-07-22 2022-04-26 International Business Machines Corporation Dual redistribution layer structure
US11715895B2 (en) 2020-07-09 2023-08-01 Seagate Technology Llc Methods for making electrical connectors with an electrical interposer

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63129655A (ja) * 1986-11-12 1988-06-02 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 集積回路実装構造体
JPH0563137A (ja) * 1991-08-30 1993-03-12 Fujitsu Ltd 半導体装置
JPH08213427A (ja) * 1995-02-07 1996-08-20 Sharp Corp 半導体チップおよびマルチチップ半導体モジュール
JPH09213835A (ja) * 1996-02-06 1997-08-15 Fujitsu Ltd 半導体チップキャリヤ
JPH09232507A (ja) * 1996-02-20 1997-09-05 Yamaha Corp 半導体集積回路およびその製造方法
JPH09298274A (ja) * 1996-04-30 1997-11-18 Nec Corp 半導体チップの実装方法
JPH1041458A (ja) * 1996-03-19 1998-02-13 Internatl Business Mach Corp <Ibm> バッファ回路用のサポート・チップを備えた半導体パッケージ
JPH1084073A (ja) * 1996-09-09 1998-03-31 Oki Electric Ind Co Ltd 半導体装置
JPH10209328A (ja) * 1997-01-27 1998-08-07 Sumitomo Kinzoku Electro Device:Kk フリップチップセラミック基板
JPH10209371A (ja) * 1997-01-17 1998-08-07 Mitsubishi Electric Corp Icメモリ
JPH1167971A (ja) * 1997-05-30 1999-03-09 St Microelectron Inc 向上させた基板をベースとした集積回路パッケージ
JPH1197571A (ja) * 1997-09-17 1999-04-09 Sony Corp 変換基板及び半導体装置

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3577037A (en) * 1968-07-05 1971-05-04 Ibm Diffused electrical connector apparatus and method of making same
US3648131A (en) * 1969-11-07 1972-03-07 Ibm Hourglass-shaped conductive connection through semiconductor structures
US4074342A (en) * 1974-12-20 1978-02-14 International Business Machines Corporation Electrical package for lsi devices and assembly process therefor
US4125933A (en) * 1976-07-08 1978-11-21 Burroughs Corporation IGFET Integrated circuit memory cell
US4349862A (en) * 1980-08-11 1982-09-14 International Business Machines Corporation Capacitive chip carrier and multilayer ceramic capacitors
US4394712A (en) 1981-03-18 1983-07-19 General Electric Company Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers
DE3600169A1 (de) * 1985-01-07 1986-07-10 Canon K.K., Tokio/Tokyo Maskenstruktur zur lithographie, verfahren zu ihrer herstellung und lithographisches verfahren
EP0227826B1 (en) * 1985-08-02 1989-10-25 Leo Pharmaceutical Products Ltd. A/S (Lovens Kemiske Fabrik Produktionsaktieselskab) Novel vitamin d analogues
US4866507A (en) * 1986-05-19 1989-09-12 International Business Machines Corporation Module for packaging semiconductor integrated circuit chips on a base substrate
US5136471A (en) * 1987-02-26 1992-08-04 Nec Corporation Laminate wiring board
US5191224A (en) * 1987-04-22 1993-03-02 Hitachi, Ltd. Wafer scale of full wafer memory system, packaging method thereof, and wafer processing method employed therein
US5191404A (en) * 1989-12-20 1993-03-02 Digital Equipment Corporation High density memory array packaging
US5063177A (en) * 1990-10-04 1991-11-05 Comsat Method of packaging microwave semiconductor components and integrated circuits
US5258648A (en) * 1991-06-27 1993-11-02 Motorola, Inc. Composite flip chip semiconductor device with an interposer having test contacts formed along its periphery
JPH05183019A (ja) 1991-12-27 1993-07-23 Hitachi Ltd 半導体装置およびその製造方法
US5473120A (en) 1992-04-27 1995-12-05 Tokuyama Corporation Multilayer board and fabrication method thereof
EP0596075B1 (en) 1992-05-15 2001-08-22 Irvine Sensors Corporation Non-conductive end layer for integrated stack of ic chips
US5371654A (en) 1992-10-19 1994-12-06 International Business Machines Corporation Three dimensional high performance interconnection package
US5413489A (en) * 1993-04-27 1995-05-09 Aptix Corporation Integrated socket and IC package assembly
JP3195474B2 (ja) * 1993-09-20 2001-08-06 富士通株式会社 半導体装置
EP0666595B1 (de) 1994-02-07 1998-08-19 Siemens Aktiengesellschaft Verfahren zur Herstellung einer kubisch integrierten Schaltungsanordnung
US5567654A (en) * 1994-09-28 1996-10-22 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic module's surface and associated module packaging
US5530288A (en) * 1994-10-12 1996-06-25 International Business Machines Corporation Passive interposer including at least one passive electronic component
JPH08148563A (ja) * 1994-11-22 1996-06-07 Nec Corp 半導体装置の多層配線構造体の形成方法
US5640167A (en) * 1995-01-27 1997-06-17 Ford Motor Company Vehicle window glass antenna arrangement
US5574630A (en) * 1995-05-11 1996-11-12 International Business Machines Corporation Laminated electronic package including a power/ground assembly
US5635767A (en) * 1995-06-02 1997-06-03 Motorola, Inc. Semiconductor device having built-in high frequency bypass capacitor
JPH0997833A (ja) * 1995-07-22 1997-04-08 Ricoh Co Ltd 半導体装置とその製造方法
JP3387282B2 (ja) * 1995-08-03 2003-03-17 日産自動車株式会社 半導体装置の構造及びその製造方法
US5756395A (en) 1995-08-18 1998-05-26 Lsi Logic Corporation Process for forming metal interconnect structures for use with integrated circuit devices to form integrated circuit structures
US5623160A (en) * 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5644167A (en) * 1996-03-01 1997-07-01 National Semiconductor Corporation Integrated circuit package assemblies including an electrostatic discharge interposer
US5777383A (en) * 1996-05-09 1998-07-07 Lsi Logic Corporation Semiconductor chip package with interconnect layers and routing and testing methods
US5790384A (en) * 1997-06-26 1998-08-04 International Business Machines Corporation Bare die multiple dies for direct attach
US6052287A (en) * 1997-12-09 2000-04-18 Sandia Corporation Silicon ball grid array chip carrier
IT1299401B1 (it) * 1998-03-27 2000-03-16 Optikon 2000 Spa Procedimento di ottimizzazione del pilotaggio di un attuatore piezoelettrico, in particolare per dispositivi facoemulsificatori,
US6544167B2 (en) * 1998-05-01 2003-04-08 Correstore, Inc. Ventricular restoration patch
US6175160B1 (en) * 1999-01-08 2001-01-16 Intel Corporation Flip-chip having an on-chip cache memory
US6617681B1 (en) * 1999-06-28 2003-09-09 Intel Corporation Interposer and method of making same

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63129655A (ja) * 1986-11-12 1988-06-02 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 集積回路実装構造体
JPH0563137A (ja) * 1991-08-30 1993-03-12 Fujitsu Ltd 半導体装置
JPH08213427A (ja) * 1995-02-07 1996-08-20 Sharp Corp 半導体チップおよびマルチチップ半導体モジュール
JPH09213835A (ja) * 1996-02-06 1997-08-15 Fujitsu Ltd 半導体チップキャリヤ
JPH09232507A (ja) * 1996-02-20 1997-09-05 Yamaha Corp 半導体集積回路およびその製造方法
JPH1041458A (ja) * 1996-03-19 1998-02-13 Internatl Business Mach Corp <Ibm> バッファ回路用のサポート・チップを備えた半導体パッケージ
JPH09298274A (ja) * 1996-04-30 1997-11-18 Nec Corp 半導体チップの実装方法
JPH1084073A (ja) * 1996-09-09 1998-03-31 Oki Electric Ind Co Ltd 半導体装置
JPH10209371A (ja) * 1997-01-17 1998-08-07 Mitsubishi Electric Corp Icメモリ
JPH10209328A (ja) * 1997-01-27 1998-08-07 Sumitomo Kinzoku Electro Device:Kk フリップチップセラミック基板
JPH1167971A (ja) * 1997-05-30 1999-03-09 St Microelectron Inc 向上させた基板をベースとした集積回路パッケージ
JPH1197571A (ja) * 1997-09-17 1999-04-09 Sony Corp 変換基板及び半導体装置

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9530857B2 (en) 2003-06-20 2016-12-27 Tessera Advanced Technologies, Inc. Electronic device, assembly and methods of manufacturing an electronic device including a vertical trench capacitor and a vertical interconnect
JP2008021987A (ja) * 2006-06-16 2008-01-31 Shinko Electric Ind Co Ltd 半導体装置及び半導体装置の製造方法及び基板
JP2009021433A (ja) * 2007-07-12 2009-01-29 Fujikura Ltd 配線基板及びその製造方法
US9935085B2 (en) 2008-10-16 2018-04-03 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US9508628B2 (en) 2008-10-16 2016-11-29 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
JP2012505557A (ja) * 2008-10-16 2012-03-01 マイクロン テクノロジー, インク. 一体型ビア及びビア端子を有する半導体回路基板、並びに関連するシステム及び方法
US8629057B2 (en) 2008-10-16 2014-01-14 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
JP2010103433A (ja) * 2008-10-27 2010-05-06 Toshiba Corp 半導体装置および半導体装置の製造方法
WO2010131391A1 (ja) * 2009-05-14 2010-11-18 パナソニック株式会社 半導体装置及びそれを有する電子機器
JP2011061132A (ja) * 2009-09-14 2011-03-24 Zycube:Kk インターポーザ
US8674514B2 (en) 2009-12-07 2014-03-18 Shinko Electric Industries Co., Ltd. Wiring board, manufacturing method of the wiring board, and semiconductor package
US8686548B2 (en) 2010-01-12 2014-04-01 Shinko Electric Industries Co., Ltd. Wiring substrate, method for manufacturing wiring substrate, and semiconductor package including wiring substrate
JP2012089566A (ja) * 2010-10-15 2012-05-10 Elpida Memory Inc 半導体装置及びその製造方法、並びにデータ処理システム
JP2012099518A (ja) * 2010-10-29 2012-05-24 Fujitsu Ltd 貫通電極基板
JP2014519716A (ja) * 2011-06-16 2014-08-14 ザイリンクス インコーポレイテッド 集積回路用の応力認識設計
WO2013103136A1 (ja) * 2012-01-06 2013-07-11 凸版印刷株式会社 半導体装置およびその製造方法
KR101626693B1 (ko) * 2012-01-06 2016-06-01 도판 인사츠 가부시키가이샤 반도체 장치 및 그 제조 방법
KR20140099316A (ko) * 2012-01-06 2014-08-11 도판 인사츠 가부시키가이샤 반도체 장치 및 그 제조 방법
US9520322B2 (en) 2012-01-06 2016-12-13 Toppan Printing Co., Ltd. Semiconductor device and method for manufacturing same
JP2013140916A (ja) * 2012-01-06 2013-07-18 Toppan Printing Co Ltd 半導体装置及びその製造方法
US10714150B2 (en) 2013-03-15 2020-07-14 Micron Technology, Inc. Flexible memory system with a controller and a stack of memory
US9679615B2 (en) 2013-03-15 2017-06-13 Micron Technology, Inc. Flexible memory system with a controller and a stack of memory
US10283172B2 (en) 2013-03-15 2019-05-07 Micron Technology, Inc. Flexible memory system with a controller and a stack of memory
JP2016512360A (ja) * 2013-03-15 2016-04-25 マイクロン テクノロジー, インク. コントローラ及びメモリのスタックを備えたフレキシブルなメモリシステム
US11031049B2 (en) 2013-03-15 2021-06-08 Micron Technology, Inc. Flexible memory system with a controller and a stack of memory
US11450354B2 (en) 2013-03-15 2022-09-20 Micron Technology, Inc. Flexible memory system with a controller and a stack of memory
US11769534B2 (en) 2013-03-15 2023-09-26 Micron Technology, Inc. Flexible memory system with a controller and a stack of memory
DE102016219365A1 (de) 2015-10-07 2017-04-13 Disco Corporation Herstellungsverfahren für ein halbleiterbauelement
JP2018093011A (ja) * 2016-12-01 2018-06-14 株式会社村田製作所 コンデンサの実装構造
KR20180068871A (ko) 2016-12-14 2018-06-22 가부시기가이샤 디스코 인터포저의 제조 방법
KR20180068862A (ko) 2016-12-14 2018-06-22 가부시기가이샤 디스코 인터포저의 제조 방법

Also Published As

Publication number Publication date
US6671947B2 (en) 2004-01-06
US20020081838A1 (en) 2002-06-27
IL147304A0 (en) 2002-08-14
TWI247563B (en) 2006-01-11
JP5285829B2 (ja) 2013-09-11
US6617681B1 (en) 2003-09-09
US20050017333A1 (en) 2005-01-27
CN1199264C (zh) 2005-04-27
WO2001001486A1 (en) 2001-01-04
EP1190449A1 (en) 2002-03-27
IL147304A (en) 2007-02-11
US6982225B2 (en) 2006-01-03
AU5166500A (en) 2001-01-31
CN1358331A (zh) 2002-07-10
KR20020016855A (ko) 2002-03-06

Similar Documents

Publication Publication Date Title
JP5285829B2 (ja) インターポーザおよびその製造方法
KR101086520B1 (ko) 전자 장치, 조립체 및 전자 장치 제조 방법
US8390098B2 (en) Semiconductor device providing a first electrical conductor and a second electrical conductor in one through hole and method for manufacturing the same
JP5602892B2 (ja) ウェハ裏面のキャパシタを有する半導体デバイスを形成する方法
JP5274004B2 (ja) 半導体基板内に導電性ビア構造体を製造する方法
JP4222929B2 (ja) チップ・キャリア
US8592310B2 (en) Methods of manufacturing a semiconductor device
CN101622700B (zh) 利用电镀的导电过孔形成
US11670501B2 (en) Semiconductor device structure with resistive elements
JP2012054588A (ja) 銅技術相互接続構造を使用する集積回路デバイス用のアルミニウム・パッド電力バスおよび信号ルーティング技術
US11217482B2 (en) Method for forming semiconductor device with resistive element
KR20140104778A (ko) 관통전극을 갖는 반도체 소자의 제조방법
CN104752379A (zh) 包含铜柱结构的集成电路及其制造方法
US6432724B1 (en) Buried ground plane for high performance system modules
CN114464584A (zh) 具有瓶形硅穿孔的半导体元件结构及其制备方法
US11469295B1 (en) Decoupling capacitor integrated in system on chip (SOC) device
US20240153897A1 (en) Semiconductor device with advanced pad structure and method for forming same
US20230068503A1 (en) Chip structure and method for forming the same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070523

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070523

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100610

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100922

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130220

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130528

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130603

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees