KR20020016855A - 인터포저 및 그 제조 방법 - Google Patents

인터포저 및 그 제조 방법 Download PDF

Info

Publication number
KR20020016855A
KR20020016855A KR1020017016740A KR20017016740A KR20020016855A KR 20020016855 A KR20020016855 A KR 20020016855A KR 1020017016740 A KR1020017016740 A KR 1020017016740A KR 20017016740 A KR20017016740 A KR 20017016740A KR 20020016855 A KR20020016855 A KR 20020016855A
Authority
KR
South Korea
Prior art keywords
interposer
layer
integrated circuit
copper
substrate
Prior art date
Application number
KR1020017016740A
Other languages
English (en)
Inventor
보어마크티.
Original Assignee
피터 엔. 데트킨
인텔 코오퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피터 엔. 데트킨, 인텔 코오퍼레이션 filed Critical 피터 엔. 데트킨
Publication of KR20020016855A publication Critical patent/KR20020016855A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/157Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49156Manufacturing circuit on or in base with selective destruction of conductive paths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49162Manufacturing circuit on or in base by using wire as conductive path
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49165Manufacturing circuit on or in base by forming conductive walled aperture in base

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

집적회로를 지지 기판에 연결시키는데 적합한 구조가 기재되어 있는데, 여기서 이 구조는 집적회로에 잘 매칭되는 열 팽창 특성을 가지는 인터포저(interposer)이다. 집적회로와 인터포저는 실질적으로 유사한 열 팽창 계수를 갖는 바디로 구성된다. 인터포저는 집적회로에 전기적 및 기계적으로 결합시키는데 적합한 제1 표면을 가진다. 그리고, 인터포저는 지지 기판에 전기적 및 기계적으로 결합시키는데 적합한 제2 표면을 가진다. 도전성 비아(vias)가 인터포저의 제1 표면과 제2 표면 사이에 신호 경로를 제공한다. 여러 회로 소자들이 인터포저 안에 포함될 수 있다. 이 회로 소자들은 능동 소자, 수동 소자, 또는 능동 및 수동 소자의 조합이 될 수 있다.

Description

인터포저 및 그 제조 방법{INTERPOSER AND METHOD OF MAKING SAME}
수년 동안 집적회로가 제조되어 오고 있다. 통상적으로, 이러한 제조 과정은 다이(die)라고 부르는 한 조각의 반도체 물질에 여러 가지 능동 또는 수동 회로 소자들을 집적하는 과정을 포함한다. 그리고 나서, 이러한 패키지들은 통상적으로, 패키지의 주변을 따라 배열된 핀을 연결함으로써 인쇄 회로 기판에 부착된다. 다양한 집적회로 패키지를 인쇄 회로 기판에 연결함으로써 전자 시스템이 형성될 수 있다.
반도체 제조 기술에서의 진보가 각 집적회로 상의 트랜지스터의 수를 실질적으로 증가시킴에 따라, 각 집적회로의 기능을 대응적으로 증가시키는 것이 가능해 졌다. 그리고, 증가된 기능은, 집적회로와 그 집적회로가 일부가 되는 전자 시스템의 나머지 부분 간의 입/출력(I/O) 커넥션의 수를 증가시켜야 하는 필요성을 초래하였다. 증가되는 I/O 커넥션의 필요성을 위해 설계된 하나의 방법은 패키지에 추가의 핀들을 간단히 추가하는 것이었다. 불행하게도, 패키지에 핀을 추가하는 것은 그 패키지에 의해 소모되는 영역을 증가시켰다. 수용하기 어려운 많은 양의 영역을 소모하지 않고, 증가되는 I/O 커넥션의 필요성을 위해 설계된 또다른 방법은 PGA(pin grid array) 및 BGA(ball grid array) 패키지의 개발이었다. 이러한 패키지에서는, 많은 수의 I/O 커넥션 단자들이 패키지의 대부분의 표면의 실질적인 부분 위에 2차원 어레이로 배치된다. 이들 PGA 및 BGA 패키지는 통상적으로 집적회로 다이를 포함하고, 인쇄 회로 기판과 같은 지지 기판에 부착된다.
PGA 및 BGA 패키지가 많은 수의 I/O 커넥션을 필요로 하는 집적회로에 대해 공간-절약(space-saving)을 제공하지만, 그것으로부터 제조되는 물질은 통상적으로, 그들 각각의 열 팽창 계수의 관점에서, 집적회로 다이의 물질과 잘 매칭되지 않는다.
따라서, 집적회로에 잘 매칭되는(well-matched) 열 팽창 특성을 가지며, 집적 회로를 지지 기판에 전기적 및 기계적으로 결합시키는데 적합한 기판이 요구된다. 또한, 이러한 기판을 제조하는 방법이 요구된다.
본 발명은 집적회로와 인쇄 회로 기판과 같은 지지 기판 간의 커넥션(connections)에 관한 것이다. 특히 본 발명은 집적회로를 지지 기판(supporting substrate)에 결합시키기 위한 인터포저(interposer)에 관한 것이다.
도1은 솔더 범프에 의해 OLGA 패키지에 결합된 실리콘-기반 집적회로 다이 및 솔더 볼에 의해 인쇄 회로 기판에 결합된 OLGA 패키지의 구조적 측면도.
도2는 OLGA 패키지의 구조적 단면도.
도3은 본 발명에 따른 실리콘-기반 인터포저의 구조적 단면도.
도4는 많은 커넥션 단자를 도시한 실리콘-기반 인터포저의 다른 구조적 단면도.
도5는 집적된 감결합 커패시터를 도시한 본 발명에 따른 실리콘-기반 인터포저의 구조적 단면도.
도6은 집적된 트랜지스터들을 도시한 본 발명에 따른 실리콘-기반 인터포저의 구조적 단면도.
도7-10은 딥-비아가 칩-사이드 인터커넥트 형성 전에 형성되는, 본 발명의 제1 실시예에 따른 실리콘-기반 인터포저의 제조 단계를 도시한 도면으로써,
도7은 딥-비아가 에칭된 후의 인터포저의 구조적 단면도.
도8은 절연층이 딥-비아의 측벽에 형성되고, 딥-비아가 도전성 물질로 채워진 후의 도7의 인터포저를 도시한 구조적 단면도.
도9는 다른 금속화 공정 후의 도8의 인터포저를 도시한 구조적 단면도.
도10은 또다른 금속화 공정 후의 도9의 인터포저를 도시한 구조적 단면도.
도11은 인터포저의 칩-사이드에 형성된 금속화된 제1 층을 갖는 인터포저의 구조적 단면도.
도12는 부가적인 칩-사이드 금속화 층이 형성된 후의 도11의 인터포저를 도시한 구조적 단면도.
도13은 딥-비아가 인터포저의 바디를 관통하여 형성되고, 절연층이 딥-비아의 측벽 표면 상에 형성된 후의 도12의 인터포저를 도시한 구조적 단면도.
도14는 딥-비아가 도전성 물질로 채워진 후의 도13의 인터포저를 도시한 구조적 단면도.
도15-16은 도7-10에 도시된 공정 및 도11-14에 도시된 공정에 공통되는 것으로써,
도15는 칩-사이드 및 보드-사이드의 금속화 층이 연마 및 도금된 후의, 본 발명에 따른 인터포저의 구조적 단면도.
도16은 칩-사이드 솔더 범프 및 보드-사이드 솔더 볼에 사용되는 Pb/Sn 패턴의 형성 후의, 도15의 인터포저를 도시한 구조적 단면도.
도17은 본 발명에 따른 공정을 도시한 흐름도.
도18-21은 딥-비아의 제1 부분에 경사진 측벽을 형성하는 2단계 공정으로 딥-비아가 형성된, 본 발명의 제3 실시예에 따른 실리콘-기반 인터포저의 제조 단계를 도시한 도면으로써,
도18은 경사진 측벽을 가진 딥-비아가 에칭된 후의 인터포저의 구조적 단면도.
도19는 절연층이 딥-비아의 측벽에 형성되고, 도전성 물질이 딥-비아 안에 형성된 후의, 도18의 인터포저를 도시한 구조적 단면도.
도20은 다른 금속화 공정 후의 도19의 인터포저를 도시한 구조적 단면도.
도21은 또다른 금속화 공정 후의 도20의 인터포저를 도시한 구조적 단면도.
발명의 요약
간단히 말해서, 집적회로를 지지 기판에 연결시키는데 적합한 구조가 기재되어 있는데, 여기서, 이 구조는 집적회로에 잘 매칭되는 열 팽창 특성을 가지는 인터포저(interposer)이다. 집적회로와 인터포저는 실질적으로 유사한 열 팽창 계수를 갖는 바디(body)로 구성된다. 인터포저는 집적회로에 전기적 및 기계적으로 결합시키는데 적합한 제1 표면을 가진다. 그리고, 인터포저는 지지 기판에 전기적 및 기계적으로 결합시키는데 적합한 제2 표면을 가진다. 도전성 비아(vias)가 인터포저의 제1 표면과 제2 표면 사이에 신호 경로를 제공한다.
본 발명의 다른 양태에서는, 다양한 회로 소자가 인터포저에 포함될 수 있다. 이 회로 소자들은 능동 소자, 수동 소자 또는 능동 및 수동 소자의 조합이 될 수 있다.
개요
실리콘 집적회로와 인쇄 회로 기판 사이에 커넥션을 형성하기 위한 최근 방법은 패키지 또는 인터포저의 사용을 포함한다. 이 패키지 및 인터포저는 다른 것들 사이에 공간 변형 기능(space transformation function)을 제공한다. 즉, 집적회로와 인쇄 회로 기판을 제조하는데 사용되는 공정은 실질적으로 상이한 인터커넥트 피치(pitches)를 초래하기 때문에, 이에 따라, 패키지 및 인터포저는 집적된 회로의 좁은 피치의 I/O 커넥션 단자를 인쇄 회로 기판의 비교적 큰 피치의 I/O 커넥션 단자와 연결할 필요가 있다. 통상적인 패키지 및 인터포저는 실리콘 집적회로를 형성하는 물질과는 실질적으로 상이한 물질로 형성된다. 통상적인 패키지 및 인터포저 커넥션 구조에 관련된 문제점은 집적회로와 기판을 연결하는데 필요한 인터커넥트 피치에서의 차이, 및 집적회로와 기판 사이의 패키지 또는 인터포저를 관통함에 따라, 커넥션 상에 위치하는 커패시턴스, 레지스턴스 및 인덕턴스에서의 제약을 포함한다. 인터커넥트 피치에 관하여, 오늘날의 제조에서의 통상적인 요구 조건은 집적회로와의 인터페이스를 위해 통상적으로 200μ보다 작은 조밀한 피치, 및 인쇄 회로 기판과 같은 기판에 대한 인터페이스를 위해 약 1mm 의 성긴 피치를 포함한다.
현재 이용가능한 기술에 따르면, OLGA(Organic Land Grid Array) 패키지는 트랜지스터를 만드는데 사용될 수 없다. 또한, OLGA 패키지의 온도 제약은, 예를 들면 바륨 스트론튬 티타네이트(BaSrTiO3)와 같은, 높은 유전율을 갖는 유전체를 형성하기 위해 도전되지 못한다. 바륨 스트론튬 티타네이트는 BST라고도 한다. 높은 유전율을 갖는 물질로 형성된 커패시터는 감결합 커패시터(decoupling capacitors)로 사용하는데 매우 적합하다. OLGA 패키지는 또한 이루어질 수 있는 인터커넥트 피치의 관점에서 제한된다. 실리콘 집적회로 다이가 OLGA 패키징 기판에 부착되었을 때에, 그들 각각의 열팽창 계수에서의 미스매치로 인해, 200μ보다 큰 C4 범프 피치가 요구된다. 본 발명에 따른, 집적회로 다이와 인터포저 모두에 대한 실리콘 웨이퍼의 사용은 실질적으로 이 차이를 감소시키고, 이에 따라, 그렇지 않으면 C4 범프가 겪게 될 기계적인 스트레스(mechanical stress)를 감소시킨다. 기계적인 스트레스의 감소는 보다 작은 범프 및 보다 조밀한 피치의 사용을 가능하게 한다. 오늘날의 제조 기술의 관점에서, OLGA 패키지 상의 인터커넥트 피치는 약 225μ또는 보다 크게 제한된다.
본 발명의 예시적인 실시예는 인터포저를 만들기 위해 실리콘-기반 인터커넥트 기술을 사용하는데, 이것은, 이후에 인쇄 회로 기판과 같은 기판에 실리콘-기반 집적회로를 연결하기 위해 OLGA 또는 다른 타입의 패키지를 대신하여 사용될 수 있다. 본 발명에 따른 인터포저는, 조밀하고 성긴 인터커넥트 피치 뿐만 아니라, 인터포저 내에, 또는 인터포저 상에 형성되는 인터커넥트를 위한 레지스턴스, 커패시턴스 및 인덕턴스 요건을 쉽게 달성할 수 있다. 칩에서의 조밀한 인터커넥트 피치로부터 인쇄 회로 기판, 또는 다른 타입의 지지 기판 또는 회로 기판에서의 비교적 성긴 인터커넥트 피치로의 공간 변형 기능을 제공하는 것을 종종 팬아웃(fanout)이라 한다. 또한, 본 발명의 실시예는 인터포저 안에 회로 소자의 집적을 가능하게 한다.
인터포저를 형성하기 위한 실리콘 기판의 사용은, 인터포저 상에 커패시터와 같은 수동 회로 소자 및 트랜지스터와 같은 능동 회로 소자의 집적을 가능하게 한다. 이들 회로 소자들은 집적회로 상에 사용되는 소자들을 증가시킬 수 있고, 중요하게, 집적회로의 소자들로부터 개별적으로 최적화될 수 있다. 인터포저에 집적된 커패시터는 감결합 커패시터로 사용될 수 있다.
용어
칩, 집적회로, 모놀리식 장치, 반도체 장치 및 마이크로일렉트로닉 장치 등의 용어는 종종 이 분야에서 서로 바꾸어 사용된다. 본 발명은, 이 분야에서 일반적으로 이해되는 것과 같이, 상기의 모든 것에 적용될 수 있다.
금속 라인, 트레이스(trace), 와이어(wire), 도체(conductor), 신호 경로 및 시그널링 매체 등의 용어는 모두 관련된다. 상기 열거된 관련 용어들은 일반적으로 서로 바꾸어 사용될 수 있으며, 특수한 것으로부터 일반적인 것의 순서로 나타내고 있다. 이 분야에서, 금속 라인은 종종 트레이스, 와이어, 라인, 인터커넥트 또는 간단히 금속으로 불려진다. 일반적으로 알루미늄(Al), 구리(Cu) 또는 알루미늄과 구리의 합금인 금속 라인은 전기적인 회로와의 결합 또는 인터커넥트를 위한 신호 경로를 제공하는 도체가다. 금속 이외의 도체가 마이크로일렉트로닉 장치에서 이용될 수 있다. 도핑된 폴리실리콘, 도핑된 단결정 실리콘(이러한 도핑이 열 확산 또는 이온 주입으로 인해 이루어지는지의 여부와는 상관없이, 간단히 확산이라 부름), 티타늄(Ti), 몰리브덴(Mo), 코발트(Co), 니켈(Ni), 텅스텐(W) 및 내열성 금속 실리사이드와 같은 물질들이 그 밖의 도체의 예들이 된다.
콘택(contact) 및 비아(via)라는 용어는 모두 상이한 인터커넥트 레벨로부터의 도체의 전기적인 커넥션을 위한 구조를 말한다. 이 용어들은 종종 이 분야에서, 그 구조가 완성될 절연체 내의 오프닝(opening), 및 그 완성된 구조 자체를 모두 설명하는데 사용된다. 이 설명을 위해, 콘택 및 비아는 완성된 구조로 언급된다.
낮은 유전율 물질이라는 표현은 실리콘의 옥사이드보다 낮은 유전율을 갖는물질을 말한다. 예를 들면, 유기 폴리머(organic polymers), 나노폼(nanofoams), 유기 폴리머를 포함하는 실리콘 기반 절연체, 및 플루오르를 포함한 실리콘 옥사이드는 실리콘 다이옥사이드 보다 낮은 유전율을 가진다.
문자 k는 종종 유전율을 말하는데 사용된다. 마찬가지로, 고-k 및 저-k라는 용어는 이 분야에서 높은 유전율 및 낮은 유전율을 각각 일컫는데 사용된다.
이 분야에서 사용되는 내부층 유전체(intralayer dielectric)는 주어진 인터커넥트 레벨 상의 인터커넥트 라인 사이에 배치된 유전 물질을 일컫는 것으로 이해된다. 즉, 내부층 유전체는 인터커넥트 라인의 수직으로 위 또는 아래 보다는 인접한 인터커넥트 라인 사이에 있다.
에피택셜 층은 단결정 반도체 물질 층을 말한다.
"게이트"는 문맥에 따라 집적회로를 설명할 때에 두 가지로 사용될 수 있다. 여기서 사용되는 바와 같이, 게이트는 트랜지스터 회로 구성과 관련하여 사용될 때에는 3단자 FET의 절연 게이트 단자를 말하고, 로직 게이트와 관련하여 사용될 때에는 임의의 로직 기능을 실현하기 위한 회로를 말한다. FET는 반도체 바디를 고려하면 4단자 장치로 보일 수 있다.
다결정 실리콘은 임의적으로 방향된 정자(crystallites) 또는 도메인(domains)으로 구성된 비다공성(nonporous) 형태의 실리콘이다. 다결정 실리콘은 종종 실리콘 소스 가스로부터의 화학 증기 증착 또는 다른 방법에 의해 형성되고, 큰-각도 그레인 경계(large-angle grain boundaries), 트윈 경계 또는 양쪽 모두를 포함하는 구조를 가진다. 다결정 실리콘은 종종 이 기술 분야에서 폴리실리콘이라 불리거나, 또는 보다 간단히 폴리라고 부른다.
소스/드레인 단자는 FET의 단자를 말하는데, 이들 사이에 전계 효과 하에서 전도가 발생하고, 이어 전계 효과 하에서 반도체 표면의 반전이 게이트 단자에 인가된 전압의 결과로 발생한다. 일반적으로, 소스와 드레인 단자는 기하학적으로 대칭이 되도록 제조된다. 기하학적으로 대칭인 소스와 드레인 단자에 대해, 이들 단자를 소스/드레인 단자로 간단히 말하는 것이 일반적이고, 이 명칭이 여기서 사용된다. 설계자들은 종종 FET가 회로에서 동작할 때에 그 단자에 인가되는 전압에 기반하여, 특정한 소스/드레인 단자를 "소스" 또는 "드레인으로 나타낸다.
여기서 사용된 수직(vertical)이라는 용어는 대상의 표면에 대해 실질적으로 수직이라는 것을 의미한다.
도1을 참조하면, 실리콘-기반 집적회로 다이(102)가 OLGA 패키지(104)에 부착된 종래의 배치를 도시하고 있다. 솔더 범프(106)는 집적회로 다이(102)와 OLGA 패키지(104) 사이의 전기적인 커넥션을 제공하는데 사용된다. 솔더 범프(106)는 때때로, 이 스타일의 인터커넥션이 C4(controlled collapse chip connection) 패키징에서 사용되기 때문에, C4 범프라고 부른다. OLGA 패키지(104)는 솔더 볼(110)에 의해 인쇄 회로 기판(108)에 부착된다. 솔더 볼(108)은 OLGA 패키지(104)와 인쇄 회로 기판(108) 사이에 전기적인 커넥션을 제공한다. 집적회로 다이(102)와 인쇄 회로 기판(108) 사이의 이러한 방식의 전기적 커넥션은 OLGA 패키지(104)를 통해 이루어진다.
도2는 OLGA(104)의 구조적인 단면도이다. 솔더 범프(106)가 인터커넥션(112)에 의해 솔더 볼(110)에 전기적으로 연결되어 있는 것을 볼 수 있다. 인터커넥션(112)은 통상적으로 하나 또는 그 이상의 인터커넥트 레벨 상에서의 금속 라인이다. 하나 이상의 인터커넥트 레벨이 사용될 때에, 많은 층에서의 금속 라인 사이의 커넥션은 통상적으로 비아의 사용을 통해 이루어진다.
도3은 본 발명에 따른 인터포저(115)의 일실시예의 구조적 단면도이다. 인터포저(115)는 바디 부분(116), 솔더 범프(106), 솔더 볼(110), 인터커넥션(118), 절연 물질(120) 및 딥-비아(122)를 포함한다. 이 예시적인 실시예에서, 바디 부분(116)은 실리콘 기판이다. 통상적으로, 이 실리콘 기판은 인터포저(115)에 부착될 집적회로 다이(102)를 생성하는데 사용되는 기판과 유사하다. 인터커넥션(118)은 구리와 같은 금속으로 형성될 수 있고, 상감 공정(damascene process), 이중 상감 금속 공정, 감법 밀 공정(subtractive meal process) 또는 도전성 인터커넥션을 형성하는 다른 적합한 방법에 의해 형성될 수 있다. 솔더 범프(106)는 집적회로 다이(102)에 결합시키는데 적합하다. 솔더 볼(110)은 인쇄 회로 기판(108)에 결합시키는데 적합하다. 딥-비아(122)는 인터포저(115)의 제1 사이드와 제2 사이드 사이의 도전 경로이다. 솔더 범프(106)와 접한 인터포저(115)의 면을 칩-사이드(chip-side)라고 부르거나, 또는 대안적으로, 탑-사이드(top-side) 또는 프런트-사이드(front-side)라고 부른다. 솔더 볼(110)에 접한 인터포저(115)의 면을 보드-사이드(board-side)라고 부르거나, 또는 대안적으로 바텀-사이드(bottom side) 또는 백-사이드(back-side)라고 부른다.
도4는 본 발명에 따른 인터포저(115)의 다른 구조적 단면도이다. 이 도면에서는, 다수의 솔더 볼이 인터포저(115)의 일부로서 포함될 수 있다는 것을 보다 명확하게 볼 수 있다. 또한, 칩-사이드 인터커넥션 피치가 보드-사이드 인터커넥션 피치보다 더 조밀한 것을 볼 수 있다. 본 발명에 의해 칩-사이드와 보드-사이드의 피치 사이에 특정한 관계가 요구되지 않지만, 칩-사이드 인터커넥션의 피치가 보드-사이드 인터커넥션의 피치보다 더 조밀한, 즉 보다 작은 것이 통상적이다.
도5는 본 발명에 따른 인터포저(115)의 다른 구조적 단면도이다. 이 도면에서는, 커패시터(130, 134)가 인터포저(115) 안에 집적되어 있는 것을 볼 수 있다. 커패시터(130)는 한 쌍의 금속 플레이트와 유전층(132)을 포함한다. 금속 플레이트는 본질적으로 금속 인터커넥션(118)과 동일하다. 통상적으로 커패시터(130)는 직사각형 플레이트를 가지지만, 이 금속은 어떤 요구된 형태로 패터닝될 수 있다. 유전 물질(132)은 바륨 스트론튬 티타네이트와 같은 높은 유전율 물질일 수 있다. 커패시터(134)는 하나의 플레이트와, 이로 제한되지 않지만, 금속 또는 도핑된 폴리실리콘과 같은 도전 물질로 형성될 수 있는 제2 플레이트로서 기판 또는 바디 부분(116)을 포함한다. 유전층(136)은 높은 유전율 물질일 수 있고, 또는 실리콘 옥사이드일 수 있다. 본 발명에 의해 특정한 유전 물질 또는 유전체 두께가 요구되지는 않는다. 종래의 패키지와 인터포저로써 가능했던 것 보다, 집적회로 다이에 보다 더 근접하게 감결합 커패시터를 배치함으로써, 종래 배치에 따른 바람직하지 않은 기생 인덕턴스가 실질적으로 감소된다.
도6은 본 발명에 따른 인터포저(115)의 다른 구조적 단면도이다. 이 도면에서는, 트랜지스터(140)가 인터포저(115) 안에 집적되어 있는 것을 볼 수 있다. 트랜지스터(140)는 절연 게이트 FET이고, 도6에 도시된 바와 같이, 소스/드레인 단자(142), 게이트 전극(144) 및 게이트 유전체(145)를 포함한다. 트랜지스터(140)는 n-채널 FET 또는 p-채널 FET 일 수 있다. 이 기술 분야의 통상의 지식을 가진 자는 n-채널과 p-채널 FET의 조합이 기판(116)에 형성될 수 있다는 것을 알 수 있을 것이다. 본 발명은 FET(140)에 대해 특정한 전기적인 특성 또는 물리적인 치수를 요구하지 않는다. 본 발명은 여러 가지 수동 또는 능동 회로 소자를 인터포저(115) 안에 집적할 수 있다.
여러 능동 및 수동 회로 소자를 인터포저에 집적함으로써, 회로 기능을 인터포저 안에 포함시키는 것이 가능하다. 예를 들면, ESD(electrostatic discharge) 보호 회로가 인터포저에 포함될 수 있고, 이에 따라, 인터포저에 부착될 집적회로 다이 상에 이러한 모든 보호 회로를 포함하는 부담을 줄일 수 있다. 유사하게, 다른 타입의 회로 기능도 인터포저 안에 포함될 수 있다. 예를 들어, 이로 제한되지 않지만, 캐시 메모리 회로, I/O 버퍼 회로, 전력 조정 회로, 전압 레벨 시프팅 회로 등을 포함할 수 있다. 이 기술 분야의 통상의 지식을 가진 자는, 많은 회로 기능들이 본 발명의 여러 실시예에 따라 능동 및 수동 회로 소자를 제공하는 인터포저 안에 집적될 수 있다는 것을 알 수 있을 것이다.
인터포저에 집적된 트랜지스터는, 반드시 그럴 필요는 없지만, 집적회로 다이에 형성되는 트랜지스터를 생성하는데 사용되는 것과 동일한 제조 공정으로 만들어질 수 있다. 예를 들면, 집적회로 다이 상의 트랜지스터와, 그들과 함께 형성된 회로는 제1 전압 범위에서 동작하도록 설계될 수 있고, 반면에, 인터포저 상의 트랜지스터와, 그들과 함께 형성된 회로는 제2 전압 범위에서 동작하도록 설계될 수 있다. 마찬가지로, 인터포저 상의 회로 소자의 전기적인 특성 중의 여러 가지가 집적회로 다이의 회로 소자의 전기적인 특성과 상이할 수 있다. 인터포저와 집적회로 다이 간에 다를 수 있는, 전계 효과 트랜지스터의 전기적인 특성의 예는, 이로 제한되지 않지만, 임계 전압, 게이트 유전체 항복 전압, 캐리어 이동도(carrier mobility), 오프-상태 누설 전류, 접합 누설 전류 및 접합 커패시턴스를 포함한다. 이러한 전기적 특성들은 트랜지스터의 물리적인 설계에 강하게 작용하기 때문에, 집적회로 다이와 인터포저의 회로 소자들을 개별적으로 설계할 수 있다. 예를 들면, 인터포저 상의 회로들이 집적회로 다이 상의 회로보다 더 높은 전압에서 동작하도록 설계될 수 있다.
도7-10을 참조하여, 본 발명을 실시하는 공정이 설명된다. 이 예시적인 실시예에서, 딥-비아는 탑-사이드(즉, 칩-사이드) 금속화 공정 전에 기판을 관통하여 형성된다.
도7에 도시된 바와 같이, 실리콘 기판(202)은 실리콘 다이옥사이드(SiO2)층(204)과, 반대 면에 형성된 SiO2층(206)을 가진다. 이 특정 실시예에서, SiO2층(204, 206)은 약 0.5μ두께로 열적 성장된다. 그리고 나서, 통상적으로 약 0.2μ두께인 실리콘 나이트라이드(Si3N4)층(208)이 SiO2층(206) 다음에 형성된다. Si3N4층(208)은 PECVD(plasma enhanced chemical vapor deposition) 공정에 의해 형성될 수 있다. 딥-비아를 에칭하기 위한 마스킹층이 그 다음에 형성되고,SiO2층(204)의 노출된 표면 위에 패터닝된다. 그리고 나서, SiO2층(204)의 노출된 부분이 에칭되는데, 이것은 실리콘 기판(202)의 대응하는 부분을 노출시킨다. 그리고, 실리콘 기판(202)의 노출된 부분이 도7에 도시된 바와 같이 딥-비아 오프닝(209)을 형성하도록 에칭된다. 하나의 딥-비아 오프닝이 도7에 도시되었지만, 본 발명에 따라 인터포저를 제조할 때에, 통상적으로 다수의 딥-비아 오프닝들이 형성된다. SiO2층(206)에 도달하면 딥-비아 오프닝(209)의 에칭을 멈춘다. 다시 말해서, SiO2층(206)은 딥-비아 오프닝(209)의 형성 동안에 에칭 정지층(etch stop)으로 동작한다.
도8을 참조하면, 딥-비아 오프닝(209)의 형성 후에, 딥-비아 오프닝(209) 위의 SiO2층(206)의 부분이 에칭된다. Si3N4층(208)은 SiO2층(206)의 에칭을 위한 에칭 정지층으로 동작한다. 그리고 나서, 옥사이드층(210)이 딥-비아 오프닝(209)의 내부 표면 상에 성장된다. 도8을 참조하여 설명되는 본 발명의 예시적인 실시예에서, 옥사이드층(210)은 약 0.5μ두께이다. 옥사이드층(210)은 또한 측벽 옥사이드층으로 불릴 수 있다. 옥사이드층(210)의 형성 이후에, 배리어층(barrier layer) 및 구리 시드층(copper seed layer)이 딥-비아 오프닝(209) 안에 스퍼터링 증착된다. 스퍼터링된 배리어층은 10-50nm 범위의 두께를 갖는 Ta 또는 TaN 일 수 있다. 스퍼터링된 시드층은 100-300nm 범위의 두께를 갖는 Cu 이다. 대안적으로, 구리 시드층은 화학적 증기 증착(CVD)에 의해 형성될 수 있다. 구리 시드층의 형성을 위한 CVD 공정은 보다 나은 측벽 범위를 제공할 수 있다.
그리고 나서, 구리층(212)이 전기 도금되어, 딥-비아(209)가 실질적으로 구리로 채워지고, 구리층이 인터포저의 백사이드 위에 배치된다. 처리 과정 중 이 단계에서 인터포저의 백사이드는 SiO2층(204)과, SiO2층(204) 상에 형성된 배리어층 및 구리 시드층 뿐만 아니라, 여기에 전기 도금된 구리를 포함한다.
이제 도9를 참조하면, SiO2층(214)은 약 5μ두께로 증착되어, 실리콘 나이트라이드층(208) 위에 증착된다. 그후, 통상적으로 포토레지스터를 포함하는, 마스킹(미도시)이 형성되어, SiO2층(204) 위에 패터닝된다. 사용된 패턴은, 상감 구리 금속화 공정을 용이하게 하기 위해, 옥사이드층(214) 및 나이트라이드층(208)에 형성되어질 트렌치에 대응하는 것이다. 패터닝된 마스킹층이 형성되면, 옥사이드층(214)의 노출된 부분이 에칭된다. 그후, 이것은 나이트라이드층(208) 부분을 노출시킨다. 그리고 나서, 포토레지스트 마스킹층이 제거될 수 있다. 그리고, 나이트라이드층(208)의 노출된 부분이 에칭된다. 그 다음에, 구리 배리어층과 구리 시드층은, 전술된 옥사이드층(214)과 나이트라이드층(208)의 에칭에 의해 형성된 트렌치 안에 포함하는, 인터포저의 칩-사이드 표면 위에 증착된다. 그리고, 구리층(215)이 구리 시드층 위에 전기 도금된다. 구리층(215)은 실질적으로 트렌치를 채우고, 옥사이드층(214) 위에 증착된 배리어층의 표면을 덮는다. 그리고 나서, 여분의 구리와 배리어층의 대응하는 아래에 놓인 부분이 옥사이드층(214)의 표면으로부터 제거되도록 구리층(215)을 연마하는 평탄화(planarization) 공정이 수행된다. 이 평탄화/연마 공정은 통상적으로 CMP(chemical mechanical polishing)에 의해 이루어진다. 연마 공정을 최적화하기 위해, 구리 및 배리어층을 연마하는데 상이한 슬러리 화학작용(slurry chemistries)이 사용될 수 있다. 이어서, 실리콘 나이트라이드층(216)이 도9에 도시된 바와 같이 구리층(215)과 옥사이드층(214) 위에 증착된다. 실리콘 나이트라이드층(216)은 통상적으로 PECVD 공정에 의해 형성되고, 약 0.1μ두께로 형성된다.
도10은 부가적인 절연 및 이중 상감 전도층이 인터포저의 탑-사이드 위에 형성되어 패터닝된 후의 도9의 구조를 도시하고 있다. 옥사이드층(218)이 나이트라이드층(216) 위에 증착된다. 옥사이드층(218)은 중간-층 유전체(inter-layer dielectric : ILD)이고, 예시적인 실시예에서는 약 10μ두께로 형성된다. 종래의 이중 상감 처리에 따라, ILD 비아 오프닝을 위한 마스킹층이 패터닝되고, 그후 ILD 비아 오프닝이 옥사이드층(218)에서 에칭된다. 그리고 나서, ILD 비아 오프닝 마스킹층이 제거된다. 그후, 금속-2(M2) 트렌치를 위한 마스킹층이 패터닝되어, M2 트렌치가 옥사이드층(218)에서 에칭된다. 그리고 나서, M2 트렌치 마스킹층이 제거되고, ILD 비아 오프닝의 바닥에 노출된 실리콘 나이트라이드층(216) 부분이 에칭되어, 구리의 아래에 놓인 층이 노출된다. 그리고, 구리 배리어층 및 구리 시드층이 M2 트렌치 및 ILD 비아 오프닝으로 스퍼터링된다. 그리고 나서, 구리층(220)이 구리 시드층 상에 전기 도금된다. 구리층(220)은 ILD 비아 오프닝 및 M2 트렌치를 채우고, 옥사이드층(218) 위를 형성한다.
도11-14를 참조하여, 본 발명을 실시한 대안적인 과정이 설명되어 있다. 이 예시적인 실시예에서, 딥-비아는 탑-사이드(즉, 칩-사이드) 금속화 공정 이후에 기판을 관통하여 형성된다.
도11에 도시된 바와 같이, 실리콘 기판(202)은 실리콘 다이옥사이드(SiO2)층(204) 및 반대면에 형성된 실리콘 다이옥사이드(SiO2)층(206)을 가진다. 이 특정 실시예에서, SiO2층(204, 206)은 약 0.5μ두께로 열적 성장된다. 그리고 나서, 통상적으로, 약 0.2μ두께인 실리콘 나이트라이드(Si3N4)층(208)이 SiO2층(206) 위에 형성된다. Si3N4층(208)은 PECVD(plasma enhanced chemical vapor deposition) 공정에 의해 형성될 수 있다. 그리고 나서, SiO2층(214)이 중간-층 유전체로 형성될 수 있다. 이 예시적인 실시예에서, SiO2층(214)은 약 5μ두께로 Si3N4층(208) 위에 증착된다. 그리고 나서, 통상적으로 포토레지스트층인 마스킹(미도시)이 SiO2층(214) 위에 형성되고, 상감 금속 공정을 위한 트렌치를 형성하기 위해 제거되어질 SiO2층(214)의 부분을 노출하도록 패터닝된다. 포토레지스트를 패터닝한 후에, SiO2층(214)의 노출된 부분이 에칭된다. 실리콘 나이트라이드층(208)이 이 SiO2에칭 공정을 위한 에칭 정지층으로 동작한다. SiO2에칭 공정 후에, 포토레지스트가 제거된다. 그리고 나서, 구리 배리어층과 구리 시드층이 인터포저의 칩-사이드 표면 상에 스퍼터링 증착된다. 배리어층은 통상적으로 도전성 있는 Ta 또는 TaN 과 같은 물질이고, 구리에 대해 이동 배리어를 제공하며, 구리를 위한 유착층(adhesion layer)으로 동작한다. 그리고 나서, 트렌치들이 구리로 채워지고,구리층이 또한 칩-사이드 표면의 나머지 부분 위에 형성되도록, 구리가 시드층 위에 전기 도금된다. 트렌치 외부에 형성된 구리 부분은 여분으로 간주된다. 그후, 이 여분의 구리를 제거하기 위해 화학 기계적 연마(chemical mechanical polishing) 공정이 수행된다. 이것은 도11의 구조적 단면도에 도시된 바와 같이 독립적인 구리 인터커넥트 라인(215)을 만든다. 그리고 나서, Si3N4층(216)이 인터포저의 칩-사이드 표면 위에 증착된다. Si3N4층(216)은 통상적으로 PECVD 공정에 의해 형성되고, 약 0.1μ두께로 형성된다. Si3N4층(216)은 후속의 비아 형성 공정을 위한 에칭 정지층으로 동작하고, 또한 구리 이동에 대해 배리어 역할을 한다.
전술된 여분의 구리의 제거에 대하여, 이 여분의 구리가 잠재적으로 상이한 화학적, 기계적인 특성을 갖는 배리어층 위에 증착되기 때문에, 이에 제한되지는 않지만, 슬러리 화학작용, 다운-포스(down-force), 회전 속도, 온도 등을 포함하는 CMP 조건은, 요구되는 결과를 달성하기 위해 구리층과 배리어층 사이에서 변화될 수 있다.
도12는 금속 인터커넥트 라인의 부가 레벨을 생성하기 위해 다른 처리 공정을 수행한 후의 도11의 구조를 도시하고 있다. 이 예시적인 실시예에서, 인터커넥트 레벨들 사이에 부가적인 인터커넥트 라인 및 비아를 형성하기 위해 이중 상감 금속화 공정이 사용된다. 이 기술 분야의 통상의 지식을 가진 자는 인터커넥트의 몇몇 레벨들이 이 방식으로 제조될 수 있다는 것을 이해할 것이다. 이 예시적인 실시예에서, 옥사이드층(218)은, 중간-층 유전체를 형성하기 위해, 약 10μ두께로 나이트라이드층(216) 위에 증착된다. 통상적으로 포토레지스트층인 제1 마스킹층(미도시)이 SiO2층(218) 위에 형성되고, 이중 상감 금속 공정을 위한 비아 오프닝을 형성하기 위해 제거될 SiO2층(218)의 부분을 노출되도록 패터닝된다. 포토레지스트를 패터닝한 후에, SiO2층(218)의 노출된 부분이 에칭된다. 실리콘 나이트라이드층(216)은 이 SiO2에칭 공정을 위한 에칭 정지층으로 동작한다. SiO2에칭 공정 후에 포토레지스트가 제거된다. 그리고, 제2 마스킹층(미도시)이 SiO2층(218) 위에 형성되고, 금속 인터커넥트 라인을 위한 트렌치를 형성하기 위해 에칭될 SiO2층(218)의 부분이 노출되도록 패터닝된다. 트렌치 에칭은, 요구된 두께의 금속 인터커넥트 라인에 실질적으로 대응하는 깊이로, 노출된 SiO2를 제거한다. 그리고 나서, 제2 마스킹층이 제거된다. 그후, 비아 오프닝의 바닥에 노출된 실리콘 나이트라이드층(216)의 부분이 에칭되고, 이에 따라, 아래에 놓인 구리 인터커넥트 라인(215)이 노출된다. 그리고 나서, 구리 배리어층 및 구리 시드층이 인터포저의 칩-사이드 표면 상에 스퍼터링 증착된다. 그리고, 비아와 트렌치들이 구리로 채워지고, 구리층이 칩-사이드 표면의 나머지 부분 위에 형성되도록, 구리가 시드층 상에 전기 도금된다. 트렌치 외부에 형성된 구리 부분은 여분으로 간주된다.
도13은 딥-비아 오프닝(209)을 생성하기 위해 다른 처리 공정을 수행한 후의 도13의 구조를 도시하고 있다. 딥-비아(209)의 형성을 위해 제거될 옥사이드층(204)의 부분을 노출시키기 위해, 포토레지스트(미도시)와 같은 마스킹층이 인터포저의 백사이드(즉, 보드 사이드)에 형성되어 패터닝된다. 그리고 나서, 옥사이드층(204)의 노출된 부분이 에칭되어, 이에 따라, 실리콘 기판 또는 인터포저의 바디(202)의 부분들이 노출된다. 그후, 딥-비아 오프닝(209)이 실리콘 기판(202)을 통해 에칭 정지층으로 동작하는 옥사이드층(206)을 이용하여 에칭된다. 단면도에 도시되었지만, 딥-비아 오프닝(209)은 특정한 형태로 제한되지 않고, 그 오프닝을 백-사이드 표면에서 보았을 때에, 원형, 사각형 또는 어떤 복잡한 다각형 형태가 될 수 있다. 딥-비아 오프닝(209)의 형성 후에, SiO2층(210)이 노출된 내부 표면 - 딥-비아 오프닝(209)의 측벽이라 부름 - 상에 형성된다. 예시적인 실시예에서 SiO2층(210)은 약 0.5μ두께이고, CVD 공정에 의해 증착될 수 있다. 그리고 나서, 딥-비아(209)에 의해 노출되는 옥사이드층(206)의 부분이 에칭된다. 도13에서 볼 수 있는 바와 같이, 옥사이드층(206)의 노출된 부분을 제거하는 것은 실리콘 나이트라이드층(208)의 대응하는 부분을 노출시킨다. 그후, 실리콘 나이트라이드층(208)의 노출된 부분이 구리층(215)의 대응하는 부분을 노출하도록 에칭된다.
도14는 딥-비아 오프닝(209)을 채우는 구리층(212)을 생성하고, 인터포저의 백사이드 상에서 옥사이드층(204)을 덮기 위해 다른 처리 공정을 수행한 후의 도13의 구조를 도시하고 있다. 도14에 나타난 바와 같이, 딥-비아 오프닝(209)에 의해 노출된 실리콘 나이트라이드층(208)의 부분은 에칭에 의해 제거된다. 그리고 나서, 구리 배리어층 및 구리 시드층이 딥-비아 오프닝(209) 안에 스퍼터링 증착된다. 그리고, 딥-비아 오프닝(209)안과 인터포저의 백사이드 표면에 구리가 전기 도금된다.
도15-16은 도7-10(딥-비아 최초 공정) 및 도11-14(딥-비아 최후 공정)와 관련하여 도시 및 설명된 공정 모두에 공통되는 처리 공정을 도시하고 있다.
도15를 참조하면, 인터포저의 보드-사이드 상의 여분의 구리가 CMP에 의해 제거된다. 이 기술 분야의 통상의 지식을 가진 자에게는 이해되는 바와 같이, 제1 슬러리 화학작용은 구리를 제거하는데 사용되고, 제2 슬러리 화학작용은 배리어층을 제거하는데 사용되는, 2단계 CMP 공정이 사용될 수 있다. 마찬가지로, 여분의 구리와 인터포저의 칩-사이드 상의 배리어층의 불필요한 부분이 CMP에 의해 제거된다. 그리고 나서, 나머지 노출된 구리는, Ni/Au 층(224)이 인터포저의 칩-사이드와 보드-사이드 모두에 형성되도록, 무전해 Ni/Au 도금 공정이 수행된다. 무전해 화학작용은 노출된 금속 표면 상에 선택적인 증착을 제공한다.
도16은 집적회로 다이를 인터포저에, 인터포저를 회로 기판에 부착시키는데 사용되는 스크린 인쇄 공융 솔더(screen printed eutectic solder)를 생성하기 위해 몇몇 부가적인 처리 공정을 수행한 후의 도15의 구조를 도시하고 있다. 특히, 도15에 도시된 구조는 백사이드, 즉 보드-사이드 상에 Pb/Sn 스퍼터링 증착 공정이 수행된다. 그리고 나서, 이 스퍼터링에 의해 형성된 Pb/Sn층은, 솔더 볼 선행 구조(226)를 형성하기 위해, 종래의 리소그래픽 방법을 이용하여 패터닝된다. 이어서, 도16에 도시된 바와 같이, 인터포저의 칩-사이드 상에 폴리이미드(polyimide)층(228)이 형성된다. 그리고 나서, Ni/Au층(224)의 일부를 노출시키기 위해, 종래의 리소그래픽 방법을 이용하여 폴리이미드층(228)이 패터닝된다. 그리고, 인터포저의 탑-사이드, 즉, 칩-사이드를 덮는 Pb/Sn층을 생성하기 위해 또다른 Pb/Sn 스퍼터링 증착 공정이 수행된다. 그리고 나서, Pb/Sn의 칩-사이드층은, 도16에 도시된 바와 같이 솔더 범프 선행 구조(230)를 형성하도록 패터닝된다. 이 기술 분야의 통상의 지식을 가진 자는, 임의의 공정 동작의 순서가 변경되어 요구된 구조를 달성할 수 있다는 것을 이해할 것이다. 공정 동작의 순서에서의 이러한 변경은 본 발명의 범위 안에 있는 것을 간주된다.
도17은 본 발명에 따른 공정을 도시한 흐름도이다. 집적회로와 인터포저가 결합된다(302). 본 발명의 원리에 따라, 인터포저와 집적회로는 실질적으로 유사한 열 팽창 계수를 갖는다. 특정한 실시예에서, 인터포저와 집적회로는 실질적으로 동일한 물질로 만들어진, 바디라고 부르는 기판을 가진다. 일례로서, 인터포저와 집적회로는 모두 실리콘 기판으로 제조될 수 있다. 인터포저가 실리콘과 같은 물질로 만들어지는 경우에, 이에 제한되지는 않지만, 커패시터 및 트랜지스터를 포함하는 여러 회로 소자들이 종래의 반도체 제조 방법에 의해 형성될 수 있다. 또한, 회로 기판, 예를 들면, 인쇄 회로 기판과 인터포저가 연결된다(304). 인터포저는 집적회로와 회로 기판 사이에 기계적인 커넥션을 제공한다. 또한, 인터포저는 집적회로를 회로 기판에 전기적으로 연결하기 위해, 그 바디를 통해 전도 신호 경로를 제공한다.
본 발명의 다른 대안적인 실시예가 도18-21을 참고하여 설명되어 있는데, 이것은 실리콘-기반 인터포저의 여러 제조 단계를 도시하고 있고, 여기서, 딥-비아는, 딥-비아의 제1 부분에 경사진 측벽을 만드는 2단계 공정으로 형성된다. 이 인터포저 구조를 형성하는 공정은, 딥-비아가 실질적으로 수직이 아니라 경사진 측벽의 부분으로 형성되는 것을 제외하면, 도7-10에 도시된 실시예와 관련하여 설명된 것과 유사하다.
도18을 참조하면, 경사진 측벽을 가진 딥-비아 오프닝이 에칭된 후의 인터포저의 구조적 단면이 도시되어 있다. 특히, 실리콘 기판(202)은 각 주요 표면에 열적 성장된, 약 0.5μ두께의 실리콘 옥사이드층(204)을 가진다. 그리고 나서, 실리콘 나이트라이드층이 옥사이드층(206) 위에 약 0.1μ두께로 증착된다. 그후, 딥-비아 오프닝을 형성하기 위해 에칭될 영역을 제외한, 옥사이드층(204)을 덮기 위해, 딥-비아 마스킹층이 패터닝된다. 그리고, 옥사이드층(204)의 노출된 부분이 에칭되고, 이에 따라 기판(202)의 부분들이 노출된다. 그후, 도18에 도시된 바와 같이, 부분적으로 실리콘 기판(202)을 통하여 경사진 측벽을 생성하기 위해, 실리콘 기판(202)의 등방성 에칭이 수행된다. 그리고 나서, 도18에 도시된 바와 같이, 딥-비아 오프닝(409)을 완성하기 위해 비등방성 에칭이 수행된다. 비등방성 에칭과 등방성 에칭의 조합으로 옥사이드 오버행(overhang) 부분(410)을 생성한다.
도19는 딥-비아의 측벽에 절연층을 형성하고, 도전 물질이 딥-비아 안에 형성된 후의 도18의 인터포저를 도시한 구조적 단면도이다. 오버행(410)은 옥사이드층(204)의 두께를 반으로 제거하도록 설계된 습식 에칭에 의해 제거된다. 오버행(410)의 양쪽 사이드가 습식 에칭(etchant)에 노출되기 때문에, 오버행은 옥사이드층(204)의 2배의 비율로 효과적으로 에칭된다. 오버행(410)의 제거 후에, 측벽 옥사이드(210)가 딥-비아 측벽의 경사진 부분과 수직인 부분 위에 약 0.5μ두께로 성장된다. 그리고 나서, 구리 확산 배리어층 및 시드층이 딥-비아 오프닝(409) 안에 스퍼터링 확산된다. 그리고, 딥-비아(409)의 경사진 측벽 위에 도전 코팅을 제공하고, 옥사이드층(204) 위에 도전층을 제공하기 위해, 구리가 실질적으로 수직인 측벽을 가진 딥-비아 오프닝(409)의 부분을 실질적으로 채우도록 전기 도금된다. 도19에 도시된 바와 같이, 그루브(groove) 타입 구조가 형성되도록, 구리가 딥-비아 오프닝(409)의 경사진 측벽의 다음에 온다.
도20 및 도21은 2개의 금속층과 2개의 비아 층의 형성을 도시하고 있다. 이들 금속과 비아 쌍의 각각은 도9-10 및 도13-14와 관련하여 전술된 이중 상감 금속 공정에 의해 형성된다.
결론
본 발명의 실시예들은, 열 팽창 특성의 매칭, 조밀한 인터커넥트 피치, 및 인터포저 안에 능동 및 수동 회로 소자들의 집적을 제공하면서, 집적회로 다이와 기판을 전기적 및 기계적으로 결합시키는데 적합한 인터포저를 제공한다.
본 발명의 특정 실시예의 장점은 높은 유전율 물질이 인터포저 안에 쉽게 집적될 수 있다는 것이다. 이것은, 다른 것들 사이에서, 감결합 커패시터로 사용될 수 있는 커패시터의 형성을 용이하게 한다.
본 발명의 특정 실시예의 장점은 전계 효과 트랜지스터가 인터포저 안에 쉽게 집적될 수 있다는 것이다.
이 기술 분야의 통상의 지식을 가진 자는 본 발명의 범위 안에서 많은 설계 선택이 가능하다는 것을 이해할 것이다. 예를 들면, 집적회로 다이와 인터포저의바디는 실리콘 이외의 물질로 형성될 수 있다. 마찬가지로, 구리 이외의 도전 물질이 인터포저 또는 집적회로 상의 여러 인터커넥트를 형성하는데 사용될 수 있다. 다른 대안예는 트랜지스터를 포함하지 않거나, 또는 트랜지스터 간에 큰 공간을 가진, 인터포저 상의 구리 배리어층 대신에 유착층을 사용하는 것을 포함한다. 이러한 유착층 물질의 예로는, 이에 제한되지는 않지만, Ti 및 TiN을 포함한다. 다른 대안예는, 이에 제한되지 않지만, 중간-층 유전체로서 SiO2보다는 실리콘 플루오르 도핑 옥사이드를 포함하는 저-k(low-k) 물질의 사용할 수 있다.
이 기술 분야의 통상의 지식을 가진 자는, 본 발명의 원리 및 범위에서 벗어나지 않는 한, 첨부된 청구범위에 표현한 바와 같이, 설명 및 도시된 부분 및 단계의 세부사항, 물질 및 배치에서의 여러 다른 변경이 이루어질 수 있다는 것을 이해할 것이다.

Claims (5)

  1. 제1 물질을 포함하는 바디(body)를 가진 다이; 및
    제1 사이드 및 제2 사이드를 가진 인터포저(interposer) - 여기서, 상기 다이는 상기 인터포저의 제1 사이드에 결합됨 -
    를 포함하고,
    여기서, 상기 인터포저는 상기 제1 물질을 포함하고, 상기 제1 사이드는 상기 다이에 전기적 및 기계적으로 결합되는
    조립체(assembly).
  2. 실리콘 기판 상에 형성된 집적회로;
    제1 표면 및 마주보는 제2 표면을 가진 인터포저; 및
    회로 기판
    을 포함하고,
    여기서, 상기 인터포저는 상기 집적회로와 회로 기판 사이에 배치되고, 상기 인터포저는 실리콘을 포함하는
    조립체.
  3. 실리콘 기판을 가진 다이;
    제1 표면 및 마주보는 제2 표면을 가진 실리콘 기판을 가진 인터포저 - 여기서, 상기 제1 표면은 솔더 범프(solder bumps)에 의해 상기 다이에 부착됨 -; 및
    솔더 볼에 의해 상기 제2 표면에 부착된 회로 기판
    을 포함하고,
    여기서, 상기 인터포저는 회로 소자들을 포함하는
    전자 조립체.
  4. 집적회로(IC)와 인터포저를 결합시키는 단계; 및
    회로 기판과 상기 인터포저를 결합시키는 단계
    를 포함하고,
    여기서, 상기 IC와 상기 인터포저는 각각 실질적으로 동일한 물질을 포함하는 바디를 가지고, 상기 결합 단계는 기계적으로 부착하는 단계와 전기적으로 연결하는 단계를 포함하는
    전자 조립체 제조 방법.
  5. 기판의 제1 표면 및 제2 표면의 각각에 옥사이드층을 형성하는 단계;
    상기 기판의 부분들을 노출시키기 위해 상기 제1 표면의 상기 옥사이드층을 패터닝하는 단계;
    딥-비아 오프닝(deep-via opening)의 제1 부분을 형성하기 위해 상기 노출된 기판의 제1 부분을 통해 등방성 에칭하는 단계;
    딥-비아 오프닝의 제2 부분을 형성하기 위해 상기 노출된 기판의 제2 부분을 통해 비등방성 에칭하는 단계;
    상기 딥-비아 오프닝의 상기 제1 및 제2 부분에 구리 배리어(barrier) 및 구리 시드층(seed layer)을 스퍼터링하는 단계;
    딥-비아를 형성하기 위해 상기 시드층 위에 도전 물질을 전기 도금하는 단계; 및
    상기 기판의 상기 제2 표면 위에 비아 및 인터커넥트 라인을 형성하는 단계
    를 포함하고,
    여기서, 적어도 하나의 인터커넥트 라인은 적어도 하나의 딥-비아에 전기적으로 결합되는
    인터포저 제조 방법.
KR1020017016740A 1999-06-28 2000-05-26 인터포저 및 그 제조 방법 KR20020016855A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/340,530 1999-06-28
US09/340,530 US6617681B1 (en) 1999-06-28 1999-06-28 Interposer and method of making same
PCT/US2000/014588 WO2001001486A1 (en) 1999-06-28 2000-05-26 Interposer and method of making same

Publications (1)

Publication Number Publication Date
KR20020016855A true KR20020016855A (ko) 2002-03-06

Family

ID=23333787

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017016740A KR20020016855A (ko) 1999-06-28 2000-05-26 인터포저 및 그 제조 방법

Country Status (9)

Country Link
US (3) US6617681B1 (ko)
EP (1) EP1190449A1 (ko)
JP (1) JP5285829B2 (ko)
KR (1) KR20020016855A (ko)
CN (1) CN1199264C (ko)
AU (1) AU5166500A (ko)
IL (2) IL147304A0 (ko)
TW (1) TWI247563B (ko)
WO (1) WO2001001486A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100712517B1 (ko) * 2005-07-14 2007-04-30 삼성전자주식회사 에어 갭 구조를 갖는 반도체 소자의 인터포저
KR100858075B1 (ko) * 2004-07-06 2008-09-11 도쿄엘렉트론가부시키가이샤 인터포저
KR101225450B1 (ko) * 2012-05-02 2013-01-24 (주) 이피웍스 다마신 실리콘 인터포저
KR101299875B1 (ko) * 2010-06-25 2013-08-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 임베디드 3d 인터포저 구조물
KR20140099316A (ko) * 2012-01-06 2014-08-11 도판 인사츠 가부시키가이샤 반도체 장치 및 그 제조 방법

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8352400B2 (en) 1991-12-23 2013-01-08 Hoffberg Steven M Adaptive pattern recognition based controller apparatus and method and human-factored interface therefore
GB2289983B (en) 1994-06-01 1996-10-16 Simage Oy Imaging devices,systems and methods
US9029793B2 (en) 1998-11-05 2015-05-12 Siemens Aktiengesellschaft Imaging device
US6495442B1 (en) 2000-10-18 2002-12-17 Magic Corporation Post passivation interconnection schemes on top of the IC chips
US7904187B2 (en) 1999-02-01 2011-03-08 Hoffberg Steven M Internet appliance system and method
US6617681B1 (en) * 1999-06-28 2003-09-09 Intel Corporation Interposer and method of making same
JP2001044589A (ja) * 1999-07-30 2001-02-16 Nitto Denko Corp 回路基板
JP4454814B2 (ja) * 2000-08-29 2010-04-21 Necエレクトロニクス株式会社 樹脂封止型半導体装置及びその製造方法
US6593644B2 (en) * 2001-04-19 2003-07-15 International Business Machines Corporation System of a package fabricated on a semiconductor or dielectric wafer with wiring on one face, vias extending through the wafer, and external connections on the opposing face
US20020170897A1 (en) * 2001-05-21 2002-11-21 Hall Frank L. Methods for preparing ball grid array substrates via use of a laser
US7189595B2 (en) * 2001-05-31 2007-03-13 International Business Machines Corporation Method of manufacture of silicon based package and devices manufactured thereby
TW560017B (en) * 2001-07-12 2003-11-01 Hitachi Ltd Semiconductor connection substrate
US20030059976A1 (en) * 2001-09-24 2003-03-27 Nathan Richard J. Integrated package and methods for making same
US6580611B1 (en) * 2001-12-21 2003-06-17 Intel Corporation Dual-sided heat removal system
JP2003283086A (ja) * 2002-01-21 2003-10-03 Hitachi Cable Ltd 配線基板、配線基板の製造方法及び配線基板を用いた電子部品
US6960837B2 (en) * 2002-02-26 2005-11-01 International Business Machines Corporation Method of connecting core I/O pins to backside chip I/O pads
DE10215654A1 (de) * 2002-04-09 2003-11-06 Infineon Technologies Ag Elektronisches Bauteil mit mindestens einem Halbleiterchip und Flip-Chip-Kontakten sowie Verfahren zu seiner Herstellung
JP4285629B2 (ja) * 2002-04-25 2009-06-24 富士通株式会社 集積回路を搭載するインターポーザ基板の作製方法
TWI229435B (en) * 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US6933597B1 (en) * 2002-07-09 2005-08-23 National Semiconductor Corporation Spacer with passive components for use in multi-chip modules
US6902872B2 (en) * 2002-07-29 2005-06-07 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
US6593221B1 (en) * 2002-08-13 2003-07-15 Micron Technology, Inc. Selective passivation of exposed silicon
JP2004079701A (ja) * 2002-08-14 2004-03-11 Sony Corp 半導体装置及びその製造方法
JP2004095849A (ja) * 2002-08-30 2004-03-25 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
GB0224689D0 (en) 2002-10-23 2002-12-04 Simage Oy Formation of contacts on semiconductor substrates
JP2006504258A (ja) 2002-10-25 2006-02-02 ゴールドパワー リミテッド 回路基板およびその製造方法
TW569416B (en) * 2002-12-19 2004-01-01 Via Tech Inc High density multi-chip module structure and manufacturing method thereof
US7114961B2 (en) * 2003-04-11 2006-10-03 Neoconix, Inc. Electrical connector on a flexible carrier
US7056131B1 (en) 2003-04-11 2006-06-06 Neoconix, Inc. Contact grid array system
US7113408B2 (en) * 2003-06-11 2006-09-26 Neoconix, Inc. Contact grid array formed on a printed circuit board
US7758351B2 (en) * 2003-04-11 2010-07-20 Neoconix, Inc. Method and system for batch manufacturing of spring elements
US8584353B2 (en) * 2003-04-11 2013-11-19 Neoconix, Inc. Method for fabricating a contact grid array
US7244125B2 (en) * 2003-12-08 2007-07-17 Neoconix, Inc. Connector for making electrical contact at semiconductor scales
US20100167561A1 (en) * 2003-04-11 2010-07-01 Neoconix, Inc. Structure and process for a contact grid array formed in a circuitized substrate
US7628617B2 (en) * 2003-06-11 2009-12-08 Neoconix, Inc. Structure and process for a contact grid array formed in a circuitized substrate
US20070020960A1 (en) * 2003-04-11 2007-01-25 Williams John D Contact grid array system
US20050120553A1 (en) * 2003-12-08 2005-06-09 Brown Dirk D. Method for forming MEMS grid array connector
US7597561B2 (en) * 2003-04-11 2009-10-06 Neoconix, Inc. Method and system for batch forming spring elements in three dimensions
US6916181B2 (en) * 2003-06-11 2005-07-12 Neoconix, Inc. Remountable connector for land grid array packages
JP2004363380A (ja) * 2003-06-05 2004-12-24 Sanyo Electric Co Ltd 光半導体装置およびその製造方法
US7070419B2 (en) * 2003-06-11 2006-07-04 Neoconix Inc. Land grid array connector including heterogeneous contact elements
US6869290B2 (en) * 2003-06-11 2005-03-22 Neoconix, Inc. Circuitized connector for land grid array
WO2004114397A1 (en) 2003-06-20 2004-12-29 Koninklijke Philips Electronics N.V. Electronic device, assembly and methods of manufacturing an electronic device
TWI245381B (en) * 2003-08-14 2005-12-11 Via Tech Inc Electrical package and process thereof
US7233061B1 (en) 2003-10-31 2007-06-19 Xilinx, Inc Interposer for impedance matching
US7566960B1 (en) * 2003-10-31 2009-07-28 Xilinx, Inc. Interposing structure
KR100513422B1 (ko) * 2003-11-13 2005-09-09 삼성전자주식회사 집적회로 모듈의 구조
US20050227510A1 (en) * 2004-04-09 2005-10-13 Brown Dirk D Small array contact with precision working range
JP3896112B2 (ja) 2003-12-25 2007-03-22 エルピーダメモリ株式会社 半導体集積回路装置
JP4587676B2 (ja) * 2004-01-29 2010-11-24 ルネサスエレクトロニクス株式会社 チップ積層構成の3次元半導体装置
US7347698B2 (en) * 2004-03-19 2008-03-25 Neoconix, Inc. Deep drawn electrical contacts and method for making
US7383632B2 (en) * 2004-03-19 2008-06-10 Neoconix, Inc. Method for fabricating a connector
JP4377269B2 (ja) * 2004-03-19 2009-12-02 Necエレクトロニクス株式会社 半導体装置
US7090503B2 (en) * 2004-03-19 2006-08-15 Neoconix, Inc. Interposer with compliant pins
US7025601B2 (en) * 2004-03-19 2006-04-11 Neoconix, Inc. Interposer and method for making same
US20050205988A1 (en) * 2004-03-19 2005-09-22 Epic Technology Inc. Die package with higher useable die contact pad area
JP4439976B2 (ja) 2004-03-31 2010-03-24 Necエレクトロニクス株式会社 半導体装置およびその製造方法
US7241680B2 (en) * 2004-04-30 2007-07-10 Intel Corporation Electronic packaging using conductive interposer connector
US7692287B2 (en) * 2004-05-21 2010-04-06 Nec Corporation Semiconductor device and wiring board
WO2006003563A2 (en) * 2004-06-29 2006-01-12 Koninklijke Philips Electronics N.V. Light emitting diode module
US20060000542A1 (en) * 2004-06-30 2006-01-05 Yongki Min Metal oxide ceramic thin film on base metal electrode
JP4865197B2 (ja) 2004-06-30 2012-02-01 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4343044B2 (ja) * 2004-06-30 2009-10-14 新光電気工業株式会社 インターポーザ及びその製造方法並びに半導体装置
US20060000642A1 (en) * 2004-07-01 2006-01-05 Epic Technology Inc. Interposer with compliant pins
US7354276B2 (en) * 2004-07-20 2008-04-08 Neoconix, Inc. Interposer with compliant pins
TWI250596B (en) * 2004-07-23 2006-03-01 Ind Tech Res Inst Wafer-level chip scale packaging method
US7413995B2 (en) * 2004-08-23 2008-08-19 Intel Corporation Etched interposer for integrated circuit devices
US7049208B2 (en) 2004-10-11 2006-05-23 Intel Corporation Method of manufacturing of thin based substrate
US7358444B2 (en) * 2004-10-13 2008-04-15 Intel Corporation Folded substrate with interposer package for integrated circuit devices
US7290315B2 (en) * 2004-10-21 2007-11-06 Intel Corporation Method for making a passive device structure
US20060091495A1 (en) * 2004-10-29 2006-05-04 Palanduz Cengiz A Ceramic thin film on base metal electrode
TWI246384B (en) * 2004-11-22 2005-12-21 Benq Corp Multi-layer printed circuit board layout and manufacturing method thereof
TWI313914B (en) * 2005-01-31 2009-08-21 Sanyo Electric Co Semiconductor device and a method for manufacturing thereof
US7160798B2 (en) * 2005-02-24 2007-01-09 Freescale Semiconductor, Inc. Method of making reinforced semiconductor package
US7629269B2 (en) * 2005-03-31 2009-12-08 Intel Corporation High-k thin film grain size control
US20060220177A1 (en) * 2005-03-31 2006-10-05 Palanduz Cengiz A Reduced porosity high-k thin film mixed grains for thin film capacitor applications
US7375412B1 (en) * 2005-03-31 2008-05-20 Intel Corporation iTFC with optimized C(T)
US7371676B2 (en) * 2005-04-08 2008-05-13 Micron Technology, Inc. Method for fabricating semiconductor components with through wire interconnects
US7292055B2 (en) * 2005-04-21 2007-11-06 Endicott Interconnect Technologies, Inc. Interposer for use with test apparatus
US7393770B2 (en) * 2005-05-19 2008-07-01 Micron Technology, Inc. Backside method for fabricating semiconductor components with conductive interconnects
US7510907B2 (en) * 2005-06-22 2009-03-31 Intel Corporation Through-wafer vias and surface metallization for coupling thereto
US7453144B2 (en) * 2005-06-29 2008-11-18 Intel Corporation Thin film capacitors and methods of making the same
US7495330B2 (en) * 2005-06-30 2009-02-24 Intel Corporation Substrate connector for integrated circuit devices
US9601474B2 (en) * 2005-07-22 2017-03-21 Invensas Corporation Electrically stackable semiconductor wafer and chip packages
US7435627B2 (en) * 2005-08-11 2008-10-14 International Business Machines Corporation Techniques for providing decoupling capacitance
US20070050738A1 (en) * 2005-08-31 2007-03-01 Dittmann Larry E Customer designed interposer
TWI272728B (en) * 2005-09-02 2007-02-01 Touch Micro System Tech Three-dimensional interconnect interposer adapted for use in system in package and method of making the same
US7307348B2 (en) 2005-12-07 2007-12-11 Micron Technology, Inc. Semiconductor components having through wire interconnects (TWI)
US7357644B2 (en) * 2005-12-12 2008-04-15 Neoconix, Inc. Connector having staggered contact architecture for enhanced working range
US7402912B2 (en) * 2005-12-15 2008-07-22 International Business Machines Corporation Method and power control structure for managing plurality of voltage islands
US8003479B2 (en) * 2006-03-27 2011-08-23 Intel Corporation Low temperature deposition and ultra fast annealing of integrated circuit thin film capacitor
US7759776B2 (en) * 2006-03-28 2010-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Space transformer having multi-layer pad structures
US20070246821A1 (en) * 2006-04-20 2007-10-25 Lu Szu W Utra-thin substrate package technology
US7659612B2 (en) 2006-04-24 2010-02-09 Micron Technology, Inc. Semiconductor components having encapsulated through wire interconnects (TWI)
US7510928B2 (en) * 2006-05-05 2009-03-31 Tru-Si Technologies, Inc. Dielectric trenches, nickel/tantalum oxide structures, and chemical mechanical polishing techniques
AT9551U1 (de) * 2006-05-16 2007-11-15 Austria Tech & System Tech Verfahren zum festlegen eines elektronischen bauteils auf einer leiterplatte sowie system bestehend aus einer leiterplatte und wenigstens einem elektronischen bauteil
JP5394617B2 (ja) * 2006-06-16 2014-01-22 新光電気工業株式会社 半導体装置及び半導体装置の製造方法及び基板
WO2008007258A2 (en) * 2006-06-20 2008-01-17 Nxp B.V. Power amplifier assembly
DE102006034679A1 (de) * 2006-07-24 2008-01-31 Infineon Technologies Ag Halbleitermodul mit Leistungshalbleiterchip und passiven Bauelement sowie Verfahren zur Herstellung desselben
US7804177B2 (en) 2006-07-26 2010-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-based thin substrate and packaging schemes
US7473577B2 (en) * 2006-08-11 2009-01-06 International Business Machines Corporation Integrated chip carrier with compliant interconnect
JP5117698B2 (ja) * 2006-09-27 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
US7989895B2 (en) * 2006-11-15 2011-08-02 Avx Corporation Integration using package stacking with multi-layer organic substrates
DE102007023666A1 (de) * 2006-12-01 2008-06-05 Infineon Technologies Ag Halbleiterbaustein und Verfahren zur Herstellung eines Halbleiterbausteins
JP2008160019A (ja) * 2006-12-26 2008-07-10 Shinko Electric Ind Co Ltd 電子部品
US7528492B2 (en) * 2007-05-24 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Test patterns for detecting misalignment of through-wafer vias
US8476735B2 (en) * 2007-05-29 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Programmable semiconductor interposer for electronic package and method of forming
US7939941B2 (en) 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
US7982137B2 (en) * 2007-06-27 2011-07-19 Hamilton Sundstrand Corporation Circuit board with an attached die and intermediate interposer
JP2009021433A (ja) * 2007-07-12 2009-01-29 Fujikura Ltd 配線基板及びその製造方法
US7935408B2 (en) * 2007-10-26 2011-05-03 International Business Machines Corporation Substrate anchor structure and method
US8273603B2 (en) * 2008-04-04 2012-09-25 The Charles Stark Draper Laboratory, Inc. Interposers, electronic modules, and methods for forming the same
US8017451B2 (en) 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
US8853830B2 (en) 2008-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. System, structure, and method of manufacturing a semiconductor substrate stack
US9159679B2 (en) * 2008-09-15 2015-10-13 International Rectifier Corporation Semiconductor package with integrated passives and method for fabricating same
US8030780B2 (en) * 2008-10-16 2011-10-04 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
JP5537016B2 (ja) * 2008-10-27 2014-07-02 株式会社東芝 半導体装置および半導体装置の製造方法
US7859099B2 (en) * 2008-12-11 2010-12-28 Stats Chippac Ltd. Integrated circuit packaging system having through silicon via with direct interconnects and method of manufacture thereof
US8907462B2 (en) * 2009-02-05 2014-12-09 Hewlett-Packard Development Company, L. P. Integrated circuit package
JP2009111433A (ja) * 2009-02-18 2009-05-21 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
TWI394253B (zh) * 2009-03-25 2013-04-21 Advanced Semiconductor Eng 具有凸塊之晶片及具有凸塊之晶片之封裝結構
US7936060B2 (en) * 2009-04-29 2011-05-03 International Business Machines Corporation Reworkable electronic device assembly and method
WO2010131391A1 (ja) * 2009-05-14 2010-11-18 パナソニック株式会社 半導体装置及びそれを有する電子機器
JP2011061132A (ja) * 2009-09-14 2011-03-24 Zycube:Kk インターポーザ
US8334202B2 (en) * 2009-11-03 2012-12-18 Infineon Technologies Ag Device fabricated using an electroplating process
JP5590869B2 (ja) * 2009-12-07 2014-09-17 新光電気工業株式会社 配線基板及びその製造方法並びに半導体パッケージ
JP5514559B2 (ja) 2010-01-12 2014-06-04 新光電気工業株式会社 配線基板及びその製造方法並びに半導体パッケージ
US10297550B2 (en) 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US8324511B1 (en) * 2010-04-06 2012-12-04 Amkor Technology, Inc. Through via nub reveal method and structure
US9293366B2 (en) * 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8913402B1 (en) 2010-05-20 2014-12-16 American Semiconductor, Inc. Triple-damascene interposer
JP5608430B2 (ja) * 2010-06-07 2014-10-15 新光電気工業株式会社 配線基板及び配線基板の製造方法
JP2011009781A (ja) * 2010-09-29 2011-01-13 Fujikura Ltd 貫通電極付き半導体デバイスの製造方法
JP2012089566A (ja) * 2010-10-15 2012-05-10 Elpida Memory Inc 半導体装置及びその製造方法、並びにデータ処理システム
JP5565272B2 (ja) * 2010-10-29 2014-08-06 富士通株式会社 貫通電極基板
US8710623B1 (en) 2010-11-18 2014-04-29 Xilinx, Inc. Integrated circuit having a discrete capacitor mounted on a semiconductor die
US9412708B2 (en) * 2011-01-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced ESD protection of integrated circuit in 3DIC package
US8373252B1 (en) * 2011-03-07 2013-02-12 Xilinx, Inc. Integrated circuit having capacitor on back surface
US8587127B2 (en) * 2011-06-15 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US8779553B2 (en) * 2011-06-16 2014-07-15 Xilinx, Inc. Stress-aware design for integrated circuits comprising a stress inducing structure and keep out zone
US8872345B2 (en) * 2011-07-07 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Forming grounded through-silicon vias in a semiconductor substrate
KR20130026920A (ko) * 2011-09-06 2013-03-14 삼성전자주식회사 질화물계 반도체 패키지 및 그의 제조 방법, 접합 기판
US9236278B2 (en) 2011-09-23 2016-01-12 Stats Chippac Ltd. Integrated circuit packaging system with a substrate embedded dummy-die paddle and method of manufacture thereof
US10475759B2 (en) * 2011-10-11 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure having dies with connectors of different sizes
US8641428B2 (en) 2011-12-02 2014-02-04 Neoconix, Inc. Electrical connector and method of making it
US20130288474A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Methods for fabricating dual damascene interconnect structures
US9275933B2 (en) 2012-06-19 2016-03-01 United Microelectronics Corp. Semiconductor device
KR101401988B1 (ko) * 2012-09-07 2014-05-30 주식회사 동부하이텍 반도체 패키지 및 반도체 패키지 형성 방법
JP2014060185A (ja) 2012-09-14 2014-04-03 Toshiba Corp 半導体装置の製造方法および半導体装置
US8803287B2 (en) * 2012-10-17 2014-08-12 Texas Instruments Deutschland Gmbh Electronic device comprising a semiconductor structure having an integrated circuit back end capacitor and thin film resistor and method of manufacturing the same
TW201417225A (zh) * 2012-10-25 2014-05-01 Ind Tech Res Inst 封裝基板及其製法
US9799592B2 (en) 2013-11-19 2017-10-24 Amkor Technology, Inc. Semicondutor device with through-silicon via-less deep wells
KR101366461B1 (ko) 2012-11-20 2014-02-26 앰코 테크놀로지 코리아 주식회사 반도체 디바이스 및 그 제조 방법
US9679615B2 (en) 2013-03-15 2017-06-13 Micron Technology, Inc. Flexible memory system with a controller and a stack of memory
US9304272B2 (en) * 2013-03-15 2016-04-05 Compass Electro Optical Systems Ltd. EO device for processing data signals
US9680273B2 (en) 2013-03-15 2017-06-13 Neoconix, Inc Electrical connector with electrical contacts protected by a layer of compressible material and method of making it
US9214433B2 (en) * 2013-05-21 2015-12-15 Xilinx, Inc. Charge damage protection on an interposer for a stacked die assembly
US9581639B2 (en) 2013-12-28 2017-02-28 Intel Corporation Organic space transformer attachment and assembly
US9510454B2 (en) 2014-02-28 2016-11-29 Qualcomm Incorporated Integrated interposer with embedded active devices
US9632251B2 (en) 2014-04-02 2017-04-25 International Business Machines Corporation Integration of photonic, electronic, and sensor devices with SOI VLSI microprocessor technology
EP3035385A1 (en) 2014-12-16 2016-06-22 IMEC vzw Semiconductor interposer comprising a schottky diode and a method for fabricating the interposer
JP2017073472A (ja) 2015-10-07 2017-04-13 株式会社ディスコ 半導体装置の製造方法
KR20170046344A (ko) 2015-10-21 2017-05-02 삼성전자주식회사 반도체 장치 및 이를 포함하는 반도체 패키지
US10720339B2 (en) 2016-05-10 2020-07-21 Agency For Science, Technology And Research Fan-out wafer-level packaging method and the package produced thereof
US9960328B2 (en) 2016-09-06 2018-05-01 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
JP6790771B2 (ja) * 2016-12-01 2020-11-25 株式会社村田製作所 コンデンサの実装構造
JP6808282B2 (ja) 2016-12-14 2021-01-06 株式会社ディスコ インターポーザの製造方法
JP6779574B2 (ja) 2016-12-14 2020-11-04 株式会社ディスコ インターポーザの製造方法
US10383225B1 (en) 2018-08-10 2019-08-13 Seagate Technology Llc Interposer with offset-stacked traces
US11289427B2 (en) * 2019-06-11 2022-03-29 Intel Corporation Multi-faceted integrated-circuit dice and packages
US11315831B2 (en) 2019-07-22 2022-04-26 International Business Machines Corporation Dual redistribution layer structure
US11715895B2 (en) 2020-07-09 2023-08-01 Seagate Technology Llc Methods for making electrical connectors with an electrical interposer

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3577037A (en) * 1968-07-05 1971-05-04 Ibm Diffused electrical connector apparatus and method of making same
US3648131A (en) * 1969-11-07 1972-03-07 Ibm Hourglass-shaped conductive connection through semiconductor structures
US4074342A (en) * 1974-12-20 1978-02-14 International Business Machines Corporation Electrical package for lsi devices and assembly process therefor
US4125933A (en) * 1976-07-08 1978-11-21 Burroughs Corporation IGFET Integrated circuit memory cell
US4349862A (en) * 1980-08-11 1982-09-14 International Business Machines Corporation Capacitive chip carrier and multilayer ceramic capacitors
US4394712A (en) 1981-03-18 1983-07-19 General Electric Company Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers
DE3600169A1 (de) * 1985-01-07 1986-07-10 Canon K.K., Tokio/Tokyo Maskenstruktur zur lithographie, verfahren zu ihrer herstellung und lithographisches verfahren
EP0227826B1 (en) * 1985-08-02 1989-10-25 Leo Pharmaceutical Products Ltd. A/S (Lovens Kemiske Fabrik Produktionsaktieselskab) Novel vitamin d analogues
US4866507A (en) * 1986-05-19 1989-09-12 International Business Machines Corporation Module for packaging semiconductor integrated circuit chips on a base substrate
US4811082A (en) * 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US5136471A (en) * 1987-02-26 1992-08-04 Nec Corporation Laminate wiring board
US5191224A (en) * 1987-04-22 1993-03-02 Hitachi, Ltd. Wafer scale of full wafer memory system, packaging method thereof, and wafer processing method employed therein
US5191404A (en) * 1989-12-20 1993-03-02 Digital Equipment Corporation High density memory array packaging
US5063177A (en) * 1990-10-04 1991-11-05 Comsat Method of packaging microwave semiconductor components and integrated circuits
US5258648A (en) * 1991-06-27 1993-11-02 Motorola, Inc. Composite flip chip semiconductor device with an interposer having test contacts formed along its periphery
JPH0563137A (ja) * 1991-08-30 1993-03-12 Fujitsu Ltd 半導体装置
JPH05183019A (ja) 1991-12-27 1993-07-23 Hitachi Ltd 半導体装置およびその製造方法
US5473120A (en) 1992-04-27 1995-12-05 Tokuyama Corporation Multilayer board and fabrication method thereof
EP0596075B1 (en) 1992-05-15 2001-08-22 Irvine Sensors Corporation Non-conductive end layer for integrated stack of ic chips
US5371654A (en) 1992-10-19 1994-12-06 International Business Machines Corporation Three dimensional high performance interconnection package
US5413489A (en) * 1993-04-27 1995-05-09 Aptix Corporation Integrated socket and IC package assembly
JP3195474B2 (ja) * 1993-09-20 2001-08-06 富士通株式会社 半導体装置
EP0666595B1 (de) 1994-02-07 1998-08-19 Siemens Aktiengesellschaft Verfahren zur Herstellung einer kubisch integrierten Schaltungsanordnung
US5567654A (en) * 1994-09-28 1996-10-22 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic module's surface and associated module packaging
US5530288A (en) * 1994-10-12 1996-06-25 International Business Machines Corporation Passive interposer including at least one passive electronic component
JPH08148563A (ja) * 1994-11-22 1996-06-07 Nec Corp 半導体装置の多層配線構造体の形成方法
US5640167A (en) * 1995-01-27 1997-06-17 Ford Motor Company Vehicle window glass antenna arrangement
JP3186941B2 (ja) * 1995-02-07 2001-07-11 シャープ株式会社 半導体チップおよびマルチチップ半導体モジュール
US5574630A (en) * 1995-05-11 1996-11-12 International Business Machines Corporation Laminated electronic package including a power/ground assembly
US5635767A (en) * 1995-06-02 1997-06-03 Motorola, Inc. Semiconductor device having built-in high frequency bypass capacitor
JPH0997833A (ja) * 1995-07-22 1997-04-08 Ricoh Co Ltd 半導体装置とその製造方法
JP3387282B2 (ja) * 1995-08-03 2003-03-17 日産自動車株式会社 半導体装置の構造及びその製造方法
US5756395A (en) 1995-08-18 1998-05-26 Lsi Logic Corporation Process for forming metal interconnect structures for use with integrated circuit devices to form integrated circuit structures
US5623160A (en) * 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
JP3465464B2 (ja) * 1996-02-06 2003-11-10 富士通株式会社 半導体チップキャリヤ
JPH09232507A (ja) * 1996-02-20 1997-09-05 Yamaha Corp 半導体集積回路およびその製造方法
US5644167A (en) * 1996-03-01 1997-07-01 National Semiconductor Corporation Integrated circuit package assemblies including an electrostatic discharge interposer
US6198136B1 (en) * 1996-03-19 2001-03-06 International Business Machines Corporation Support chips for buffer circuits
JP2809191B2 (ja) * 1996-04-30 1998-10-08 日本電気株式会社 半導体チップの実装方法
US5777383A (en) * 1996-05-09 1998-07-07 Lsi Logic Corporation Semiconductor chip package with interconnect layers and routing and testing methods
JP3692186B2 (ja) * 1996-09-09 2005-09-07 沖電気工業株式会社 半導体装置
JPH10209371A (ja) * 1997-01-17 1998-08-07 Mitsubishi Electric Corp Icメモリ
JP3718940B2 (ja) * 1997-01-27 2005-11-24 株式会社村田製作所 フリップチップセラミック基板
JPH1167971A (ja) * 1997-05-30 1999-03-09 St Microelectron Inc 向上させた基板をベースとした集積回路パッケージ
US5790384A (en) * 1997-06-26 1998-08-04 International Business Machines Corporation Bare die multiple dies for direct attach
JP3867875B2 (ja) * 1997-09-17 2007-01-17 ソニー株式会社 半導体装置
US6052287A (en) * 1997-12-09 2000-04-18 Sandia Corporation Silicon ball grid array chip carrier
IT1299401B1 (it) * 1998-03-27 2000-03-16 Optikon 2000 Spa Procedimento di ottimizzazione del pilotaggio di un attuatore piezoelettrico, in particolare per dispositivi facoemulsificatori,
US6544167B2 (en) * 1998-05-01 2003-04-08 Correstore, Inc. Ventricular restoration patch
US6175160B1 (en) * 1999-01-08 2001-01-16 Intel Corporation Flip-chip having an on-chip cache memory
US6617681B1 (en) * 1999-06-28 2003-09-09 Intel Corporation Interposer and method of making same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100858075B1 (ko) * 2004-07-06 2008-09-11 도쿄엘렉트론가부시키가이샤 인터포저
KR100712517B1 (ko) * 2005-07-14 2007-04-30 삼성전자주식회사 에어 갭 구조를 갖는 반도체 소자의 인터포저
KR101299875B1 (ko) * 2010-06-25 2013-08-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 임베디드 3d 인터포저 구조물
KR20140099316A (ko) * 2012-01-06 2014-08-11 도판 인사츠 가부시키가이샤 반도체 장치 및 그 제조 방법
KR101225450B1 (ko) * 2012-05-02 2013-01-24 (주) 이피웍스 다마신 실리콘 인터포저

Also Published As

Publication number Publication date
US6671947B2 (en) 2004-01-06
US20020081838A1 (en) 2002-06-27
IL147304A0 (en) 2002-08-14
TWI247563B (en) 2006-01-11
JP5285829B2 (ja) 2013-09-11
US6617681B1 (en) 2003-09-09
US20050017333A1 (en) 2005-01-27
JP2003503855A (ja) 2003-01-28
CN1199264C (zh) 2005-04-27
WO2001001486A1 (en) 2001-01-04
EP1190449A1 (en) 2002-03-27
IL147304A (en) 2007-02-11
US6982225B2 (en) 2006-01-03
AU5166500A (en) 2001-01-31
CN1358331A (zh) 2002-07-10

Similar Documents

Publication Publication Date Title
US6671947B2 (en) Method of making an interposer
JP5602892B2 (ja) ウェハ裏面のキャパシタを有する半導体デバイスを形成する方法
US5472900A (en) Capacitor fabricated on a substrate containing electronic circuitry
KR101086520B1 (ko) 전자 장치, 조립체 및 전자 장치 제조 방법
US9287345B2 (en) Semiconductor structure with thin film resistor and terminal bond pad
US6424036B1 (en) Semiconductor device and method for manufacturing the same
US7049204B2 (en) High density metal capacitor using via etch stopping layer as field dielectric in dual-damascence interconnect process
US20050101116A1 (en) Integrated circuit device and the manufacturing method thereof
US6027980A (en) Method of forming a decoupling capacitor
CN110060982B (zh) 用于中介片的电容器及其制造方法
JP2006019455A (ja) 半導体装置およびその製造方法
US11670501B2 (en) Semiconductor device structure with resistive elements
JP2012054588A (ja) 銅技術相互接続構造を使用する集積回路デバイス用のアルミニウム・パッド電力バスおよび信号ルーティング技術
US6432724B1 (en) Buried ground plane for high performance system modules
KR100787371B1 (ko) 전극 및 반도체 장치 제조 방법
US11908790B2 (en) Chip structure with conductive via structure and method for forming the same
US20230068503A1 (en) Chip structure and method for forming the same
US12015002B2 (en) Chip structure and method for forming the same
US20230067143A1 (en) Chip structure and method for forming the same
US20240096783A1 (en) Flexible wiring architecture for multi-die integration
US20240203918A1 (en) Chip stack structure with conductive plug and method for forming the same
CN115763422A (zh) 半导体器件、半导体封装件及其制造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application