JP2000138281A - 半導体製造装置におけるウェハ支持装置 - Google Patents

半導体製造装置におけるウェハ支持装置

Info

Publication number
JP2000138281A
JP2000138281A JP10310675A JP31067598A JP2000138281A JP 2000138281 A JP2000138281 A JP 2000138281A JP 10310675 A JP10310675 A JP 10310675A JP 31067598 A JP31067598 A JP 31067598A JP 2000138281 A JP2000138281 A JP 2000138281A
Authority
JP
Japan
Prior art keywords
wafer
lift
support
support area
susceptor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10310675A
Other languages
English (en)
Other versions
JP3234576B2 (ja
Inventor
Yoji Takagi
庸司 高木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to JP31067598A priority Critical patent/JP3234576B2/ja
Priority to TW088118721A priority patent/TW444242B/zh
Priority to PCT/JP1999/006043 priority patent/WO2000026961A1/ja
Priority to KR1020017005120A priority patent/KR20010080315A/ko
Priority to US09/830,613 priority patent/US6676759B1/en
Priority to EP99951164A priority patent/EP1139416A1/en
Publication of JP2000138281A publication Critical patent/JP2000138281A/ja
Application granted granted Critical
Publication of JP3234576B2 publication Critical patent/JP3234576B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【課題】 ウェハ裏面の傷やウェハの位置ずれを防止す
ることのできるリフト機構を有する半導体製造装置にお
けるウェハ支持装置を提供すること。 【解決手段】 本発明は、ウェハWを支持するための支
持エリア26を上面に有するウェハ支持本体22と、こ
のウェハ支持本体の支持エリアの外側から支持エリアの
内側に延び、内側に向かって下方に傾斜する傾斜面を上
面に有し、且つ、ウェハ支持本体の上面よりも下側の位
置と上側の位置との間で上下動可能となっている複数の
リフト部片36とを備えるウェハ支持装置14を特徴と
している。リフト部片の取付位置及びその上面が傾斜し
ていることにより、リフト部片はウェハWの裏面に接す
ることはなく、ウェハの外周下縁のみに接する。従っ
て、ウェハ裏面の傷を防止することができる。また、リ
フト部片の上面は、外側ほど高くなっているため、水平
方向の位置ずれも抑制することができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体製造装置に
おけるウェハ支持装置に関し、特に、ウェハ支持装置に
設けられている、ウェハを上下動させるための手段に関
する。
【0002】
【従来の技術】半導体製造装置には、シリコンウェハを
1枚ずつ処理する枚葉式と称されるものがある。この枚
葉式半導体製造装置においては、通常、ウェハを1枚だ
け水平に支持するウェハ支持装置が処理チャンバ内に設
けられている。
【0003】一般的なウェハ支持装置は、ウェハが載置
されるウェハ支持本体、いわゆるサセプタから基本的に
構成されている。また、ウェハ支持装置には、ウェハを
ウサセプタに対して上下動させるためのリフト機構が設
けられている。従来一般のリフト機構は、サセプタを貫
通して延びる複数本のリフトピンを有しており、これら
のリフトピンの上端にウェハを載せ、リフトピンを上下
動させることで、ウェハを昇降させることができるよう
になっている。このようなリフト機構により、搬送ロボ
ットのブレードに載せて運ばれてきたウェハをサセプタ
上に移載したり、或いはその逆に、ウェハをサセプタか
ら搬送ロボットに受け渡したりすることが可能となる。
【0004】
【発明が解決しようとする課題】上述したような従来の
ウェハ支持装置においては、ウェハを支持している時、
リフトピンはサセプタの上面よりも下方の位置に置かれ
る。従って、ウェハをサセプタから持ち上げるべくリフ
トピンを上昇させると、リフトピンの上端がウェハの裏
面に当たり、その部分に傷が付くことがある。ウェハ裏
面の傷は、後プロセスで悪影響を与えるおそれがあ
る。。
【0005】また、ウェハ上下動時、リフトピンの上端
でウェハの裏面を支持するのみとなっているので、ウェ
ハが位置ずれを生じやすく、サセプタ上に降ろした際、
サセプタの支持エリアからはみ出す可能性があった。
【0006】本発明はかかる事情に鑑みてなされたもの
であり、その目的は、ウェハ裏面の傷やウェハの位置ず
れを防止することのできるリフト機構を有するウェハ支
持装置を提供することにある。
【0007】
【課題を解決するための手段】上記目的を達成するため
に、本発明は、ウェハを支持するための支持エリアを上
面に有するウェハ支持本体と、このウェハ支持本体の支
持エリアの外側から支持エリアの内側に延び、内側に向
かって下方に傾斜する傾斜面を上面に有し、且つ、ウェ
ハ支持本体の上面よりも下側の位置と上側の位置との間
で上下動可能となっている複数のリフト部片とを備える
ウェハ支持装置を特徴としている。
【0008】リフト部片は従来のリフトピンに代わるも
のであり、当該リフト部片の取付位置及びその上面が傾
斜していることにより、リフト部片はウェハの裏面に接
することはなく、ウェハの外周下縁のみに接する。従っ
て、ウェハ裏面の傷を防止することができる。また、リ
フト部片の上面は、外側ほど高くなっているため、水平
方向の位置ずれも抑制することができる。
【0009】なお、リフト部片は、支持エリアの外側に
配置された円弧状のリフトリングの内周縁に一体的に形
成されることが好適である。
【0010】また、リフトリングに、リフト部片に隣接
する位置に爪部材を上下動可能に配置し、リフトリング
を持ち上げた状態とした場合、爪部材がリフトリングか
ら分離して更に持ち上げられるようにすることが有効で
ある。かかる構成では、リフト部片により支持されたウ
ェハの水平方向の移動を、ウェハよりも高い位置に配置
される爪部材によって阻止することが可能となる。
【0011】更に、リフト部片の上面の、支持エリアの
周方向に沿っての断面形状は、上方に凸状に湾曲したも
のとすることが好ましい。これにより、リフト部片とウ
ェハとの接触は点接触となる。
【0012】
【発明の実施の形態】以下、図面を参照して本発明の好
適な実施形態について詳細に説明する。
【0013】図1は、本発明に係るウェハ支持装置を設
置することのできる半導体製造装置としてエピタキシャ
ル成長装置を概略的に示している。図示のエピタキシャ
ル成長装置10はシリコンウェハ(図1には示さず)を
1枚ずつ処理する枚葉式であり、石英ガラスで構成され
た処理チャンバ12を備え、この処理チャンバ12内に
ウェハ支持装置14が配設される。処理チャンバ12の
側部には処理ガスの導入口16が形成され、これに対向
する位置には排気口18が形成されている。また、処理
チャンバ12の上側領域及び下側領域には、それぞれ、
複数本のハロゲンランプ20が放射状に配置されてい
る。
【0014】上記構成のエピタキシャル成長装置10に
おいて、ウェハ支持装置14によりウェハを支持した
後、ハロゲンランプ20を点灯してウェハを加熱すると
共に、排気口18から排気を行いながらトリクロルシラ
ン(SiHCl3)ガスやジクロルシラン(SiH2Cl
2)ガス等を処理ガスとして導入口16から導入する
と、所定温度に加熱されたウェハの表面に沿って処理ガ
スが層流状態で流れ、ウェハ上にシリコンの単結晶がエ
ピタキシャル成長する。
【0015】このようなエピタキシャル装置10におけ
る、本発明の第1実施形態に係るウェハ支持装置14
は、図2〜図4に示すようなウェハ支持本体たるサセプ
タ22を備えている。サセプタ22は、炭化シリコンで
被覆されたグラファイト材料から成る円盤状のものであ
り、処理チャンバ12の下部に立設された石英ガラス製
の支持シャフト24により、裏面側から三点で水平に支
持されている。サセプタ22の上面には、円形の凹部2
6が形成されている。この凹部26はウェハWを収容し
支持する支持エリアとなっている。凹部26の底面の外
周部分には、中心側に向かって下方に傾斜する傾斜面2
8が形成されている。従って、ウェハWをサセプタ22
の凹部26内の所定位置に配置すると、凹部26の外周
の傾斜面28にウェハWの外周下縁(角部)が接した状
態でウェハWが支持される(図3の(a)参照)。この
支持状態において、ウェハWの上面と、凹部26よりも
外側のサセプタ外周部分の上面とは、ほぼ同一面とな
る。これは、導入口16から導入された処理ガスが層流
状態を維持して流れるようにするためである。
【0016】サセプタ22の外周部分には、略円弧形
(C形)の溝30がサセプタ22と同心状に形成されて
いる。この溝30の円弧角は、好ましくは、約250度
となっている。溝30内には当該溝30と略同形の円弧
形ないしはC形のリフトリング32が配置される。
【0017】リフトリング32は、ウェハWをサセプタ
22に対して上下動させるリフト機構34を構成してい
る。リフトリング32を溝30内に収容した状態では、
前記と同様な理由から、リフトリング32の上面とサセ
プタ22の外周部分の上面とは同一平面となるよう寸法
決めされている。リフトリング32の内周縁には3本の
リフト部片36が一体的に突設されている。3本のリフ
ト部片36は約120度間隔で設けられるのが好適であ
る。各リフト部片36は内側(サセプタ22の中心側)
に向かって延び、その先端は凹部26の内側領域にまで
達している。リフト部片36に対応するサセプタ22の
部分には、リフト部片36と略同形の切欠き38が形成
されており、リフトリング32を溝30内に収容する際
の妨げとならないようにしている。
【0018】リフト部片36の上面はリフトリング32
の上面よりも一段下がっており、また、リフトリング3
2を溝30内に収容した状態において、凹部26の底
面、少なくとも外周部分の傾斜面28よりも下側に位置
する。従って、サセプタ22上でウェハWを支持する際
には、ウェハWはリフト部片36に接することはない。
また、リフト部片36の上面は、サセプタ22の中心に
向かって下方に傾斜されている。更に、図5からも理解
されるように、リフト部片36の上面は、サセプタ22
の周方向において上方に凸となる湾曲面とされている。
【0019】リフトリング32は、従来と同様な手段を
用いて上下動されるようになっている。より詳細には、
この第1実施形態に係るリフト機構34は、図1に示す
ように、サセプタ支持シャフト24の主軸を囲むように
配置された上下動可能なリフトチューブ40と、このリ
フトチューブ40を上下動させる駆動装置42と、リフ
トチューブ40から放射状に延びる3本のリフトアーム
44と、サセプタ22の溝30の底面から貫通形成され
た貫通孔46を通り吊支されているリフトピン48とを
備えており、駆動装置42を制御してリフトチューブ4
0及びリフトアーム44を上昇させると、リフトアーム
44の先端部でリフトピン48が押し上げられ、その結
果、リフトリング32が上昇されるようになっている。
【0020】このような構成のウェハ支持装置14にウ
ェハWを支持させる場合、まず、搬送ロボットを操作
し、搬送ロボットのブレード50に載置されたウェハW
をサセプタ22の凹部26の直上位置に配置する。次い
で、リフト機構34の駆動装置42を制御してリフトリ
ング32を上昇させる。この時、搬送ロボットのブレー
ド50はリフトリング32の開放部分に位置しているた
め(図2参照)、リフトリング32の上昇を妨げること
はない。リフトリング32がブレード50よりも高い位
置まで上昇すると、ウェハWはブレード50からリフト
リング32のリフト部片36に載り移り、3点でウェハ
Wは支持される(図3の(b)参照)。リフト部片36
の上面は、前述したように内側に向かって下方に傾斜し
ているため、リフト部片36が接する部分はウェハWの
外周下縁のみとなる。このリフト部片36の傾斜は、ウ
ェハWの水平方向の移動を抑制する機能も果たす。ま
た、各リフト部片36の上面は凸状に湾曲されているた
め、一点でのみウェハWに接触する。なお、リフト部片
36とリフトリング32との間には段差が形成されてい
るため、ウェハWの位置ずれはこれによっても防止され
るが、何らかの原因によりウェハWが段差を越えること
も起こり得るので、図3において符号52で示すような
突起を設けておくことが好ましい。
【0021】ウェハWがリフトリング32のリフト部片
36により支持されたならば、搬送ロボットのブレード
50をサセプタ22の上方から処理チャンバ12の外部
に移動させ、リフトリング32を下降させる。リフトリ
ング32が溝30内に完全に下降されると、図3の
(a)に示すように、リフト部片36はサセプタ22の
凹部26の傾斜面28よりも下方に位置するので、ウェ
ハWは凹部26の傾斜面28にて支持されることとな
る。この後、上述したエピタキシャル成長プロセスが実
行されることになる。
【0022】ウェハWをサセプタ22から持ち上げ、搬
送ロボットのブレード50に移載させる場合は、上記と
は逆の手順でリフト機構34及び搬送ロボットを操作す
ればよいことは、容易に理解されよう。
【0023】図6は、本発明の第2実施形態に係るウェ
ハ支持装置114を示すものである。この第2実施形態
において、第1実施形態と同一又は相当部分には同一符
号を付し、その詳細な説明は省略する。第2実施形態の
ウェハ支持装置114におけるリフト機構134は、C
形リフトリング132の上に3つの爪部材133を備え
ている。爪部材133の位置するリフトリング132の
部位には、爪部材133が置かれる凹部が形成されてお
り、爪部材133がこの凹部に嵌合した状態(図6の
(a))では、第1実施形態のリフトリング32と実質
的に同じ形状をなす。また、爪部材133はリフト部片
36の隣接位置に配置されている。従って、爪部材13
3は、リフト部片36と同数の3個である。
【0024】リフトリング132には、リフトピン48
の上端が接する位置に貫通孔60が形成されている。こ
の貫通孔60は、リフトピン48の上端部に形成された
鍔部62を受け入れるが、リフトピン48により持ち上
げられ得るよう、その上端部には内向きフランジ64が
形成されている。
【0025】一方、爪部材133には、対応の位置に座
ぐり穴66が形成されている。この座ぐり穴66の内径
は、リフトピン48の上端の外径と実質的に同じであ
る。更に、座ぐり穴66を囲む下面の部分には、円筒状
突起68が形成されている。この円筒状突起68は、爪
部材133をリフトリング132に重ねた際に、リフト
リング133の貫通孔60に嵌合されるようになってい
る。
【0026】このような構成において、リフトピン48
を下げた状態では、図6の(a)に示すように、爪部材
133はリフトリング132に重なり合い、図3の
(a)と実質的に同じ状態となる。リフトピン48を上
昇させると、爪部材133の円筒状突起68がリフトピ
ン48の鍔部62により先ず押し上げられる。これによ
り、爪部材133のみが上昇し、リフトリング133か
ら分離する。この状態においては、リフト部材36の上
面と爪部材133の上面との間の段差がより大きくな
り、ウェハWの水平方向の移動を防止する効果が増大す
る。よって、図3に示すような突起52を設ける必要が
なくなる。更にリフトピンを上昇させると、リフトピン
48の鍔部62が貫通孔60のフランジ64の下面に接
し、爪部材133とリフトリング132とが一体的に上
昇する。この他の作用については第1実施形態と同様で
ある。
【0027】以上、本発明の好適な実施形態について述
べたが、本発明は上記実施形態に限定されないことは言
うまでもない。例えば、上記実施形態の半導体製造装置
はエピタキシャル成長装置であるが、他の処理を行うも
の、例えばCVD装置等にも本発明は適用可能である。
また、前記リフト部片はC形リフトリングに形成する必
要はなく、例えば、各リフトピン48の上端にリフト部
片を直接設ける等の構成が考えられる。
【0028】
【発明の効果】以上述べたように、本発明によれば、ウ
ェハをサセプタ等のウェハ支持本体に支持させ、或いは
その逆にウェハ支持本体から持ち上げる場合、ウェハは
その外周下縁のみで支持された状態で上下されるので、
ウェハの裏面に傷が付くことはない。なお、本発明のウ
ェハ支持装置であっても、ウェハの外周下縁に傷が付く
可能性はあるが、この部分での傷は特に問題となること
はない。
【0029】また、リフト部片の上面は外側ほど高くな
る傾斜面となっているため、ウェハの水平方向の位置ず
れを防止することができ、ウェハ支持本体の所定位置に
精度よくウェハを配置、支持させることができる。
【図面の簡単な説明】
【図1】本発明のウェハ支持装置が適用可能なエピタキ
シャル成長装置を概略的に示す説明図である。
【図2】本発明の第1実施形態に係るウェハ支持装置の
平面図である。
【図3】図2のIII−III線に沿っての断面図であり、
(a)はウェハをサセプタ上で支持した状態を示し、
(b)はウェハをサセプタ上から持ち上げた状態を示す
図である。
【図4】図2のIV−IV線に沿っての断面図である。
【図5】図2のV−V先に沿っての端面図である。
【図6】本発明の第2実施形態に係るウェハ支持装置を
示す図であり、図3と同様な断面図である。
【符号の説明】
10…半導体製造装置、12…処理チャンバ、14,1
14…ウェハ支持装置、22…サセプタ(ウェハ支持本
体)、26…凹部(支持エリア)、32,132…リフ
トリング、34,134…リフト機構、36…リフト部
材、133…爪部材。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 高木 庸司 千葉県成田市新泉14−3野毛平工業団地内 アプライド マテリアルズ ジャパン 株式会社内 Fターム(参考) 5F031 CA02 DA13 EA01 KA03 KA07 MA28 PA20 PA30 5F045 AB02 AC05 AF03 DP04 EK12 EM02 EM09 EM10 EN04

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】 半導体製造装置の処理チャンバ内に設け
    られ、ウェハを支持するための支持エリアを上面に有す
    るウェハ支持本体と、 前記ウェハ支持本体の前記支持エリアの外側から前記支
    持エリアの内側に延び、内側に向かって下方に傾斜する
    傾斜面を上面に有し、且つ、前記ウェハ支持本体の前記
    上面よりも下側の位置と上側の位置との間で上下動可能
    となっている複数のリフト部片と、を備えるウェハ支持
    装置。
  2. 【請求項2】 前記リフト部片は、前記支持エリアの外
    側に配置された円弧状のリフトリングの内周縁に一体的
    に形成されている請求項1に記載のウェハ支持装置。
  3. 【請求項3】 前記リフトリングは、前記リフト部片に
    隣接する位置に上下動可能に配置された爪部材を有して
    おり、前記リフトリングを持ち上げた状態とした場合、
    前記爪部材が前記リフトリングから分離して更に持ち上
    げられるようになっている請求項2に記載のウェハ支持
    装置。
  4. 【請求項4】 前記リフト部片の上面の、前記支持エリ
    アの周方向に沿っての断面形状が、上方に凸状に湾曲し
    ている請求項1〜3のいずれか1項に記載のウェハ支持
    装置。
JP31067598A 1998-10-30 1998-10-30 半導体製造装置におけるウェハ支持装置 Expired - Fee Related JP3234576B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP31067598A JP3234576B2 (ja) 1998-10-30 1998-10-30 半導体製造装置におけるウェハ支持装置
TW088118721A TW444242B (en) 1998-10-30 1999-10-28 Wafer support unit in semiconductor manufacturing apparatus
PCT/JP1999/006043 WO2000026961A1 (fr) 1998-10-30 1999-10-29 Porte-plaquettes utilise dans un dispositif de fabrication de semi-conducteurs
KR1020017005120A KR20010080315A (ko) 1998-10-30 1999-10-29 반도체 제조장치에 있어서의 웨이퍼 지지장치
US09/830,613 US6676759B1 (en) 1998-10-30 1999-10-29 Wafer support device in semiconductor manufacturing device
EP99951164A EP1139416A1 (en) 1998-10-30 1999-10-29 Wafer support device in semiconductor manufacturing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP31067598A JP3234576B2 (ja) 1998-10-30 1998-10-30 半導体製造装置におけるウェハ支持装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2001174505A Division JP2002057210A (ja) 2001-06-08 2001-06-08 ウェハ支持装置及び半導体製造装置

Publications (2)

Publication Number Publication Date
JP2000138281A true JP2000138281A (ja) 2000-05-16
JP3234576B2 JP3234576B2 (ja) 2001-12-04

Family

ID=18008109

Family Applications (1)

Application Number Title Priority Date Filing Date
JP31067598A Expired - Fee Related JP3234576B2 (ja) 1998-10-30 1998-10-30 半導体製造装置におけるウェハ支持装置

Country Status (6)

Country Link
US (1) US6676759B1 (ja)
EP (1) EP1139416A1 (ja)
JP (1) JP3234576B2 (ja)
KR (1) KR20010080315A (ja)
TW (1) TW444242B (ja)
WO (1) WO2000026961A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010157581A (ja) * 2008-12-26 2010-07-15 Sumco Corp 気相成長装置
JP2010267894A (ja) * 2009-05-18 2010-11-25 Panasonic Corp プラズマ処理装置及びプラズマ処理装置におけるトレイの載置方法
KR101322342B1 (ko) 2005-10-31 2013-10-28 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버용 타겟 및 프로세스 키트
JPWO2016174860A1 (ja) * 2015-04-27 2017-09-07 株式会社Sumco サセプタ、エピタキシャル成長装置、及びエピタキシャルウェーハ

Families Citing this family (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
WO2004038777A1 (ja) * 2002-10-24 2004-05-06 Tokyo Electron Limited 熱処理装置
US7311784B2 (en) * 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US20050176252A1 (en) * 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US8365682B2 (en) * 2004-06-01 2013-02-05 Applied Materials, Inc. Methods and apparatus for supporting substrates
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
JP4849829B2 (ja) * 2005-05-15 2012-01-11 株式会社ソニー・コンピュータエンタテインメント センタ装置
US7141836B1 (en) * 2005-05-31 2006-11-28 International Business Machines Corporation Pixel sensor having doped isolation structure sidewall
KR100793006B1 (ko) * 2006-06-30 2008-01-08 호서대학교 산학협력단 비접촉식 웨이퍼 지지장치
US20100185085A1 (en) * 2009-01-19 2010-07-22 James Hamilton Dynamic ultrasound processing using object motion calculation
JP5038073B2 (ja) * 2007-09-11 2012-10-03 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
JP6001529B2 (ja) * 2011-03-29 2016-10-05 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5948026B2 (ja) * 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10269614B2 (en) 2014-11-12 2019-04-23 Applied Materials, Inc. Susceptor design to reduce edge thermal peak
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10755955B2 (en) * 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US11201037B2 (en) * 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7134104B2 (ja) * 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200112447A (ko) 2019-03-22 2020-10-05 삼성전자주식회사 에지 링을 갖는 기판 처리 장치
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112563186A (zh) * 2019-09-26 2021-03-26 东京毅力科创株式会社 基片支承器和等离子体处理装置
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN110854008B (zh) * 2019-10-31 2022-06-07 苏州长光华芯光电技术股份有限公司 一种托盘及刻蚀机
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN113728422B (zh) * 2020-03-24 2024-01-09 株式会社日立高新技术 真空处理装置
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
CN111900118B (zh) * 2020-06-19 2023-04-07 中国科学院微电子研究所 晶圆转移机构、半导体制造设备以及晶圆转移方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0295244A (ja) 1988-09-30 1990-04-06 Shimadzu Corp Icp発光分光分析装置の電源装置及び抵抗加熱気化器
JP3107310B2 (ja) * 1988-11-30 2000-11-06 東京エレクトロン株式会社 処理装置
US5061144A (en) * 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
JPH05343506A (ja) * 1992-06-11 1993-12-24 Toshiba Ceramics Co Ltd ウェーハ用チャック
US5643366A (en) 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101322342B1 (ko) 2005-10-31 2013-10-28 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버용 타겟 및 프로세스 키트
JP2010157581A (ja) * 2008-12-26 2010-07-15 Sumco Corp 気相成長装置
JP2010267894A (ja) * 2009-05-18 2010-11-25 Panasonic Corp プラズマ処理装置及びプラズマ処理装置におけるトレイの載置方法
JPWO2016174860A1 (ja) * 2015-04-27 2017-09-07 株式会社Sumco サセプタ、エピタキシャル成長装置、及びエピタキシャルウェーハ

Also Published As

Publication number Publication date
JP3234576B2 (ja) 2001-12-04
WO2000026961A1 (fr) 2000-05-11
EP1139416A1 (en) 2001-10-04
US6676759B1 (en) 2004-01-13
KR20010080315A (ko) 2001-08-22
TW444242B (en) 2001-07-01

Similar Documents

Publication Publication Date Title
JP3234576B2 (ja) 半導体製造装置におけるウェハ支持装置
JP2001313329A (ja) 半導体製造装置におけるウェハ支持装置
KR100603970B1 (ko) 반도체 공정장비용 기판 이송시스템
JP4592849B2 (ja) 半導体製造装置
JP2012182464A (ja) 基板支持組立体のためのシリコンカーバイドスリーブ
JP2002151412A (ja) 半導体製造装置
JP2004119859A (ja) サセプタ、半導体ウェーハの製造装置及び製造方法
JP3357311B2 (ja) 半導体製造装置におけるウェハ支持装置
JP2001210597A (ja) 半導体製造装置及び半導体装置の製造方法
JP2002057210A (ja) ウェハ支持装置及び半導体製造装置
JPH09199437A (ja) 半導体ウェーハ支持装置
JP2001127143A (ja) 基板支持装置
JP5464068B2 (ja) エピタキシャル成長装置における内部部材の位置調整方法
JP2005197380A (ja) ウェーハ支持装置
JP2009135258A (ja) サセプターサポートシャフト及びエピタキシャル成長装置
JP2000269137A (ja) 半導体製造装置及びウェハ取扱方法
JP6551335B2 (ja) サセプタサポートシャフト及びエピタキシャル成長装置
JP2003332408A (ja) クランプ機構及び処理装置
JP2005235906A (ja) ウェーハ保持具及び気相成長装置
JP2001024047A (ja) 基板支持装置
JP7257916B2 (ja) 気相成長装置の基板搬送機構
KR101436059B1 (ko) 반도체 제조 장치 및 방법
JP2002151567A (ja) ウェハ搬送装置及びこれを用いた半導体製造装置
KR20050089719A (ko) 기판 지지 장치
JPS6074545A (ja) ウエハの着脱方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20010409

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010910

LAPS Cancellation because of no payment of annual fees