DE60131695T2 - Aktiv gekühlte Verteilungsplatte zur Temperaturreduzierung der reaktiven Gase in einem Plasmabehandlungssystem - Google Patents

Aktiv gekühlte Verteilungsplatte zur Temperaturreduzierung der reaktiven Gase in einem Plasmabehandlungssystem Download PDF

Info

Publication number
DE60131695T2
DE60131695T2 DE60131695T DE60131695T DE60131695T2 DE 60131695 T2 DE60131695 T2 DE 60131695T2 DE 60131695 T DE60131695 T DE 60131695T DE 60131695 T DE60131695 T DE 60131695T DE 60131695 T2 DE60131695 T2 DE 60131695T2
Authority
DE
Germany
Prior art keywords
coolant passages
cooling medium
processing system
plasma processing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60131695T
Other languages
English (en)
Other versions
DE60131695D1 (de
Inventor
David William Olney Kinnard
Daniel Brian Westminster Richardson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Axcelis Technologies Inc
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of DE60131695D1 publication Critical patent/DE60131695D1/de
Application granted granted Critical
Publication of DE60131695T2 publication Critical patent/DE60131695T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

  • Die vorliegende Erfindung betrifft im Allgemeinen das Gebiet von Halbleiter-Plasmaverarbeitungssystemen, wie etwa Photolackverascher und im Besonderen eine aktiv gekühlte Verteilungsplatte zur Temperaturreduzierung der reaktiven Gase zur Benutzung in derartigen Systemen.
  • ALLGEMEINER STAND DER TECHNIK
  • Bei der Herstellung von integrierten Schaltkreisen werden Photolithographietechniken verwendet, um integrierte Schaltkreismuster auf einem Substrat, wie etwa einem Siliziumwafer, zu bilden. Normalerweise ist das Substrat mit einem Photolack beschichtet, von dem Bereiche durch eine Maske einer ultravioletten (UV) Strahlung ausgesetzt werden, um ein gewünschtes Schaltkreismuster auf dem Photolack abzubilden. Die Bereiche des Photolacks, die unbelichtet von der UV-Strahlung bleiben, werden durch eine Verarbeitungslösung entfernt, wobei nur noch die belichteten Bereiche auf dem Substrat zurück bleiben. Diese verbliebenen belichteten Bereiche werden während eines Photostabilisierungsprozesses gebacken, um zu ermöglichen, dass der Photolack dem nachfolgenden Verarbeiten standhält.
  • Nach einem derartigen Verarbeiten, in welchem die Bestandteile des integrierten Schaltkreises gebildet werden, ist es im Allgemeinen notwendig, den gebackenen Photolack von dem Wafer zu entfernen. Außerdem müssen Rückstände, die auf der Substratoberfläche durch Prozesse wie etwa Ätzen eingebracht wurden, entfernt werden. Normalerweise wird der Photolack „verascht" oder „verbrannt" und der veraschte oder verbrannte Photolack wird zusammen mit den Rückständen von der Oberfläche des Substrats „gestrippt" oder „abgeräumt".
  • Eine Art des Entfernens von Photolack und Rückständen geschieht durch schnelles Erwärmen des mit Photolack beschichteten Substrats durch Infrarotbestrahlung in einer Vakuumkammer auf eine vorgegebene Temperatur und Lenken eines durch Mikrowellen erregten reaktiven Plasmas gegen die erwärmte Substratoberfläche. In dem sich ergebenden Photolackveraschungsprozess, in welchem das reaktive Plasma mit dem Photolack reagiert, fügen die heißen reaktiven Gase durch Konvektion Wärme an die Oberfläche des Substrats hinzu. Wärmeenergie in der Größenordnung von 100 Milliwatt pro Quadratzentimer (mW/cm2) wird dem Wafer ebenfalls als Folge der Oberflächenreaktion hinzugefügt. Übermäßige Wärme auf der Oberfläche des Wafers kann Vorrichtungen oder Bereiche davon, die auf oder in dem Wafer gebildet wurden, beschädigen. Außerdem kann übermäßige Wärme auf der Oberfläche des Wafers ein Brechen des Photolacks, beispielsweise während Waferveraschungsprozessen durch hochdichte Ionenimplantation (HDII), verursachen.
  • Ein Reduzieren der Temperatur des Veraschungsprozesses in der Kammer wird die Reaktionsrate abschwächen und daher die dem Wafer durch die Oberflächenreaktion zugefügte Wärmemenge. Allerdings wird die Gastemperatur, die eine Funktion der Gasmischung und der aufgebrachten Mikrowellenleistung ist, von der reduzierten Prozesstemperatur unbeeinflusst bleiben. Das Problem wird erschwert, wenn der Prozess einen Reaktionskatalysator aufweist, wie etwa Tetrafluorkohlenstoff (CF4), der dazu neigt, die Reaktionsrate aufgrund der erhöhten Produktion von atomarem Sauerstoff zu erhöhen. Als Folge davon führt der katalysatorunterstützte Prozess zu Gasen mit höheren Temperaturen, selbst bei niedrigeren Prozesstemperaturen.
  • Eine typische Plasmaverarbeitungsvorrichtung wird in der US-Patentschrift Nr. 5,449,410 von Chang et al. gezeigt, wobei eine Aluminium-Ablenkplatte oder ein Duschkopf zum Verteilen von Gas in einer Plasmakammer vorgesehen ist. Allerdings wird kein Mittel zum Steuern der Gastemperatur gezeigt. Demgemäß wird die Vorrichtung unter den nachteili gen Auswirkungen von Gasen mit hoher Temperatur leiden, wie oben beschrieben.
  • US 6,217,703 B offenbart eine Plasmaverarbeitungsvorrichtung, die ein gekühltes Metallelement mit Öffnungen, durch welche Teilchen des Plasmas durchgehen, aufweist. WO 96/13621 offenbart eine Plasmavorrichtung, deren Wände mit Kühlmitteldurchgängen vorgesehen sind.
  • Außerdem, da einzelne Wafer in einer serienmäßigen Weise durch bekannte Einzelwafer-Verarbeitungskammern verarbeitet werden, weisen Systeme, wie das in der US-Patentschrift Nr. 5,449,410 gezeigte, ein Phänomen auf, das als der „erster-Wafer-Effekt" bekannt ist, der sich auf ein zusätzliches Erwärmen von Wafern, das indirekt durch das Erwärmen des erstverarbeiteten Wafers verursacht wird, bezieht. Insbesondere strahlen nach Beendigung des Verarbeitens des ersten Wafers die erwärmten verarbeiteten Wafer und die Seitenwände der Verarbeitungskammern Wärme in Richtung der Gasverteilungsablenkplatte ab (normalerweise aus Quarz hergestellt). Die erwärmte Quarzplatte sorgt dann indirekt für einen zusätzlichen Erwärmungsmechanismus für nachfolgende Wafer, die in der Kammer verarbeitet werden. Als Folge davon weisen der erste und die nachfolgenden durch das System verarbeitete Wafer Prozessungleichmäßigkeiten auf.
  • Noch ein weiteres Problem mit bekannten Ablenkplatten ist, dass sich Wärmegefälle über die Oberfläche der Ablenkplatte hinweg entwickeln. Da solche Ablenkplatten normalerweise aus Quarz hergestellt sind und aufgrund ihrer Fähigkeit, hohen Prozesstemperaturen standzuhalten, neigen sie dazu schlechte Wärmeleitfähigkeit sowie unerwünschte Charakteristiken zur Aufnahme von infraroten (IR) Wellenlängen aufzuweisen. Außerdem kann es schwierig sein, die Temperatur der Ablenkplatte ohne Mittel zum Senken oder Ableiten der aufgenommenen Strahlungsenergie zu steuern. Als Folge davon werden Prozessgleichmäßigkeit und Systemdurchsatzleistung nachteilig beeinträchtigt.
  • Es ist daher wünschenswert einen Mechanismus zum Reduzieren der in dem Waferverarbeitungssystem verwendeten Gase, wie etwa einen Photolackverascher, vorzusehen, um eine Beschädigung des Wafers während des Veraschungsprozesses zu vermeiden. Es ist weiterhin wünschenswert, die Temperatur der erforderlichen reaktiven Gase durch Niedertemperaturprozesse zu reduzieren, indem darin ein Kühlungsmittel in eine Gasverteilungs- oder Ablenkplatte aufgenommen wird. Es ist ebenfalls wünschenswert, die Prozessgleichmäßigkeit von Wafer zu Wafer in solchen Prozessen zu verbessern, indem durch den „erster-Wafer-Effekt" verursachtes zusätzliches Erwärmen ausgeschlossen wird. Es ist wünschenswert einen Mechanismus zum Vorsehen eines relativ flachen Temperaturprofils über die Oberfläche der Gasverteilungs- oder Ablenkplatte vorzusehen, um dadurch eine Prozessgleichmäßigkeit für sowohl hohe als auch niedrige Temperaturen innerhalb des Wafers zu verbessern.
  • KURZDARSTELLUNG DER ERFINDUNG
  • Gemäß der vorliegenden Erfindung ist ein Plasmaverarbeitungssystem vorgesehen, das umfasst: (i) einen Plasma-Generator; (ii) eine Verarbeitungskammer, die einen inneren Verarbeitungshohlraum aufweist, die mit dem Plasma-Generator derart in Verbindung ist, dass Plasma innerhalb des Generators in den Hohlraum übergehen und mit der Oberfläche eines sich darin befindlichen Substrats reagieren kann; wobei die Verarbeitungskammer Wände umfasst, welche mindestens teilweise den Hohlraum definieren, wobei die Wände mit ersten Kühlmitteldurchgängen zum Reduzieren einer dortigen Betriebstemperatur versehen sind, wobei die Verarbeitungskammer eine untere Ablenkplatte und eine im Allgemeinen ebene, obere Ablenkplatte, die an der unteren Ablenkplatte befestigt und von ihr beabstandet ist, umfasst, wobei die obere Ablenkplatte mit Öffnungen versehen ist, und umfassend eine ungelochte Platte, die auf den Öffnungen in einem Mittenbereich der oberen Ablenkplatte aufliegt und sie abdeckt; (iii) einen Kühlmediumeinlass und einen Kühlmediumauslass, die mit den ersten Kühlmitteldurchgängen verbunden sind, um den Kreislauf eines Kühlmediums durch die ersten Kühldurchgänge zu erlauben; und (iv) eine Wärmestrahleranordnung zum Erwärmen des Substrats, wobei sich die ersten Kühlmitteldurchgänge im Innern der Wände befinden, und wobei die untere Ablenkplatte umfasst: (a) Öffnungen darin, um dem Plasma zu erlauben, dort durchzugehen; (b) zweite Kühlmitteldurchgänge zum Aufnehmen eines Flusses eines Kühlmediums, um eine Betriebstemperatur der unterer Ablenkplatte zu reduzieren; und (c) einen Kühlmediumeinlass und einen Kühlmediumauslass, die mit den zweiten Kühlmitteldurchgängen verbunden sind, um dort hindurch den Kreislauf eines Kühlmittels zu erlauben.
  • Vorzugsweise bestehen die Kammerwände und die Gasverteilungs- oder Ablenkplatte aus Aluminium und die Kühlmitteldurchgänge sind direkt darin eingearbeitet. Das Kühlmedium kann entweder eine Flüssigkeit (z. B. Wasser) oder ein Gas (z. B. Helium oder Stickstoff) sein.
  • Die Ablenkplatte umfasst einen im Allgemeinen ebenen, gelochten Gasverteilungs-Mittenbereich, der von einem Flansch umgeben ist, wobei sich die Kühlmitteldurchgänge in beide erstrecken können. Die Kühlmitteldurchgänge in den Kammerwänden sowie diejenigen in der Gasverteilungs- oder Ablenkplatte können miteinander in Verbindung stehen, um ihnen zu erlauben, ein einzelnes Kühlmittelkreislaufsystem zu teilen. Alternativ können die Kühlmitteldurchgänge in den Kammerwänden und diejenigen in den Gasverteilungs- oder Ablenkungsplatten nicht miteinander in Verbindung stehen, um so für beide unabhängige Kreislaufsysteme (Gas oder Flüssigkeit) vorzusehen, wodurch deren unabhängige Temperatursteuerung und getrennte Flusssteuerung ermöglicht wird.
  • Im Betrieb wird das Kühlmedium in den Kühlmitteldurchgängen der Kammerwand innerhalb des Bereichs von 15°C bis 80°C gehalten.
  • Damit die vorliegende Erfindung leichter verstanden wird, werden bestimmte Ausführungsformen davon nun mit Bezug auf die begleitenden Zeichnungen beschrieben.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1 ist eine Schnittansicht eines Photolackveraschers, in welchem als ein Beispiel eine Ablenkplatte aufgenommen ist;
  • 2 ist eine teilweise ausgeschnittene, perspektivische Ansicht des Beispiels der Ablenkplatte von 1;
  • 3 ist eine teilweise ausgeschnittene, perspektivische Ansicht einer Kammeranordnung eines Photolackveraschers, in welcher eine Ausführungsform einer Ablenkplattenanordnung aufgenommen ist, die gemäß der vorliegenden Erfindung aufgebaut ist;
  • 4 ist eine teilweise ausgeschnittene, perspektivische Ansicht einer unteren Ablenkplatte der Ausführungsform der Ablenkplattenanordnung von 3;
  • 5 ist eine Draufsicht der in 3 gezeigten Ablenkplattenanordnung,
  • 6 ist eine Schnittansicht der Ablenkplattenanordnung von 5 entlang der Schnittlinie 6-6; und
  • 7 ist eine Schnittansicht der Ablenkplattenanordnung von 6 entlang der Schnittlinie 7-7.
  • AUSFÜHRLICHE BESCHREIBUNG EINER BEVORZUGTEN AUSFÜHRUNGSFORM
  • Mit Bezug nun auf die Zeichnungen offenbart 1 einen Photolackverascher 10 des Stands der Technik, der einen Gasbehälter 12, eine Mikrowellen-Plasmageneratoranordnung 14, eine Verarbeitungskammer 16, die einen inneren Hohlraum definiert, in dem ein Halbleitersubstrat, wie etwa ein Wafer 18, erwärmt wird, und eine am Boden der Verarbeitungskammer befindliche Wärmestrahleranordnung 20 zum Erwärmen des Wafers 18 umfasst. Ein Temperaturfühler 24, wie etwa ein Thermoelement, wird verwendet, um die Temperatur des Wafers 18 zu überwachen. Eine Vakuumpumpe 26 wird verwendet, um die Verarbeitungskammer 16 für Prozesse, die Vakuumbedingungen erfordern, auszupumpen.
  • Ein Monochromator 28 wird verwendet, um die optischen Emissionscharakteristiken der Gase innerhalb der Kammer zu überwachen, um bei der Bestimmung des Prozessendpunkts zu helfen. Der Wafer 18 wird über einen geeigneten Ladeschleusenmechanismus (nicht gezeigt) durch den Eingangs/Ausgangsdurchgang 30 in die Verarbeitungskammer eingeführt und aus ihr entnommen. Obwohl die vorliegende Erfindung so gezeigt wird, dass sie innerhalb eines Photolackveraschers eingesetzt wird, kann sie ebenfalls in anderen Einrichtungen der Halbleiterherstellung verwendet werden, wie etwa für die Entfernung von Rückständen und bei Reinigungsprozessen.
  • Im Betrieb wird ein gewünschtes Gasgemisch von einem Gasbehälter 12 durch eine Einlassleitung 34 in ein Plasmarohr 32 eingeführt. Das Plasmarohr 32 ist aus Aluminiumoxid oder Saphir hergestellt, um Fluorchemikalien ohne Verätzung oder Zerfall aufnehmen zu können. Die Gase, die das gewünschte Gemisch bilden, werden in getrennten Bevorratungen (nicht gezeigt) gelagert und in dem Glasbehälter 12 durch die Ventile 36 und Verrohrung 38 gemischt. Ein Beispiel einer gewünschten Gasmischung ist das Bilden von Gas (vorrangig Stickstoff mit einem kleinen Prozentsatz an Wasserstoff) und Sauerstoff. Ein Fluor enthaltendes Gas, wie etwa Fluorkohlenwasserstoff (CF4) kann zu dem Gasgemisch hinzu gegeben werden, um die Veraschungsraten für bestimmte Prozesse zu erhöhen.
  • Das gewünschte Gasgemisch wird durch eine Mikrowellen-Plasmageneratoranordnung 14 erregt, um ein reaktives Plasma zu bilden, das den Photolack auf dem Wafer 18 in der Verarbeitungskammer 16 veraschen wird, wenn er durch die Wärmestrahleranordnung 20 erwärmt wird. Eine Magnetfeldröhre 40 erzeugt Mikrowellenenergie, die mit einem Wellenleiter 42 gekoppelt ist. Mikrowellenenergie wird von dem Wellenleiter durch Öffnungen in das Mikrowellengehäuse 44, welches das Plasmarohr 32 umgibt, zugeführt.
  • Ein äußeres Quartz-Kühlrohr 46 umgibt, etwas abgetrennt davon, das Plasmarohr 32. Druckluft wird in den Spalt zwischen den Rohren 32 und 46 zugeführt, um während des Betriebs das Rohr 32 wirkungsvoll zu kühlen. Das Mikrowellengehäuse 44 ist in Abschnitte aufgeteilt, die durch die strichpunktierten Linien 45 angezeigt werden. Die Aufteilung des Gehäuses 44 erlaubt eine gleichmäßige Verteilung der Mikrowellenleistung über die Länge des Aluminiumoxid- oder Saphir-Plasmarohrs hinweg und schützt es vor Überhitzung, indem das Entwickeln eines unzumutbar hohes Wärmegefälles entlang seiner axialen Länge vermieden wird, wenn eine geeignete Eingangsleistung vorgesehen ist. Jeder Abschnitt des Gehäuses 44 wird getrennt mit Mikrowellenenergie, die durch das Quarzrohr 46 und das dort durchlaufende Aluminiumoxid- oder Saphirrohr 32 durchgeht, versorgt.
  • Das Gasgemisch innerhalb des Plasmarohrs 32 ist erregt, um ein Plasma zu erzeugen. Mikrowellenfallen 48 und 50 sind an den Enden des Mikrowellengehäuses 44 vorgesehen, um eine Leckage von Mikrowellen zu vermeiden. Erregtes Plasma (das normalerweise eine Temperatur von ungefähr 150°C aufweist) tritt in die Verarbeitungskammer 16 durch eine Öffnung 51 in deren oberster Wand 52 ein.
  • Zwischen der obersten Wand 52 der Plasmakammer 16 und dem zu verarbeitenden Wafer 18 ist ein Beispiel einer Gasverteilungs(oder Ablenk)-Platte 54 positioniert. Obwohl sie als eine einteilige Ablenkplatte gezeigt wird, ist in Betracht gezogen, dass die Ablenkplatte gemäß der vorliegenden Erfindung die Form einer zweischichtigen Ablenkplattenanordnung 154 (3 bis 7), die eine untere und eine obere Ablenkplatte umfasst, annimmt. In jeder Ausführungsform verteilen die Ablenkplatte 54 (1 bis 2) und die Ablenkplattenanordnung 154 (3 bis 7) das reaktive Plasma gleichmäßig über die Oberfläche des zu verarbeitenden Wafers 18 und sehen Mittel zum Kühlen der Gase innerhalb des Plasmas vor, um die gewünschten Prozessergebnisse zu erreichen.
  • Mit Bezug nochmals auf 1 geht das reaktive Plasma während des Betriebs durch die Ablenkplatte 54 und verascht den Photolack auf dem Wafer 18. Die Wärmestrahleranordnung 20 umfasst mehrere Wolfram-Halogenlampen 58, die sich in einem Reflektor 56 befinden, der die durch die Lampen erzeugte Wärme reflektiert und in Richtung der Rückseite des Wafers 18, der innerhalb der Verarbeitungskammer 16 auf Quarz- oder Keramikstiften 68 positioniert ist, umleitet. Einer oder mehrere Temperaturfühler 72, wie etwa Thermoelemente, sind auf der Innenseite der Seitenwände 53 der Verarbeitungskammer montiert, um eine Anzeige der Wandtemperatur vorzusehen.
  • Die in dem Photolackverascher 10 von 1 gezeigte Ablenkplatte 54, die für den Einsatz in einem 200 Millimeter (mm) Waferverarbeitungssystem entworfen ist, wird ausführlicher in 2 gezeigt. Die Ablenkplatte 54 umfasst einen im Allgemeinen ebenen Gasverteilungs-Mitten bereich 74, der in sich die Öffnungen 76 aufweist und der von einem Flansch umgeben ist. Der Flansch 78 umgibt den Mittenbereich und sitzt zwischen der Seitenwand 53 der Verarbeitungskammer und der obersten Wand 52 (siehe 1). Die Dichtungen 79, beziehungsweise 81, sehen luftdichte Verbindungen zwischen dem Flansch 78 und der Seitenwand 53 vor, sowie zwischen dem Flansch 78 und der obersten Wand 52. Die Dichtungen 79 und 81 befinden sich in den Nuten 83 beziehungsweise 85, die in dem Flansch 78 (siehe 2) angeordnet sind. Der Flansch 78 sieht ebenfalls Montagelöcher 84 zum Montieren an die oberste Wand 52 und die Seitenwand 53 vor.
  • Der gelochte Mittenbereich 74 der Ablenkplatte 54 ist mit inneren Kühlmitteldurchgängen 80 vorgesehen, die mit dem Kühlmitteleinlass 82 und -auslass 86 verbunden sind. Die Kühlmitteldurchgänge 80 reduzieren die Betriebstemperatur der Ablenkplatte 54 und erstrecken sich um deren Mittenbereich 74 in einer Anordnung, die eine Überschneidung mit jeglichen Öffnungen 76 vermeidet. Es wird Wasser als das Kühlmedium verwendet, obwohl andere Flüssigkeiten (z. B. Öl) oder Gase (z. B. Helium oder Stickstoff) mit einer hohen Wärmekapazität in Betracht gezogen werden. Wenn Gase durch die Öffnungen 76 durchgehen wirkt die gekühlte Ablenkplatte als ein Wärmetauscher, um Wärme von den reaktiven Gasen abzuführen und dadurch deren Temperatur zu reduzieren. Die Ablenkplatte 54 minimiert ebenfalls mobile Innenverunreinigung, die möglicherweise eine Beschädigung der Wafervorrichtung verursachen kann, wie beispielsweise ein Abschwächen der dielektrischen Stärke von Gateoxiden.
  • Die Ablenkplatte kann aus einem einzelnen Stück von niedrig legiertem, eloxierten Aluminium (z. B. Alcoa Typ C-276) gebildet werden, welches die Wärmeübertragungscharakteristiken der Ablenkplatte gegenüber bekannten Quarz-Ablenkplatten beträchtlich verbessert. Die Verwendung von Aluminium lässt ebenfalls zu, dass die Kühlmitteldurchgänge direkt darin gebohrt oder eingearbeitet werden können. Dies macht die Ablenkplatte weniger empfindlich gegen Unregelmäßigkeiten im Reflektorheizsystem und schädliche Erwärmung durch den Wafer und erlaubt einen Betrieb bei einer im Wesentlichen gleichmäßigen Temperatur.
  • Die Verwendung von Aluminium blockiert ebenfalls einen hohen Prozentsatz von ultravioletter (UV) Energie, die von dem Plasmarohr ausgeht und die ansonsten die Temperatursteuerung schwieriger machen würde und möglicherweise Beschädigung der Wafervorrichtung verursachen könnte. Ein Betreiben bei einer gleichmäßigen Oberflächentemperatur und das Minimieren des Einwirkens einer UV-Strahlung sorgt gegenüber bekannten Quarz-Ablenkplatten für eine beträchtliche Verbesserung der Gleichmäßigkeit der Reaktionsrate über die Oberfläche des Wafers hinweg. Außerdem schließt das Erhalten einer gleichbleibenden Ablenkplattentemperatur die „erster-Wafer-Effekte" aufgrund von schädlicher Erwärmung der Ablenkplatte aus, wenn nachfolgende Wafer in der Verarbeitungskammer untergebracht werden und durch das Wärmestrahlersystem auf Prozesstemperatur erwärmt werden.
  • 3 zeigt eine Ausführungsform der Erfindung, in welcher die Ablenkplatte die Form der Ablenkplattenanordnung 154 einnimmt, welche für den Einsatz in einem 300 Millimeter (mm) Waferverarbeitungssystem entworfen ist. 3 ist eine teilweise ausgeschnittene, perspektivische Ansicht einer 300 mm Photolackverascher-Kammeranordnung 100 (gezeigt mit einer zugehörigen Wärmestrahleranordnung), in welcher diese Ablenkplattenanordnung 154 eingesetzt ist. Die Ablenkplattenanordnung 154 umfasst eine im Allgemeinen ebene obere Ablenkplatte 155 und eine untere Ablenkplatte 157, die im Allgemeinen parallel zueinander und getrennt voneinander positioniert sind. Die Anordnung 154 wird befestigt an der 300 mm Verarbeitungskammer 116 gezeigt. Die obere und untere Ablenkplatte 155, beziehungsweise 157, sind mit Öffnungen 175 und 176 versehen. Die Öffnungen 175 in der oberen Ablenkplatte sind etwas größer als die Öffnungen 176 in der unteren Ablenkplatte. Eine Anschlussöffnung 128 der Verarbeitungskammer ist für entweder eine Vakuumpumpe oder einen Monochromator vorgesehen.
  • In dieser Ausführungsform der Erfindung können sowohl die Ablenkplattenanordnung 154 als auch die Verarbeitungskammer 116 mit einem aktiven Kühlungsmechanismus vorgesehen werden. In Bezug auf die Verarbeitungskammer sind innere Kühlmittelkanäle 156 in deren Seitenwände 153 vorgesehen. Die Kühlmediumeinlässe 158, beziehungsweise 160, sind vorgesehen, um Eintritt eines Kühlmediums, wie etwa Wasser, und Austritt aus den Kühlmittelkanälen zuzulassen. Die Kammerseitenwände 153 sind vorzugsweise aus einem niedrig legierten, eloxierten Aluminium (z. B. Alcoa Typ C-276) gebildet, welches zulässt, dass die Kühlmitteldurchgänge 156 direkt darin gebohrt oder eingearbeitet werden können, wodurch die Seitenwände weniger empfindlich gegen Unregelmäßigkeiten in dem Reflektorheizsystem gemacht werden und einen Betrieb bei einer im Wesentlichen gleichmäßigen Prozesstemperatur erlauben.
  • Obwohl die untere Ablenkplatte 157 mit aktiver Kühlung vorgesehen ist, wie weiter unten erklärt, ist die obere Ablenkplatte 155 nicht mit einem aktiven Kühlungsmechanismus vorgesehen. Die obere Ablenkplatte 155 umfasst lediglich eine solide gelochte Quarzplatte, die an der unteren Platte durch Stifte 161 an den Befestigungspunkten 159 (siehe 4 und 6) befestigt ist. Die obere Ablenkplatte, die saphirbeschichtet sein kann, wirkt, um einen Teil des gasförmigen Plasmas, der nicht durch ihre Öffnungen 175 radial nach außen durchgeht, abzulenken, um so den radial nach innen gerichtet Teil des zu verarbeitenden Wafers 18 vor dem Überhitzen zu bewahren und eine Gleichmäßigkeit der Reaktionsrate zu fördern. Eine ungelochte Saphirplatte 177 (5 und 6) bedeckt den Mittenbereich der oberen Ablenkplatte 155.
  • Der durch die untere Ablenkplatte 157 vorgesehene aktive Kühlungsmechanismus wird deutlicher in seiner teilweise ausgeschnittenen, perspektivischen Ansicht in 4 gezeigt. Die untere Ablenkplatte 157 umfasst einen im Allgemeinen ebenen Gasverteilungs-Mittenbereich 174, der darin die Öffnungen 176 aufweist und von einem Flansch umgeben ist. Der Flansch 178 sieht die Oberfläche vor, an der eine oberste Wand 181 der Verarbeitungskammer 116 unter Verwendung der Montagelöcher 184 befestigt werden kann. Die Dichtung 179 sieht eine luftdichte Verbindung zwischen dem Flansch 178 und der Seitenwand 153 (3) vor. Die Dichtung 179 befindet sich in einer Nut 183 im Flansch 178 (4).
  • Der gelochte Mittenbereich 174 der Ablenkplatte 157 ist mit inneren Kühlmitteldurchgängen 180 vorgesehen, die mit dem Kühlmediumeinlass 182 und -auslass 186 (3) verbunden sind. Wie in den 4 und 6 gezeigt, können sich die Kühlmitteldurchgänge 180 von dem Flansch 178 in und um den Mittenbereich 174 in einer Anordnung erstrecken, die eine Überschneidung mit jeglichen Öffnungen 176 vermeidet. Eine der bevorzugten Anordnungen wird in 7 gezeigt.
  • Weiterhin können sich die Kühlmittelkanäle ebenfalls in die oberste Wand der Verarbeitungskammer erstrecken. Diese getrennten Kühlungsuntersysteme dieser strukturellen Bauteile (d. h. Ablenkplatte, Seitenwände und oberste Wand) wirken, um deren Betriebstemperaturen zu reduzieren. Die Kühlungsuntersysteme können entweder ein einzelnes Gas- oder Flüssigkeitskühlmittel-Kreislaufsystem teilen oder können mit unabhängigen Kreislaufsystemen (Gas oder Flüssigkeit) vorgesehen werden, um so dafür eine unabhängige Temperatursteuerung und getrennte Flusssteuerung vorzusehen. Zudem, in Ausführungsformen der Erfindung, in denen ebenfalls aktive Kühlung der Seitenwände und der obersten Wand vorgesehen sind, können die Wafer durch Konstanthalten dieser Kammeroberflächen bei zwischen 15°C bis 30°C (gerade über dem Taupunkt) ausreichend kühl gehalten werden, um ein Brechen des Photolacks, beispielsweise während der Waferveraschungsprozesse durch hochdichte Ionenimplantation (HDII), zu verhindern.
  • Die Kühlmitteldurchgänge minimieren das räumliche Temperaturgefälle über die Oberfläche der unteren Ablenkplatte 157 hinweg und halten die gesamte Oberfläche der Ablenkplatte auf einer gleichmäßigen Temperatur. Das Kühlmedium, wie etwa Wasser, (gehalten auf z. B. 15°C bis 80°C) fließt über die Einlässe 182 in die Kanäle 180 und fließt über die Auslässe 186 (5 und 7) aus, wobei ein Umlaufsystem für entionisiertes Wasser, das eine Luftkühlanordnung aufweist, verwendet wird. Die Kühlanordnung weist eine Wärmeabfuhrkapazität auf, die selbst während einer schnellen Erwärmung des Wafers größer ist als die Wärmeerzeugungsrate der Verarbeitungskammer.
  • Obwohl in der bevorzugten Ausführungsform Wasser als das Kühlmedium verwendet wird, können abhängig von der erforderlichen Betriebstemperatur der unteren Ablenkplatte andere Flüssigkeiten oder Gase mit hoher Wärmekapazität verwendet werden. Die untere Ablenkplatte kann beispielsweise bei bis zu 250°C betrieben werden, um Prozessrückstände von der Oberfläche der Platte zu entfernen. Diese Rückstände können sich ansonsten verdichten und auf der Oberfläche der unteren Ablenkplatte verbleiben, wenn sie nicht regelmäßig höheren Temperaturen während der Waferverarbeitung ausgesetzt werden. Bei niedrigeren Betriebstemperaturen (z. B. 15°C bis 80°C), wenn die reaktiven Gase durch die Öffnungen 176 durchgehen, wirkt die untere Ablenkplatte 157 als Wärmetauscher, um Wärme von den reaktiven Gasen abzuführen und dabei deren Temperatur zu reduzieren.
  • Die untere Ablenkplatte 157 ist vorzugsweise aus einem einzelnen Stück aus niedrig legiertem, eloxierten Aluminium (z. B. Alcoa Typ C-276) gebildet, welches die Wärmeübertragungscharakteristiken der Ablenkplatte gegenüber bekannten Quarz-Ablenkplatten verbessert. Die Verwendung von Aluminium lässt ebenfalls zu, dass die Kühlmitteldurchgänge direkt darin gebohrt oder eingearbeitet werden können. Dies macht die Ablenkplatte weniger empfindlich gegen Unregelmäßigkeiten in dem Reflektorheizsystem und schädliche Erwärmung durch den Wafer und erlaubt einen Betrieb bei einer im Wesentlichen gleichmäßigen Temperatur.
  • Die Verwendung von Aluminium blockiert ebenfalls einen hohen Prozentsatz von ultravioletter (UV) Energie, die von dem Plasmarohr ausgeht, die ansonsten die Temperatursteuerung schwieriger machen würde und möglicherweise eine Beschädigung der Wafervorrichtung verursachen könnte. Ein Betreiben bei einer gleichmäßigen Oberflächentemperatur und das Minimieren des Einwirkens einer UV-Strahlung sorgt gegenüber bekannten Quarz-Ablenkplatten für eine beträchtliche Verbesserung der Gleichmäßigkeit der Reaktionsrate über die Oberfläche des Wafers hinweg. Außerdem schließt das Erhalten einer gleichbleibenden Ablenkplattentemperatur die „erster-Wafer-Effekte" aufgrund von schädlicher Erwärmung der Ablenkplatte aus, wenn nachfolgende Wafer in der Verarbeitungskammer untergebracht werden und durch das Wärmestrahlersystem auf Prozesstemperatur erwärmt werden.
  • Ein Druckabfall über die untere Ablenkplatte 157 hinweg verteilt, zusätzlich zu dem Erhöhen der Wärmeübergangsrate zwischen dem Gas und den Plattenoberflächen, den Gasfluss über die obere Oberfläche der Platte hinweg. Diese selbe Wirkung reduziert in Kombination mit der oberen Quarzplatte 155 die mobile Innenverunreinigung, die möglicherweise eine Beschädigung der Vorrichtung, wie ein Abschwächen der dielektrischen Stärke von Gateoxiden, verursachen kann. Die Kombination der oberen Quarzplatte 155 und der unteren Aluminiumplatte 157 in der zweischichtigen Ablenkplattenanordnung 154 hat sich als geeignet für eine Verwendung in den korrosiven Bedingungen, wie sie in einer Verarbeitungskammer für die Entfernung von Photolack vorgefunden werden, herausgestellt, selbst wenn Gase, die korrosive Elemente erzeugen, wie etwa CF4, eingesetzt werden.
  • Im Betrieb sind die Systeme 10 (200 mm) und 100 (300 mm) betrieben worden, indem die wassergekühlte Ablenkplatte 157, beziehungsweise die Ablenkplattenanordnung 154, bei maximaler Mikrowellenleistung betrieben wurden, wobei unter diesen Bedingungen die Gastemperaturen auf unterhalb der minimalen erwarteten Prozesstemperatur, normalerweise 80°C, reduziert wurden. Außerdem war es möglich, während des Verarbeitens ein relativ flaches Temperaturprofil über die Oberfläche des Wafers hinweg zu erhalten, was zu einer reduzierten Prozessungleichmäßigkeit aufgrund der Kühlwirkungen des Gases und der Abstrahlung der gekühlten unteren Ablenkplatte führt. Aktives Kühlen der unteren Ablenkplatte reduziert ebenfalls die Wärmebelastung der Ablenkplatte durch den zuerst verarbeiteten Wafer, um die Wafer-zu-Wafer-Prozessgleichmäßigkeit zu verbessern.
  • In einem Beispiel wurde ein Veraschungsprozess bei 270°C durchgeführt, während Wasser bei 30°C durch die untere Ablenkplatte 157 bei einer Durchflussrate von 1,5 Litern pro Minute (0,4 Gallonen pro Minute) floss. Eine Veraschungsrate von 5,59 Mikrometern pro Minute mit einer Ungleichmäßigkeit der Veraschungsrate von 2,25% wurde erreicht. Eine Veraschungsrate von 5,66 Mikrometern pro Minute mit einer Ungleichmäßigkeit der Veraschungsrate von 6,2% über den Wafer hinweg wurde mit einer bekannten nichtaktiv gekühlten Quarz-Ablenkplatte erhalten. Diese Testergebnisse zeigen, dass das Verwenden einer aktiv gekühlten Ablenkplatte für beträchtliche Verbesserungen im Bereich der Prozessgleichmäßigkeit mit minimaler Auswirkung auf Veraschungsraten sorgt.
  • Demgemäß wurde eine bevorzugte Ausführungsform eines Verfahrens und Systems zum Kühlen der reaktiven Gase in einem Plasmaverarbeitungssystem sowie des zu verarbeitenden Wafers beschrieben. Mit der vorangehenden Beschreibung im Blick versteht es sich allerdings, dass diese Beschreibung lediglich beispielhaft ausgeführt wurde, dass die Erfindung nicht auf die hierin beschriebenen, besonderen Ausführungsformen beschränkt ist und dass verschiedene Neuanordnungen, Abänderungen und Austauschungen in Bezug auf die vorangehende Beschreibung durchgeführt werden können, ohne vom Schutzumfang der Erfindung, wie durch die folgenden Ansprüche und ihre Äquivalente definiert, abzuweichen.

Claims (12)

  1. Plasmaverarbeitungssystem (10), umfassend: (i) einen Plasma-Generator (14); (ii) eine Verarbeitungskammer (16), die einen inneren Verarbeitungshohlraum aufweist, die mit dem Plasma-Generator (14) derart in Verbindung ist, dass Plasma innerhalb des Generators in den Hohlraum übergehen und mit der Oberfläche eines sich darin befindlichen Substrats (18) reagieren kann; wobei die Verarbeitungskammer Wände (153) umfasst, welche mindestens teilweise den Hohlraum definieren, wobei die Wände mit ersten Kühlmitteldurchgängen (156) zum Reduzieren einer dortigen Betriebstemperatur versehen sind, wobei die Verarbeitungskammer eine untere Ablenkplatte (157) und eine im Allgemeinen ebene, obere Ablenkplatte (155), die an der unteren Ablenkplatte (157) befestigt und von ihr beabstandet ist, umfasst, wobei die obere Ablenkplatte mit Öffnungen (175) versehen ist, und umfassend eine ungelochte Platte (177), die auf den Öffnungen in einem Mittenbereich der oberen Ablenkplatte (155) aufliegt und sie abdeckt; (iii) einen Kühlmediumeinlass (158) und einen Kühlmediumauslass (160), die mit den ersten Kühlmitteldurchgängen (156) verbunden sind, um den Kreislauf eines Kühlmediums durch die ersten Kühldurchgänge zu erlauben; und (iv) eine Wärmestrahleranordnung (20) zum Erwärmen des Substrats (18), wobei sich die ersten Kühlmitteldurchgänge (156) im Innern der Wände (153) befinden, und wobei die untere Ablenkplatte (157) umfasst: (a) Öffnungen (176) darin, um dem Plasma zu erlauben, dort durchzugehen; (b) zweite Kühlmitteldurchgänge (180) zum Aufnehmen eines Flusses eines Kühlmediums, um eine Betriebstemperatur der unterer Ablenkplatte (157) zu reduzieren; und (c) einen Kühlmediumeinlass (182) und einen Kühlmediumauslass (186), die mit den zweiten Kühlmitteldurchgängen (80) verbunden sind, um dort hindurch den Kreislauf eines Kühlmittels zu erlauben.
  2. Plasmaverarbeitungssystem (10) nach Anspruch 1, wobei die Wände (153) aus niedrig legiertem, eloxiertem Aluminium bestehen und die ersten Kühlmitteldurchgänge (156) in die Wände eingearbeitet sind.
  3. Plasmaverarbeitungssystem (10) nach Anspruch 1, wobei das Kühlmedium eine Flüssigkeit ist.
  4. Plasmaverarbeitungssystem (10) nach Anspruch 3, wobei das Kühlmedium Wasser ist.
  5. Plasmaverarbeitungssystem (10) nach Anspruch 1, wobei das Kühlmedium ein Gas ist.
  6. Plasmaverarbeitungssystem (10) nach Anspruch 5, wobei das Kühlmedium Helium oder Stickstoff ist.
  7. Plasmaverarbeitungssytem (10) nach Anspruch 1, wobei der Mittenbereich der unteren Ablenkplatte (157) einen im Allgemeinen ebenen, gelochten Gasverteilungs-Mittenbereich (174) umfasst, der von einem Flansch (178) umgeben ist, wobei sich die Kühlmitteldurchgänge von dem Flansch aus in den gelochten Mittenbereich erstrecken.
  8. Plasmaverarbeitungssystem (10) nach Anspruch 1, wobei die ersten Kühlmitteldurchgänge (156) und die zweiten Kühlmitteldurchgänge (180) miteinander in Verbindung sind, um ihnen zu erlauben, ein einzelnes Kühlmittelkreislaufsystem zu teilen.
  9. Plasmaverarbeitungssystem (10) nach Anspruch 1, wobei die ersten Kühlmitteldurchgänge (156) und zweiten Kühlmitteldurchgänge (180) nicht in Verbindung miteinander sind.
  10. Plasmaverarbeitungssystem (10) nach Anspruch 9, derart angeordnet, dass, während der Benutzung, das Kühlmedium in den ersten Kühlmitteldurchgängen (156) betreibbar ist, um ungefähr innerhalb des Bereichs von 15°C bis 30°C gehalten zu sein, und das Kühlmedium in den zweiten Kühlmitteldurchgängen (180) ist ungefähr innerhalb des Bereichs von 15°C bis 80°C gehalten.
  11. Plasmaverarbeitungssystem (10) nach Anspruch 1, wobei die untere Ablenkplatte (157) aus niedrig legiertem, eloxiertem Aluminium besteht und die zweiten Kühlmitteldurchgänge (180) darin eingearbeitet sind.
  12. Plasmaverarbeitungssystem (10) nach Anspruch 1, wobei die ersten Kühlmitteldurchgänge (156) ein Kühlmedium enthalten und die untere Ablenkplatte (157) in sich Öffnungen umfasst.
DE60131695T 2000-04-26 2001-04-25 Aktiv gekühlte Verteilungsplatte zur Temperaturreduzierung der reaktiven Gase in einem Plasmabehandlungssystem Expired - Lifetime DE60131695T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US560538 2000-04-26
US09/560,538 US6635117B1 (en) 2000-04-26 2000-04-26 Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system

Publications (2)

Publication Number Publication Date
DE60131695D1 DE60131695D1 (de) 2008-01-17
DE60131695T2 true DE60131695T2 (de) 2008-11-13

Family

ID=24238217

Family Applications (2)

Application Number Title Priority Date Filing Date
DE60131695T Expired - Lifetime DE60131695T2 (de) 2000-04-26 2001-04-25 Aktiv gekühlte Verteilungsplatte zur Temperaturreduzierung der reaktiven Gase in einem Plasmabehandlungssystem
DE60143717T Expired - Lifetime DE60143717D1 (de) 2000-04-26 2001-04-25 Aktiv gekühlte Verteilungsplatte zur Temperaturreduzierung der reaktiven Gase in einem Plasmabehandlungssystem

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE60143717T Expired - Lifetime DE60143717D1 (de) 2000-04-26 2001-04-25 Aktiv gekühlte Verteilungsplatte zur Temperaturreduzierung der reaktiven Gase in einem Plasmabehandlungssystem

Country Status (6)

Country Link
US (2) US6635117B1 (de)
EP (2) EP1770753B1 (de)
JP (1) JP4793528B2 (de)
KR (1) KR100587628B1 (de)
DE (2) DE60131695T2 (de)
TW (1) TW490705B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor

Families Citing this family (434)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
DE10134513A1 (de) * 2001-07-16 2003-01-30 Unaxis Balzers Ag Hebe-und Stützvorichtung
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
US6945054B1 (en) 2002-10-04 2005-09-20 Richard S. Norman Method and apparatus for cooling microelectronic complexes including multiple discrete functional modules
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US6976782B1 (en) 2003-11-24 2005-12-20 Lam Research Corporation Methods and apparatus for in situ substrate temperature monitoring
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
WO2006020424A2 (en) * 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
JP5115798B2 (ja) * 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
JP2006080347A (ja) * 2004-09-10 2006-03-23 Hitachi High-Technologies Corp プラズマ処理装置
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
JP4664119B2 (ja) 2005-05-17 2011-04-06 東京エレクトロン株式会社 プラズマ処理装置
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
KR100738873B1 (ko) 2006-02-07 2007-07-12 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
KR100766440B1 (ko) * 2006-12-22 2007-10-15 엘지전자 주식회사 전자레인지의 히터장치
WO2008114363A1 (ja) * 2007-03-16 2008-09-25 Fujitsu Microelectronics Limited 半導体装置の製造装置、および半導体装置の製造方法
JP2008243937A (ja) 2007-03-26 2008-10-09 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US8500382B2 (en) * 2007-05-22 2013-08-06 Axcelis Technologies Inc. Airflow management for particle abatement in semiconductor manufacturing equipment
US7651269B2 (en) * 2007-07-19 2010-01-26 Lam Research Corporation Temperature probes having a thermally isolated tip
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5230225B2 (ja) * 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
WO2010005933A2 (en) 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
TWI494030B (zh) * 2008-07-07 2015-07-21 Lam Res Corp 供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
EP2151509A1 (de) * 2008-08-04 2010-02-10 Applied Materials, Inc. Verteiler für reaktives Gas, Behandlungssystem für reaktives Gas und Behandlungsverfahren für reaktives Gas
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101037188B1 (ko) 2008-12-17 2011-05-26 세메스 주식회사 플라즈마 처리 장치
JP5479867B2 (ja) * 2009-01-14 2014-04-23 東京エレクトロン株式会社 誘導結合プラズマ処理装置
EP2409324A4 (de) * 2009-03-16 2013-05-15 Alta Devices Inc Waferträgerspur
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
KR101796656B1 (ko) 2010-04-30 2017-11-13 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
JP5373702B2 (ja) * 2010-06-07 2013-12-18 株式会社Sen イオンビームスキャン処理装置及びイオンビームスキャン処理方法
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20120315396A1 (en) * 2011-06-13 2012-12-13 Intermolecular, Inc. Apparatus and method for combinatorial plasma distribution through a multi-zoned showerhead
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US8875728B2 (en) * 2012-07-12 2014-11-04 Siliken Chemicals, S.L. Cooled gas distribution plate, thermal bridge breaking system, and related methods
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP6172660B2 (ja) * 2012-08-23 2017-08-02 東京エレクトロン株式会社 成膜装置、及び、低誘電率膜を形成する方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR20140038070A (ko) * 2012-09-20 2014-03-28 삼성코닝정밀소재 주식회사 가스 분사 장치 및 이에 사용되는 인젝터 파이프
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9748121B2 (en) * 2013-03-05 2017-08-29 Applied Materials, Inc. Thermal coupled quartz dome heat sink
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9322097B2 (en) 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
CN103276371B (zh) * 2013-03-26 2015-12-09 中晟光电设备(上海)有限公司 Cvd设备的进气装置、冷却装置及冷却方法
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9859145B2 (en) * 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101568363B1 (ko) 2014-08-27 2015-11-12 피에스케이 주식회사 기판 처리 장치 및 배플
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
CN106356318B (zh) * 2015-07-16 2019-10-18 中微半导体设备(上海)股份有限公司 一种气体分布扩散板、等离子体处理器
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9899210B2 (en) 2015-10-20 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus and method for manufacturing semiconductor device using the same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11201036B2 (en) * 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113478A1 (en) 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
CN112262228A (zh) * 2018-06-08 2021-01-22 应用材料公司 用于平板处理设备的温控气体扩散器
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN109267037A (zh) * 2018-11-21 2019-01-25 新疆大学 常压等离子体增强化学气相沉积方法及采用该方法的设备
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200109620A (ko) * 2019-03-13 2020-09-23 (주)포인트엔지니어링 접합부품
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021054147A1 (ja) * 2019-09-17 2021-03-25 東京エレクトロン株式会社 プラズマ処理装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR102334531B1 (ko) * 2019-10-24 2021-12-06 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
CN111198482B (zh) * 2020-01-06 2023-10-24 长江存储科技有限责任公司 光刻胶去除装置及方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN113471046B (zh) 2020-12-14 2023-06-20 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
CN113488367A (zh) 2020-12-14 2021-10-08 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center
WO2023076321A1 (en) * 2021-10-29 2023-05-04 Lam Research Corporation Modulating thermal conductivity to control cooling of showerhead

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0393226A (ja) * 1989-09-05 1991-04-18 Iwatani Internatl Corp ウエハのドライエッチング装置でのウエハ冷却装置
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
JP2939355B2 (ja) * 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH05136092A (ja) * 1991-11-12 1993-06-01 Sony Corp プラズマ装置およびこれを用いたドライエツチング方法
JPH05175160A (ja) * 1991-12-20 1993-07-13 Tokyo Ohka Kogyo Co Ltd プラズマ処理装置
US5376223A (en) * 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
JP2909364B2 (ja) * 1993-09-20 1999-06-23 東京エレクトロン株式会社 処理装置及びそのクリーニング方法
JPH07115064A (ja) * 1993-08-25 1995-05-02 Tokyo Electron Ltd 成膜装置及び成膜方法並びに成膜装置の洗浄方法
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
WO1996013621A1 (en) * 1994-10-31 1996-05-09 Krogh Ole D An ecr plasma source
JPH08218171A (ja) * 1995-02-08 1996-08-27 Nippon Sanso Kk シャワーヘッド式cvd装置
US5653806A (en) 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
SG45121A1 (en) * 1995-10-28 1998-01-16 Inst Of Microelectronics Apparatus for dispensing fluid in an array pattern
JP3155199B2 (ja) * 1996-04-12 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
AU5461998A (en) * 1996-11-27 1998-06-22 Emcore Corporation Chemical vapor deposition apparatus
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
JP3227105B2 (ja) * 1997-03-24 2001-11-12 株式会社荏原製作所 真空排気システム
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JP3726477B2 (ja) * 1998-03-16 2005-12-14 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US20030010453A1 (en) * 1998-03-18 2003-01-16 Jyunichi Tanaka Plasma processing apparatus and plasma processing method
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor

Also Published As

Publication number Publication date
DE60131695D1 (de) 2008-01-17
EP1770753A3 (de) 2008-02-20
TW490705B (en) 2002-06-11
KR100587628B1 (ko) 2006-06-08
KR20010098812A (ko) 2001-11-08
JP2002033311A (ja) 2002-01-31
US6635117B1 (en) 2003-10-21
DE60143717D1 (de) 2011-02-03
EP1770753B1 (de) 2010-12-22
US20030205328A1 (en) 2003-11-06
EP1150330B1 (de) 2007-12-05
JP4793528B2 (ja) 2011-10-12
EP1150330A3 (de) 2004-12-29
US6782843B2 (en) 2004-08-31
EP1770753A2 (de) 2007-04-04
EP1150330A2 (de) 2001-10-31

Similar Documents

Publication Publication Date Title
DE60131695T2 (de) Aktiv gekühlte Verteilungsplatte zur Temperaturreduzierung der reaktiven Gase in einem Plasmabehandlungssystem
DE102011108634B4 (de) Substrat-Bearbeitungs-Vorrichtung
DE69736124T2 (de) Flussigkeitsgekühlte kühlfalle
DE69635640T2 (de) Plasmabearbeitungsgerät
DE69836531T2 (de) Magnetisch aufgehängtes rotorsystem für eine rtp kammer
DE69025972T2 (de) Druckbeständige thermische Reaktoranlage für Bearbeitungen von Halbleiterbauteilen
DE69007733T2 (de) Vorrichtung und verfahren zur behandlung eines flachen, scheibenförmigen substrates unter niedrigem druck.
DE69833436T2 (de) Plasmareaktor für die passivierung eines substrates
DE10062199B4 (de) Substratprozessvorrichtung und Verfahren zum Prozessieren eines Wafers
DE69118513T2 (de) Vorrichtung zum erwärmen von halbleiterscheiben oder -substraten
DE60302214T2 (de) Pumpenanschlusstück für prozesskammer für einzelne halbleiterscheiben frei von emissivitätsänderungen
DE602004003365T2 (de) Halterungssystem einsetzbar über einen breiten temperaturbereich
DE4237414A1 (en) Cooling appts. for heat generating semiconductor devices - circulates cooling fluid through nozzles and over surfaces of devices in enclosed space
DE112007001223T5 (de) In-situ Reinigung eines CVD-Systemablasses
DE112007001114T5 (de) UV-unterstützte thermische Bearbeitung
DE68921286T2 (de) Anlage zur plasmachemischen Gasphasenabscheidung.
DE4403552C2 (de) Elektronencyclotron-Resonanzvorrichtung
DE4403553C2 (de) Elektronen-Zyklotron-Resonanz-Apparatur
DE69110814T2 (de) Einrichtung zur thermischen Behandlung mit Waferhorde.
DE69009260T2 (de) Wassergekühlte Niederdruckgasentladungslampe.
DE10056257C2 (de) Substrathalter-Tisch und Verfahren für die Sputter-Reinigung eines Substrats
DE69022437T2 (de) Vorrichtung und Verfahren zur epitaktischen Abscheidung.
DE112004002277T5 (de) Anlage zur raschen thermischen Bearbeitung
DE102004009336A1 (de) Verfahren zum Unterdrücken eines Lithographievorgangs am Rand einer Halbleiterscheibe
EP1060504B1 (de) Vorrichtung für eine thermische behandlung von substraten

Legal Events

Date Code Title Description
8364 No opposition during term of opposition