DE112007001114T5 - UV-unterstützte thermische Bearbeitung - Google Patents

UV-unterstützte thermische Bearbeitung Download PDF

Info

Publication number
DE112007001114T5
DE112007001114T5 DE112007001114T DE112007001114T DE112007001114T5 DE 112007001114 T5 DE112007001114 T5 DE 112007001114T5 DE 112007001114 T DE112007001114 T DE 112007001114T DE 112007001114 T DE112007001114 T DE 112007001114T DE 112007001114 T5 DE112007001114 T5 DE 112007001114T5
Authority
DE
Germany
Prior art keywords
chamber
substrate
arrangement
energy
lamps
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112007001114T
Other languages
English (en)
Inventor
Joseph Michael San Jose Ranish
Yoshitaka San Jose Yokota
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE112007001114T5 publication Critical patent/DE112007001114T5/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Vorrichtung zur thermischen Bearbeitung eines Substrates, umfassend:
eine Kammer; und
eine UV-Strahlungsanordnung, die im Inneren der Kammer angeordnet ist.

Description

  • HINTERGRUND DER ERFINDUNG
  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft im Allgemeinen ein Halbleiterbearbeitungswerkzeug und insbesondere ein thermisches Bearbeitungswerkzeug mit einer UV-Strahlungsquelle.
  • Beschreibung des Standes der Technik
  • Rapid Thermal Processing (schnelle thermische Bearbeitung), allgemein als "RTP" bezeichnet, unterzieht ein Substrat einem sehr kurzen, intensiven Wärmestoß, der in Sekunden von Raumtemperatur bis zu etwa 1000°C gehen kann. Die RTP-Technologie wird zur Änderung der Eigenschaften eines abgeschiedenen Films oder eines Kristallgitters verwendet. RTP enthält im Allgemeinen Prozesse, wie Ausheilen, Silicidation und Oxidation einer Substratoberfläche.
  • Im Allgemeinen enthält eine RTP-Kammer eine strahlende Wärmequelle oder Lampe, ein Kammergehäuse und einen Substratträgerring. Die Lampe ist für gewöhnlich an einer oberen Oberfläche des Kammergehäuses montiert, so dass die Energie, die von der Lampe erzeugt wird, auf das Substrat strahlt, das von dem Substratträgerring in dem Kammergehäuse getragen wird. Für gewöhnlich ist ein Quarzfenster in der oberen Oberfläche des Kammergehäuses angeordnet, um die Übertragung von Energie von der Lampe zu dem Substrat zu erleichtern. Ein äußerer Motor wird für gewöhnlich zum Drehen des Trägerringes und des Substrates verwendet, um Schwankungen in der Strahlungsenergie, die von der Lampe erzeugt wird, auszugleichen, die das Substrat ungleichförmig erwärmen könnten. Für gewöhnlich wird ein schneller thermischer Prozess bei vermindertem Druck durchgeführt, um eine besserer Gleichförmigkeit zu erreichen.
  • Die thermische Oxidation wird allgemein verwendet, um eine sehr dünne Oxidschicht zu züchten, die als Gate-Oxid verwendet wird. Ein Oxidationsprozess, der in einer RTP-Kammer nach dem Stand der Technik durchgeführt wird, enthält für gewöhnlich das Erhöhen der Temperatur in der RTP-Kammer auf einen ausreichend hohen Wert, um Sauerstoff oder andere Spezies, die zur Bildung einer Oxidschicht verwendet werden, aufzubrechen und eine ausreichende Diffusion von Sauerstoff oder anderen Spezies auf der Substratoberfläche zu ermöglichen. Die Temperatur, die zum Aufbrechen von Sauerstoff oder anderen Spezies erforderlich ist, ist für gewöhnlich höher als die Temperatur, die zur Herbeiführung einer ausreichenden Diffusion erforderlich ist. Die höhere Temperatur, die zum Aufbrechen von Sauerstoff oder anderen Spezies erforderlich ist, erhöht die Wärmebelastung und fördert eine unerwünschte Diffusion im Inneren anderer Strukturen.
  • Es besteht daher ein Bedarf an einer Vorrichtung und einem Verfahren zur Durchführung von Oxidations- oder anderen schnellen thermischen Prozessen, ohne eine erhöhte Kammertemperatur zu erfordern.
  • KURZDARSTELLUNG DER ERFINDUNG
  • Die vorliegende Erfindung stellt Verfahren und Vorrichtungen zur Durchführung einer schnellen thermischen Bearbeitung eines Halbleitersubstrates bereit.
  • Eine Ausführungsform der vorliegenden Erfindung stellt eine Vorrichtung zur thermischen Bearbeitung eines Substrates bereit. Die Vorrichtung umfasst eine Kammer und eine UV-Strahlungsanordnung, die im Inneren der Kammer angeordnet ist. Die Vorrichtung umfasst des Weiteren eine äußere Strahlungsanordnung, die so gestaltet ist, dass sie Energie in die Kammer durch ein Quarzfenster auf der Kammer strahlt.
  • Eine weitere Ausführungsform der vorliegenden Erfindung stellt eine Vorrichtung zur thermischen Bearbeitung eines Substrates bereit. Die Vorrichtung umfasst eine Kammer mit einem oberem Fenster, einem Substratträger, der im Inneren der Kammer montiert ist, wobei der Substratträger so ausgebildet ist, dass er das Substrat derart trägt, dass eine Bearbeitungsfläche dem oberen Fenster zugewandet ist, eine erste Energieanordnung, die außerhalb der Kammer angeordnet ist, wobei die erste Energieanordnung so ausgebildet ist, dass sie Energie durch das obere Fenster strahlt, und eine zweite Energieanordnung, die im Inneren der Kammer über dem Substratträger angeordnet ist.
  • Eine weitere Ausführungsform der vorliegenden Erfindung stellt ein Verfahren für eine thermische Bearbeitung eines Substrates bereit. Das Verfahren umfasst das Positionieren des Substrates in einer Kammer, das Anwenden einer ersten Energiequelle zum Zuleiten von Wärme zu der Kammer, und das Anwenden einer zweiten Energiequelle, um aktive Spezies in der Kammer zu erzeugen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Für ein grundlegendes Verständnis der oben genannten Merkmale der vorliegenden Erfindung wird eine ausführlichere Beschreibung der Erfindung, die zuvor kurz zusammengefasst wurde, unter Bezugnahme auf Ausführungsformen gegeben, von welchen einige in den beiliegenden Zeichnungen dargestellt sind. Es sollte jedoch festgehalten werden, dass die beiliegenden Zeichnungen nur typische Ausführungsformen dieser Erfindung zeigen und daher nicht als Einschränkung ihres Umfangs zu betrachten sind, da die Erfin dung andere gleichermaßen wirksame Ausführungsformen zulässt.
  • 1 zeigt eine perspektivische Schnittansicht einer thermischen Bearbeitungskammer gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 2 zeigt eine perspektivische Ansicht einer UV(Ultraviolett-)Anordnung gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 3 zeigt eine Schnittansicht einer thermischen Bearbeitungskammer gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 4 zeigt eine Schnittansicht der thermischen Bearbeitungskammer von 3.
  • 5 zeigt eine perspektivische Ansicht einer UV-Anordnung gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 6 zeigt eine Schnittansicht eines beispielhaften Reflektors, der in der UV-Anordnung von 5 verwendet wird.
  • 7 zeigt eine Schnittansicht einer thermischen Bearbeitungskammer mit einer UV-Anordnung von 5.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Erfindung stellt Verfahren und Vorrichtungen zur Durchführung einer thermischen Bearbeitung eines Halbleitersubstrates bereit. Thermische Bearbeitungskammern der vorliegenden Erfindung umfassen zwei verschiedene Strahlungsenergiequellen, wie eine Infrarotstrahlungsquelle und eine UV-Strahlungsquelle. Die UV- Strahlungsquelle und die Infrarotstrahlungsquelle können alleine oder in Kombination verwendet werden, um Wärme zuzuleiten, elektronische Spezies zu aktivieren, oder aktive Spezies im Inneren der thermischen Bearbeitungskammer zu erzeugen. Mehrere Prozesse, wie die schnelle thermische Bearbeitung, das Ausheilen und die Oxidation, können in der thermischen Bearbeitungskammer mit verbesserten Ergebnissen ausgeführt werden. Die kombinierte Verwendung einer Infrarot- und UV-Strahlung ermöglicht oberflächenselektive Reaktionen, die bei Verwendung nur einer der Strahlungen nicht möglich sind.
  • 1 zeigt eine perspektivische Schnittansicht einer thermischen Bearbeitungskammer 100 gemäß einer Ausführungsform der vorliegenden Erfindung. Die thermische Bearbeitungskammer 100 umfasst im Allgemeinen eine Lampenanordnung 110 und eine Kammer 130, in der eine UV-Anordnung 120 angeordnet ist. In einer Ausführungsform ist die Kammer 130 eine Vakuumkammer. In einer anderen Ausführungsform kann die Kammer 130 eine atmosphärische Kammer sein. Die Lampenanordnung 110 ist über der Kammer 130 positioniert und so gestaltet, dass Wärme zu der Kammer 130 über ein Quarzfenster 114 der Kammer 130 geleitet wird. Die Lampenanordnung 110 ist so gestaltet, dass sie eine Heizquelle, wie mehrere Wolfram-Halogenlampen, aufnimmt, die so gestaltet sind, dass sie ein angepasstes Infrarotheizmittel für ein Substrat 101, das in der Kammer 130 angeordnet ist, bereitstellen. Die UV-Anordnung 120 ist so gestaltet, dass sie eine UV-Quelle für die Kammer 130 bereitstellt, zum Beispiel um eine aktive Spezies für die Reaktion auf der Oberfläche des Substrates 101 in der Kammer 130 zu erzeugen.
  • Die Lampenanordnung 110 umfasst im Allgemeinen einer Strahlungsenergieröhrenanordnung 112 mit mehreren Lichtröhren 111. Die Lichtröhren 111 können aus rostfreiem Stahl, Messing, Aluminium oder einem anderen Metall bestehen. Jede der Lichtröhren 111 ist so gestaltet, dass sie eine Strahlungsenergiequelle, zum Beispiel eine Wolfram-Halogenlampe, aufnimmt. Die Enden der Lichtröhren 111 sind an Öffnungen in einer oberen Kühlungswand 116 und einer unteren Kühlungswand 117 hartgelötet oder geschweißt. Eine zylindrische Wand 118 ist an den Umfangsrand der oberen Kühlungswand 116 und der unteren Kühlungswand 117 hartgelötet oder geschweißt. In einer Ausführungsform können die Lichtröhren 111 in einer hexagonalen Anordnung angeordnet sein. Ein Kühlmittel kann zu der Lampenanordnung 110 durch einen Einlass 109 zirkuliert werden, um die Lichtröhren 111 zu kühlen und die Wände 116, 117 und 118 während des Prozesses kühl zu halten.
  • Die Kammer 130 umfasst im Allgemeinen eine kreisförmige Seitenwand 140, die ein Kammervolumen 139 mit dem Quarzfenster 114 und einer Bodenwand (nicht dargestellt) definiert. Die Seitenwand 140 kann einen Einlass 131 und einen Auslass (nicht dargestellt) aufweisen, die so gestaltet sind, dass sie das Kammervolumen 139 mit einer Gasquelle und einer Pumpe verbinden. Ein Schlitzventil kann an eine Öffnung 137 an der Seitenwand 140 angeschlossen sein, so dass ein Roboter das Substrat 101 auf einen Substratträger 138, der in dem Kammervolumen 139 positioniert ist, fallen lassen und von diesem wieder aufnehmen kann. Der Substratträger 138 kann so gestaltet sein, dass er sich vertikal bewegt und um eine Mittelachse dreht.
  • Die UV-Anordnung 120 ist im Allgemeinen an einem oberen Abschnitt des Kammervolumens 139 angeordnet. In einer Ausführungsform kann die UV-Anordnung 120 die Form eines Moduls annehmen, das an die Kammer 130 "angesteckt" werden kann. Verschiedene Konstruktionen von UV-Anordnungen können austauschbar an die Kammer 130 angesteckt werden, um verschiedenen Designs gerecht zu werden. 2 zeigt eine perspektivische Ansicht der UV-Anordnung 120, die in der thermischen Bearbeitungskammer 100 von 1 verwendet wird. Die UV-Anordnung 120 umfasst im Allgemeinen einer Oberseite 124, eine Unterseite 125 und Seitenwände 121, die darin ein UV-Volumen 129 definieren. Eine Öffnung 126b an der Unterseite 125 ist so gestaltet, dass sie das UV-Volumen 129 mit dem Kammervolumen 139 der Kammer 130 verbindet, die in 1 dargestellt ist. Eine Öffnung 126a an der Oberseite 124 gibt das UV-Volumen 129 zu dem Quarzfenster 114 von 1 frei, wodurch ein Durchgang für die Strahlungsenergie von der Lampenanordnung 110 zu dem Kammervolumen 139 während des Prozesses bereitgestellt wird.
  • Die UV-Anordnung 120 umfasst des Weiteren mehrere Quarzröhren 123, von welchen beide Enden aus gegenüber liegenden Seitenwänden 121 der UV-Anordnung 120 ragen. An jedem Ende der Quarzröhren 123 kann eine entfernbare Kappe 127 für einen dichten Verschluss verwendet werden. Jede der Quarzröhren 123 ist so gestaltet, dass sie eine UV-Lampe 122 aufnimmt (die in 1 dargestellt ist).
  • Die UV-Lampen 122 können abgedichtete Plasmabirnen enthalten, die mit einem oder mehreren Gasen, wie Xenon (Xe) oder Quecksilber (Hg), zur Anregung durch eine Energiequelle gefüllt sind. In einer Ausführungsform kann die Energiequelle eine herkömmliche UV-Energiequelle sein oder ein oder mehrere Transformatoren, so dass die Filamente der Magnetrone mit Energie versorgt werden. In einer anderen Ausführungsform kann die Energiequelle Funkfrequenz-(RF-)Energiequellen einführen, die zur Anregung der Gase in den Birnen der UV-Lampen imstande sind. In einer Ausführungsform kann die Birne der UV-Lampe eine Niederdruck-Hg- oder eine andere Niederdruck-UV-Entladungslampe sein, um eine Strahlung von 254 nm und 185 nm zu erzeugen.
  • In einer Ausführungsform kann die UV-Lampe 122 in einer der Quarzröhren 123 derart positioniert sein, dass die Elektroden von UV-Lampen 122 aus dem UV-Volumen 129 nach außen ragen oder zumindest durch die Oberseite 124 vor der Strahlungsenergie der Lampenanordnung 110 geschützt sind, um die Elektroden vor einer Übererwärmung zu bewahren und den Druck in der UV-Lampe 122 zu steuern.
  • 3 zeigt eine Schnittansicht einer thermischen Bearbeitungskammer 200 gemäß einer Ausführungsform der vorliegenden Erfindung. 4 zeigt eine Schnittansicht der thermischen Bearbeitungskammer 200 von 3 entlang 4-4. Die thermische Bearbeitungskammer 200 umfasst im Allgemeinen eine Lampenanordnung 210 und eine Kammer 230, in der eine UV-Anordnung 220 angeordnet ist. Die Lampenanordnung 210 ist über der Kammer 230 angeordnet und so gestaltet, dass sie Wärme zu der Lampe 230 über ein Quarzfenster 214 der Kammer 230 leitet. Die Lampenanordnung 210 ist so gestaltet, dass sie eine Heizquelle, wie mehrere Wolfram-Halogenlampen, aufnimmt, die so gestaltet sind, dass ein angepasstes Infrarotheizmittel für ein Substrat 201, das in der Kammer 230 angeordnet ist, bereitgestellt ist. Die UV-Einheit ist so gestaltet, dass sie eine UV-Quelle für die Kammer 230 bereitstellt, zum Beispiel, um aktive Spezies für eine Reaktion auf der Oberfläche des Substrates 201 in der Kammer 230 zu erzeugen.
  • Die Lampenanordnung 210 umfasst im Allgemeinen mehrere Lichtröhren 211. Die Lichtröhren 211 können aus rostfreiem Stahl, Messing, Aluminium oder einem anderen Metall bestehen. Jede der Lichtröhren 211 ist so gestaltet, dass sie eine Strahlungsenergiequelle 208, zum Beispiel eine Wolfram-Halogenlampe, aufnimmt, um Wärme für das Kammervolumen 239 in Form einer Infrarotstrahlung bereitzustellen. Die Enden der Lichtröhren 211 sind an Öffnungen in einer oberen Kühlungswand 216 und einer unteren Kühlungswand 217 hartgelötet oder geschweißt. Eine zylindrische Wand 218 ist an den Umfangsrand der oberen Kühlungswand 216 und der unteren Kühlungswand 217 hartgelötet oder geschweißt. In einer Ausführungsform können die Lichtröhren 211 in einer hexagonalen Anordnung angeordnet sein. Ein Kühlmittel kann zu der Lampenanordnung 210 durch einen Einlass 209 zirkuliert werden, um die Wände während des Prozesses kühl zu halten. Jede der Strahlungsenergiequellen 208 kann an eine Steuerung 207 angeschlossen sein, die den Energiepegel jeder Strahlungsenergiequelle 208 steuert, um ein gleichförmiges oder angepasstes Erwärmungsprofil für das Kammervolumen 239 zu erreichen. In einer Ausführungsform können die Strahlungsenergiequellen 208 in mehreren radialen Bändern gruppiert sein. In einer anderen Ausführungsform können die Strahlungsenergiequellen 208 einzeln gesteuert werden.
  • Die Kammer 230 umfasst im Allgemeinen eine kreisförmige Seitenwand 240, die ein Kammervolumen 239 mit dem Quarzfenster 214 und einer Bodenwand (nicht dargestellt) definiert. Die Seitenwand 240 kann einen Einlass 231 aufweisen, der an eine Gasquelle 235 angeschlossen ist, die so gestaltet ist, dass sie ein oder mehrere Gase für das Kammervolumen 239 bereitstellt. Ein Auslass 234, der im Allgemeinen an einer gegenüber liegenden Seite der Seitenwand 240 zu dem Einlass 231 angeordnet ist, ist an eine Pumpe 236 angeschlossen. Ein Schlitzventil (nicht dargestellt) kann an eine Öffnung 237 (in 4 dargestellt) an der Seitenwand 240 angeschlossen sein, so dass ein Roboter das Substrat 201 auf einen Substratträger 238, der in dem Kammervolumen 239 positioniert ist, fallen lassen und von diesem wieder aufnehmen kann. Der Substratträger 238 kann so gestaltet sein, dass er sich vertikal bewegt und um eine Mittelachse dreht.
  • Die UV-Anordnung 220 ist im Allgemeinen an einem oberen Abschnitt des Kammervolumens 239 angeordnet. In einer Ausführungsform kann die UV-Anordnung 220 die Form eines Moduls annehmen, das an die Kammer 230 "angesteckt" werden kann. Die UV-Anordnung 220 kann ähnlich der UV-Anordnung von 2 sein. Mehrere Quarzröhren 223 können parallel angeordnet sein, wobei beide Enden aus den gegenüber liegenden Seitenwänden 221 der UV-Anordnung 220 ragen. In einer Ausführungsform kann die UV-Anordnung 220 etwa 15 Quarzröhren 223 enthalten, die gleichmäßig auf einer horizontalen Ebene verteilt sind. Eine UV-Lampe 222 kann in jeder der Quarzröhren 223 angeordnet sein, um eine gewünschte UV-Ressource bereitzustellen. Unter Verwendung der Quarzröhren 223, die die UV-Lampen 222 umgeben, kann eine Partikelverunreinigung oder eine andere Beschädigungen an der Kammer 230 infolge einer gebrochenen UV-Lampe 222 verhindert werden. Unter Bezugnahme auf 4 ragt jede der mehreren Quarzröhren 223 aus den Seitenwänden 221 heraus. Die UV-Lampe 222 im Inneren jeder Quarzröhre 223 ist derart angeordnet, dass sich zwei Elektroden 241 der UV-Lampe 222 außerhalb des Kammervolumens 239 befinden. Die Elektroden 241 sind an eine Energieversorgung 206 angeschlossen, die so gestaltet ist, dass sie eine Energiequelle unabhängig für jede der UV-Lampen 222 bereitstellt. Zum Verschließen der Quarzröhren 223 können Kappen 227 verwendet werden. Dichtungen 242 können nahe der Grenzfläche jeder Quarzröhre 223 und der Seitenwand 221 verwendet werden, um das Kammervolumen 239 abzudichten.
  • Die UV-Anordnung 220 und die Lampenanordnung 210 können alleine oder in Kombination zur Zuleitung von Wärme, Aktivierung von Oberflächenstellen oder zur Erzeugung aktiver Spezies im Inneren der Kammer 230 verwendet werden. Mehrere Prozesse, wie die schnelle thermische Bearbeitung, das Ausheilen und die Oxidation, können in der thermischen Bearbeitungskammer 200 ausgeführt werden.
  • Während eines Oxidationsprozesses kann die Lampenanordnung 210 zur Bereitstellung von Wärme in Form einer Infrarotstrahlung für das Substrat 201 verwendet werden, das in dem Kammervolumen 239 angeordnet ist. In einer Ausführungsform kann die Lampenanordnung 210 so eingestellt sein, dass sie das Substrat 201 rasch gleichmäßig auf eine Temperatur erwärmt, die eine Diffusion von Sauerstoff durch die Siliziumdioxidproduktschicht in dem Substrat 201 ermöglicht. Die UV-Anordnung 220 kann zur Bereitstellung einer UV-Strahlung verwendet werden, um aktive Spezies aus Vorläufern zu erzeugen, die dem Kammervolumen 239 durch den Einlass 231 zugeleitet werden. Es sollte festgehalten werden, dass, wenn der Druck im Inneren der UV-Lampen 222 gering genug ist, die UV-Lampen 222 eine sehr begrenzte Menge an Strahlungsenergie von der Lampenanordnung 210 absorbieren würden, selbst wenn die UV-Lampen 222 in dem Strahlungsweg der Lampenanordnung 210 positioniert sind. Die Quarzröhren 223 können etwas Strahlungsenergie von der Lampenanordnung 210 absorbieren. In einer Ausführungsform können die Quarzröhren 223 rechteckige Querschnitte aufweisen, um die Störung des Strahlungsenergieprofils von der Lampenanordnung 210 zu minimieren.
  • Die UV-Strahlung von der UV-Anordnung 220 kann zum Bestrahlen eines Vorläufers verwendet werden, der imstande ist, die erwünschte aktive Spezies zu erzeugen, um einen Film auf dem Substrat zu behandeln. Zum Beispiel können die Vorläufer Stickstoff, Sauerstoff oder Fluor umfassen oder aus diesen bestehen. Es können jedoch andere aktive Spezies oder Vorläufer verwendet werden. Die UV-Strahlungsquelle kann zur Erzeugung verschiedener aktiver Spezies angepasst sein. In einer Ausführungsform kann die Anpassung der UV-Strahlungsquelle erreicht werden, indem der Gasdruck im Inneren einer Birne einer UV-Lampe zur Ausstrahlung einer UV-Strahlung unterschiedlicher Wellenlänge eingestellt wird.
  • In einer Ausführungsform kann die UV-Strahlung zur Erzeugung hoch reaktiver oxidanter Spezies nahe einer reaktiven Oberfläche aus Sauerstoff (O2), Ozon (O3), Stickstoffoxid (N2O), Kohlenstoffmonoxid (CO), Kohlenstoffdioxid (CO2), Wasser (H2O) oder Kombinationen davon in einer thermischen Bearbeitungskammer mit einer Infrarotstrah lungsquelle verwendet werden, um die reaktive Oberfläche, wie die thermische Bearbeitungskammer 200 von 3, zu erwärmen.
  • In einer anderen Ausführungsform kann die UV-Strahlung zur Erzeugung hoch reaktiver Nitrierungsspezies nahe einer reaktiven Oberfläche aus Ammoniak (NH3), Stickstoffgas (N2), Hydrazinen, Aminen oder Kombinationen davon in einer thermischen Bearbeitungskammer mit einer Infrarotstrahlungsquelle verwendet werden, um die reaktive Oberfläche, wie die thermische Bearbeitungskammer 200 von 3, zu erwärmen.
  • In einer anderen Ausführungsform kann die UV-Strahlung zur Erzeugung hoch reaktiver Oxidations-/Nitrierungsspezies nahe einer reaktiven Oberfläche aus Distickstoffoxid oder Stickstoffmonoxid in einer thermischen Bearbeitungskammer mit einer Infrarotstrahlungsquelle verwendet werden, um die reaktive Oberfläche, wie die thermische Bearbeitungskammer 200 von 3, zu erwärmen.
  • In einer anderen Ausführungsform kann die UV-Strahlung zur Erzeugung hoch reaktiver fluorinierter Spezies nahe einer reaktiven Oberfläche aus NF3, F2, CF4, SF6, C2F6, CCl4, C2Cl6 oder Kombinationen davon in einer thermischen Bearbeitungskammer mit einer Infrarotstrahlungsquelle verwendet werden, um die reaktive Oberfläche, wie die thermische Bearbeitungskammer 200 von 3, zu erwärmen. Wenn UV-Strahlungsenergie zur Erzeugung reaktiver fluorinierter Spezies verwendet wird, können die Quarzröhren 223 durch Röhren aus fluorbeständigen Materialien ersetzt werden.
  • Die kombinierte Verwendung von Infrarot- und UV-Strahlung ermöglich oberflächenselektive Reaktionen, die durch die Verwendung einer einzigen der Strahlungen nicht möglich sind. In einem Aspekt können oberflächenselektive Reaktionen durch Kombination einer UV-Aktivierung und einer differenziellen Oberflächenerwärmung infolge geplanter Differenzen im Emissionsvermögen erreicht werden.
  • Es gibt mehrere Vorteile dieser Konfiguration einer thermischen Bearbeitungskammer mit sowohl einer UV-Strahlungsquelle als auch einer Infrarot-Strahlungsquelle. In einem Aspekt kann die Verwendung sowohl der UV- wie auch Infrarotstrahlung bei einigen Prozessen, wie der Oxidation, die Prozesszeit verkürzen, da der Temperaturanstieg des Substrates durch Verwendung der UV-Strahlung gesenkt werden kann, um aktive Spezies zu erzeugen, anstatt die Infrarotstrahlung zur Erzeugung aktiver Spezies zu verwenden.
  • In einem anderen Aspekt verbessern die unabhängigen Steuerungen der UV-Strahlungsquelle und der Infrarot-Strahlungsquelle die Gleichförmigkeit der Prozessergebnisse. Insbesondere ermöglicht die Infrarot-Strahlungsquelle, wie die Lampenanordnung 210 von 3, eine rasche, flexible Substrattemperatursteuerung durch die angepasste Infrarotstrahlungsverteilung, während die UV-Strahlungsquelle, wie die UV-Anordnung 220 von 3, die unabhängige angepasste UV-Verteilung für die Erzeugung aktiver Spezies und aktiver Stellen ermöglicht.
  • In einem anderen Aspekt ermöglicht die Konfiguration, eine UV-Strahlungsquelle innerhalb der Infrarotstrahlungsregion zu positionieren, eine bessere Optimierung der Gleichförmigkeit beider Strahlungsarten auf der Substratbearbeitungsfläche und in der Gasphase in der Kammer.
  • Zusätzlich erzeugt diese Konfiguration, durch die Verwendung der UV-Strahlung im Inneren der Kammer zur Bestrahlung der Gasphase, aktive Spezies in der Nähe der Substratoberfläche, wodurch die Erzeugung einer sehr aktiven Spezies nahe der Verwendungsstelle und die Minimierung des Verlustes durch Rekombination oder andere unerwünschte Reaktionen möglich wird.
  • Ferner hat diese Konfiguration auch die Vorteile, den Verlust flüchtiger Materialien von der Substratoberfläche zu verzögern, da diese Konfiguration die Erzeugung aktiver Spezies bei höherem Druck als bei dem Verfahren nach dem Stand der Technik ermöglicht, wie bei der Verwendung von Plasmen. Der Verlust flüchtiger Materialien von der Substratoberfläche ist allgemein unerwünscht, da er zu einem Verlust der gewünschten Oberflächenmerkmale, zu einer Kondensatverschmutzung optischer Oberflächen und zur Partikelerzeugung führt. Höhere Drücke sind häufig bei der Verzögerung des Verlustes flüchtiger Materialien von der Substratoberfläche nützlich. Nach dem Stand der Technik erfordern jedoch Verfahren zur Erzeugung aktiver Spezies, wie Plasmen, im Allgemeinen niedere Gesamtgasdrücke, so dass die aktiven Spezies außerhalb der ionisierten Region des Plasmen freigesetzt werden können, während das Substrat außerhalb der ionisierten Region gehalten wird. Hochdichte Plasmen weisen häufig Kontaminationsprobleme auf, die auf die Belastung des Materials mit sehr hoher Temperatur zurückzuführen sind, was bei der hoch thermischen Bearbeitung häufig der Fall ist.
  • Ferner kann die Verwendung der UV-Strahlung zum Bestrahlen sowohl der Substratoberfläche wie auch der Gasphase zu einer verstärkten Kinetik durch die gleichzeitig Erzeugung aktivierter Stellen und aktivierter Spezies führen.
  • 5 zeigt eine perspektivische Ansicht einer UV-Anordnung 320 gemäß einer weiteren Ausführungsform der vorliegenden Erfindung. Die UV-Anordnung 320 kann zur Bereitstellung einer UV-Strahlung für eine thermische Bearbeitungskammer verwendet werden, wie zum Beispiel die thermische Bearbeitungskammer 100 von 1 und 200 von 3. Die UV-Anordnung 320 umfasst im Allgemeinen meh rere Seitenwände 321, die durch mehrere Verbindungen 324 verbunden sind, um ein Polygon zu bilden. In einer Ausführungsform ist das Polygon quadratisch, wie in 5 dargestellt ist. Eine UV-Lampe 322, die von einer Quarzröhre 323 umschlossen ist, ist im Allgemeinen im Inneren jeder der mehreren Seitenwände 321 angeordnet. Jede der UV-Lampen 322 und der Quarzröhren 323 erstreckt sich in zwei benachbarte Verbindungen 324, in welchen Elektroden der UV-Lampen 322 vor jeder Strahlung im Inneren der Kammer, in der sich die UV-Anordnung 320 befindet, abgeschirmt sein können. Diese Konfiguration lenkt die UV-Strahlungsenergie eher zu der Gasphase und weniger zu der Substratoberfläche. In dieser Konfiguration können UV-Lampen mit einem einzigen Ende, wie XERADEX® Lampen, verwendet werden.
  • Mehrere Reflektoren 327 sind im Allgemeinen entlang jeder der mehreren Seitenwände 321 angeordnet, um UV-Strahlung von der entsprechenden UV-Lampe 322 in gewünschter Weise zu reflektieren. Die Reflektoren 327 haben im Allgemeinen eine bestimmte Querschnittsform, um eine gewünschte Funktion zu erreichen. In einer Ausführungsform, wie in 6 dargestellt, ist die Querschnittsform jedes der Reflektoren 327 eine parabolische Kurve. Der Mittelpunkt der entsprechenden UV-Lampe 322 ist an einem Brennpunkt 328 der parabolischen Kurve angeordnet. Wenn Strahlen 329 von der UV-Lampe 322 den Reflektor 327 erreichen, reflektiert der Reflektor 327 die Strahlen 329 in das Innere des Polygons, das durch die UV-Lampen 322 gebildet wird, und möglicherweise parallel zu der Substratbearbeitungsfläche. Die Querschnittsform der Reflektoren 327 kann auch elliptisch oder andersartig sein. Die Reflektoren 327 können eine reflektierende Oberfläche haben, die aus Metall, wie Aluminium oder Silber, oder aus einem Stapel dielektrischer Filme besteht, der effektiv die UV-Strahlung reflektiert. Die Verwendung von Reflektoren 327 kann zur Minimierung der UV-Belastung an der Oberfläche des bearbeiteten Substrats verwendet werden. In einer Ausführungsform können die Reflektoren 327 auch als Mikrowellenhohlräume für UV-Lampen verwendet werden, die von der Mikrowellenenergiequelle gespeist werden.
  • 7 zeigt eine Schnittansicht einer thermischen Bearbeitungskammer 300 mit der UV-Anordnung 320 von 5. Die thermische Bearbeitungskammer 300 ist ähnlich der thermischen Bearbeitungskammer 200 von 3 mit Ausnahme der UV-Anordnung 220, die durch die UV-Anordnung 320 ersetzt ist. Wie in 7 dargestellt ist, befinden sich die UV-Lampen 322 außerhalb der Strahlungsregion der Lampenanordnung 210. Diese Konfiguration minimiert die UV-Strahlung auf dem Substrat 201 und die Energieabsorption durch die Quarzröhren 323 während des Prozesses.
  • Während sich das Vorhergesagte auf Ausführungsformen der vorliegenden Erfindung bezieht, können andere und weitere Ausführungsformen der Erfindung konstruiert werden, ohne von deren grundlegendem Umfang abzuweichen, und ihr Umfang ist durch die folgenden Ansprüche festgelegt.
  • ZUSAMMENFASSUNG
  • Die vorliegende Erfindung stellt Verfahren und Vorrichtungen zur Durchführung thermischer Verfahren an einem Halbleitersubstrat bereit. Thermische Bearbeitungskammern der vorliegenden Erfindung umfassen zwei verschiedene Energiequellen, wie eine Infrarotstrahlungsquelle und eine UV-Strahlungsquelle. Die UV-Strahlungsquelle und die Infrarotstrahlungsquelle können alleine oder in Kombination verwendet werden, um Wärme zuzuleiten, elektronische Spezies zu aktivieren, oder aktive Spezies im Inneren der thermischen Bearbeitungskammer zu erzeugen.

Claims (22)

  1. Vorrichtung zur thermischen Bearbeitung eines Substrates, umfassend: eine Kammer; und eine UV-Strahlungsanordnung, die im Inneren der Kammer angeordnet ist.
  2. Vorrichtung nach Anspruch 1, des Weiteren umfassend eine äußere Strahlungsanordnung, die so gestaltet ist, dass Energie in die Kammer durch ein Quarzfenster auf der Kammer gestrahlt wird.
  3. Vorrichtung nach Anspruch 2, wobei die äußere Strahlungsanordnung mehrere Infrarotstrahlungsquellen umfasst, die in einer hexagonalen Anordnung angeordnet sind.
  4. Vorrichtung nach Anspruch 1, wobei die UV-Strahlungsanordnung mehrere UV-Lampen umfasst.
  5. Vorrichtung nach Anspruch 4, wobei jede der mehreren UV-Lampen von einer Quarzröhre umschlossen ist.
  6. Vorrichtung nach Anspruch 4, wobei die mehreren UV-Lampen parallel zueinander liegen und gleichmäßig über eine Bearbeitungsfläche des Substrates verteilt sind.
  7. Vorrichtung nach Anspruch 4, wobei Elektroden der mehreren UV-Lampen außerhalb der Kammer angeordnet sind.
  8. Vorrichtung nach Anspruch 4, wobei die mehreren UV-Lampen entlang einem Polygon angeordnet sind, das eine Bearbeitungsfläche des Substrates umgibt.
  9. Vorrichtung nach Anspruch 8, wobei die UV-Strahlungsanordnung des Weiteren mehrere Reflektoren umfasst, wobei jeder der mehreren Reflektoren so gestaltet ist, dass er UV-Strahlung von einer entsprechenden UV-Lampe im Wesentlichen parallel zu dem Substrat reflektiert.
  10. Vorrichtung nach Anspruch 9, wobei die mehreren Reflektoren einen parabolischen Querschnitt aufweisen, wobei die entsprechende UV-Lampe in einem Brennpunkt des parabolischen Querschnitts angeordnet ist.
  11. Vorrichtung zum thermischen Bearbeiten eines Substrates, umfassend: eine Kammer mit einem oberen Fenster; einen Substratträger, der im Inneren der Kammer angeordnet ist, wobei der Substratträger so gestaltet ist, dass er das Substrat derart trägt, dass eine Bearbeitungsfläche dem oberen Fenster zugewandt ist; eine erste Energieanordnung, die außerhalb der Kammer angeordnet ist, wobei die erste Energieanordnung so gestaltet ist, dass sie Energie durch das obere Fenster strahlt; und eine zweite Energieanordnung, die im Inneren der Kammer über dem Substratträger angeordnet ist.
  12. Vorrichtung nach Anspruch 11, wobei die zweite Energieanordnung so gestaltet ist, dass sie eine Ultraviolettenergie bereitstellt.
  13. Vorrichtung nach Anspruch 12, wobei die zweite Energieanordnung mehrere UV-Lampen umfasst, die parallel zueinander angeordnet sind.
  14. Vorrichtung nach Anspruch 13, wobei jede der mehreren UV-Lampen individuell steuerbar ist.
  15. Vorrichtung nach Anspruch 12, wobei die zweite Energieanordnung mehrere UV-Lampen umfasst, die in einem Polygon angeordnet sind, das die Bearbeitungsfläche des Substrates umgibt.
  16. Vorrichtung nach Anspruch 15, wobei die zweite Energieanordnung des Weiteren mehrere Reflektoren umfasst, wobei jeder der mehreren Reflektoren so gestaltet ist, dass die UV-Strahlung von einer entsprechenden UV-Lampe im Wesentlichen parallel zu der Bearbeitungsfläche des Substrates reflektiert wird.
  17. Vorrichtung nach Anspruch 11, wobei die erste Energieanordnung mehrere Lampen umfasst, die eine hexagonale Anordnung aufweisen.
  18. Vorrichtung nach Anspruch 11, wobei die erste Energieanordnung so gestaltet ist, dass sie Infrarotenergie bereitstellt, und die zweite Energieanordnung so gestaltet ist, dass sie UV-Energie für die Kammer bereitstellt.
  19. Verfahren zur thermischen Bearbeitung eines Substrates, umfassend: Positionieren des Substrates in einer Kammer; Anwenden einer ersten Energiequelle, um Wärme zu der Kammer zu leiten; und Anwenden einer zweiten Energiequelle, um aktive Spezies in der Kammer zu erzeugen.
  20. Verfahren nach Anspruch 19, wobei die erste Energiequelle mehrere Heizlampen umfasst und die zweite Energiequelle mehrere UV-Lampen umfasst.
  21. Verfahren nach Anspruch 20, des Weiteren umfassend: Positionieren der ersten Energiequelle außerhalb eines Quarzfensters der Kammer; und Positionieren der zweiten Energiequelle im Inneren der Kammer.
  22. Verfahren nach Anspruch 19, wobei das Anwenden der zweiten Energiequelle das Anpassen der Strahlungsverteilung für die Erzeugung aktiver Spezies umfasst.
DE112007001114T 2006-05-01 2007-04-30 UV-unterstützte thermische Bearbeitung Withdrawn DE112007001114T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/414,869 2006-05-01
US11/414,869 US7547633B2 (en) 2006-05-01 2006-05-01 UV assisted thermal processing
PCT/US2007/067774 WO2007130909A2 (en) 2006-05-01 2007-04-30 Uv assisted thermal processing

Publications (1)

Publication Number Publication Date
DE112007001114T5 true DE112007001114T5 (de) 2009-04-09

Family

ID=38668470

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112007001114T Withdrawn DE112007001114T5 (de) 2006-05-01 2007-04-30 UV-unterstützte thermische Bearbeitung

Country Status (7)

Country Link
US (1) US7547633B2 (de)
JP (1) JP5269769B2 (de)
KR (1) KR101046014B1 (de)
CN (1) CN101437626B (de)
DE (1) DE112007001114T5 (de)
TW (1) TWI374506B (de)
WO (1) WO2007130909A2 (de)

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8314368B2 (en) * 2008-02-22 2012-11-20 Applied Materials, Inc. Silver reflectors for semiconductor processing chambers
US20100022072A1 (en) * 2008-07-25 2010-01-28 Atmel Corporation Semiconductor Fabrication
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
US7964858B2 (en) 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
JP2014033148A (ja) * 2012-08-06 2014-02-20 Ulvac Japan Ltd 光照射装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10699922B2 (en) * 2014-07-25 2020-06-30 Applied Materials, Inc. Light pipe arrays for thermal chamber applications and thermal processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6346826B2 (ja) * 2014-08-06 2018-06-20 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6368813B1 (ja) * 2017-03-08 2018-08-01 財団法人國家實驗研究院 紫外線照射によってケイ素系の表面天然酸化物品質を向上できる装置と方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7321730B2 (ja) * 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59215732A (ja) * 1983-05-24 1984-12-05 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作製方法
JPH0740552B2 (ja) * 1985-07-15 1995-05-01 三井東圧化学株式会社 半導体薄膜の製法
JPH0736401B2 (ja) * 1986-11-19 1995-04-19 日本電気株式会社 半導体製造装置
JPH0774452B2 (ja) * 1986-11-27 1995-08-09 キヤノン株式会社 光化学気相成長法による機能性堆積膜の形成方法
US5194401A (en) * 1989-04-18 1993-03-16 Applied Materials, Inc. Thermally processing semiconductor wafers at non-ambient pressures
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
JPH0448720A (ja) * 1990-06-15 1992-02-18 Hitachi Ltd 表面処理方法および装置
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US6280790B1 (en) * 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US6280183B1 (en) * 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6130415A (en) * 1999-04-22 2000-10-10 Applied Materials, Inc. Low temperature control of rapid thermal processes
FR2792774B1 (fr) * 1999-04-26 2003-08-01 Joint Industrial Processors For Electronics Procede et dispositif de traitement d'un materiau par rayonnement electromagnetique et sous atmosphere controlee
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
JP3778432B2 (ja) * 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
US6828234B2 (en) * 2002-03-26 2004-12-07 Applied Materials, Inc. RTP process chamber pressure control
US7778533B2 (en) * 2002-09-12 2010-08-17 Applied Materials, Inc. Semiconductor thermal process control
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US6911376B2 (en) * 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
US6869892B1 (en) * 2004-01-30 2005-03-22 Tokyo Electron Limited Method of oxidizing work pieces and oxidation system
JP3972126B2 (ja) * 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置
KR100628561B1 (ko) * 2004-06-01 2006-09-26 동부일렉트로닉스 주식회사 온도 균일성을 위한 급속열처리 장치
US7147359B2 (en) * 2004-06-25 2006-12-12 Applied Materials, Inc. Lamp assembly having flexibly positioned rigid plug
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7909595B2 (en) * 2006-03-17 2011-03-22 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors

Also Published As

Publication number Publication date
TW200802615A (en) 2008-01-01
US7547633B2 (en) 2009-06-16
WO2007130909A3 (en) 2008-06-12
US20080067416A1 (en) 2008-03-20
CN101437626B (zh) 2013-10-16
JP5269769B2 (ja) 2013-08-21
TWI374506B (en) 2012-10-11
KR20090012348A (ko) 2009-02-03
WO2007130909B1 (en) 2008-08-07
CN101437626A (zh) 2009-05-20
JP2009535858A (ja) 2009-10-01
WO2007130909A2 (en) 2007-11-15
KR101046014B1 (ko) 2011-07-01

Similar Documents

Publication Publication Date Title
DE112007001114T5 (de) UV-unterstützte thermische Bearbeitung
US6507031B1 (en) Apparatus and method of irradiating ultraviolet light
DE69838790T2 (de) Verfahren zur oxidation durch in situ erzeugten dampf
EP2311066B1 (de) Vorrichtung und Verfahren zur Erzeugung dielektrischer Schichten im Mikrowellenplasma
JP4934193B2 (ja) 誘電体バリア放電ランプアセンブリを用いた基板処理チャンバ
JP5079949B2 (ja) 処理装置および処理方法
DE10153204A1 (de) Ultraviolettlampensystem und Verfahren
DE60221975T2 (de) Mikrowellenplasmaprozesseinrichtung, plasmazündverfahren, plasmabildeverfahren und plasmaprozessverfahren
CN105074882B (zh) 光照射装置
KR20210020822A (ko) 열처리 장치 및 열처리 장치의 세정 방법
JP5317852B2 (ja) 紫外線照射装置
DE19957034B4 (de) Verfahren zur Behandlung von Oberflächen von Substraten und Vorrichtung
JP2009123807A (ja) 熱処理装置
DE69836253T2 (de) Doppelfensterabgasanlage für waferplasmabearbeitungsvorrichtung
KR20200024711A (ko) 열처리 방법
KR20190033003A (ko) 파티클 제거 방법 및 열처리 장치
US10777427B2 (en) Light irradiation type heat treatment method
DE10197002B3 (de) Verfahren und System zur Wärmebehandlung
US7270724B2 (en) Scanning plasma reactor
WO2015135904A1 (de) Prozessieranordnung und verfahren zum betreiben einer prozessieranordnung
JP5456257B2 (ja) 熱処理装置
WO2002033735A2 (de) Vorrichtung zum thermischen behandeln von substraten
US20230207348A1 (en) Heat treatment apparatus
JP2007303805A (ja) 平板表示素子製造用熱処理炉、これを含む平板表示素子製造装置、その製造方法、およびこれを利用した平板表示素子
JPH06232056A (ja) 誘電体バリヤ放電ランプを使用した処理方法

Legal Events

Date Code Title Description
8139 Disposal/non-payment of the annual fee