TWI374506B - Uv assisted thermal processing method and apparatus - Google Patents

Uv assisted thermal processing method and apparatus Download PDF

Info

Publication number
TWI374506B
TWI374506B TW096115497A TW96115497A TWI374506B TW I374506 B TWI374506 B TW I374506B TW 096115497 A TW096115497 A TW 096115497A TW 96115497 A TW96115497 A TW 96115497A TW I374506 B TWI374506 B TW I374506B
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
ultraviolet
energy
radiation
Prior art date
Application number
TW096115497A
Other languages
English (en)
Other versions
TW200802615A (en
Inventor
Joseph Michael Ranish
Yoshitaka Yokota
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200802615A publication Critical patent/TW200802615A/zh
Application granted granted Critical
Publication of TWI374506B publication Critical patent/TWI374506B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

1374506 九、發明說明: 【發明所屬之技術領域】 本發明大致相關於半導體處理工具,而更明確地,係 關於具有紫外光(uv)輻射源的熱處理工具。 【先前技術】
高速熱處理(Rapid Thermal Processing),一 般稱為 「RTP」’係使基板遭受非常短暫且劇烈爆發的熱能(從室 溫到1 ootrc只需幾秒)。rtp技術係用來改變沉積薄膜或 晶體晶格(crystal lattice)的特徵e RTP通常包括對基板表 面施行的諸如退火(annealing)、矽化與氧化等處理。
一般而言,一 RTP腔包含一輻射熱源或光源、一腔趙 與一基板支撐環。光源通常設置在腔體的頂面,因此光源 產生的能量輻射在以基板支撐環(在腔體内)支撐之基板 上。通常將石英窗設置在腔體頂面好幫助熱能傳送(從光源 至基板外部馬達通常係用來旋轉支撐環與基板,好彌補 光源不均句地加熱基板所產生的輻射能量差異。一般而 言’高速熱處理係執行於較低壓力下好得到較佳的一致性。 通常利用熱氧化法來成長非常薄的氧化物層(作為閘 極氧化層「gate oxide」)。執行於已知技術RTR腔内情況 下之氧化反應通常包括:提高RTR腔内的溫度高到足以斷 裂氧或其他物質(用來形成氧化物層),以及足以讓氧或其 他物質於基板表面上發生足夠的擴散。斷裂氧或其他物質 5 1374506 所需之溫度通常高於引發足夠擴散所需之溫度。斷裂氧或 其他物質所需之較高溫度提高了熱遭遇(thermal exposure),促進其他構造内不欲之擴散。 因此,需要一種執行氧化或其他高速熱處理(不需增加 的腔室溫度)的裝置與方法。 【發明内容】
本發明提供執行半導體基板的高速熱處理之方法與設 備。 本發明之一實施例提供熱處理基板所用之設備。該設 備包括腔室與設置在腔室内的紫外光輻射組件。該設備更 包括一外部輻射组件,其設置來經由腔室上的石英窗輻射 能量進入腔室中。
本發明之另一實施例提供熱處理基板所用之設備。該 設備包括具有上方窗口的腔室、設置在腔室内的基板支撐 座(其中基板支撐座係設置來支撐基板,並讓該基板的處理 面面向上方窗口)、設置在腔室外的第一能量組件(其中第 一能量組件係設置來經由上方窗口輻射能量)以及設置在 腔室内且高於基板支撐座的第二能量組件。 本發明之又另一實施例提供熱處理基板所用之方法。 此方法包括將基板置於腔室内、應用第一能量源好提供熱 能給腔室與應用第二能量源好在腔室内產生活化物質。 6 1374506 【實施方式】
本發明提供執行半導體基板熱處理之方法與設備。本 發明之熱處理腔包括兩個不同的輻射能量源,諸如紅外線 輻射源與紫外光輻射源。紫外光輻射源與紅外線輻射源可 以單獨或共同應用來提供熱能、活化電子或在熱處理腔内 產生活化物質。許多諸如高速熱處理、退火與氧化等處理, 可以執行於熱處理腔内而有改善的結果。合併使用紫外光 與紅外線輻射讓表面選擇性反應得以施行(單獨使用任一 輻射是不可能達成的)。
第1圖描述依照本發明一實施例的熱處理腔1 00之剖 面透視圖。熱處理腔100通常包括一光源組件110與一腔 室130(具有一紫外光組件120設置於其内)。一實施例中, 腔室130係真空腔。另一實施例_,腔室130可以是大氣 腔。光源組件110置於腔室130之上且設置來經由腔室130 的石英窗1 14而提供熱能給腔室1 3 0。光源組件1 1 0係設 置來容納熱源(例如許多鎢-鹵素燈),以提供合適的紅外線 加熱手段給置於腔室130内的基板101。紫外光組件120 係設置來提供紫外光源給腔室1 3 0,例如好在腔室1 3 0中 產生可用於基板101表面上之反應的活化物質。 光源組件1 1 0通常包括一輻射能量管組件 1 1 2(其具 有許多光管111)。光管111可用不鏽鋼、黃銅、鋁或其他 金屬製成。各個光管1 1 1係設置來容納輻射能量源(例如鎢 7 1374506 鹵素燈)光g 111的末端以黃銅焊接(brazed)或锻接 (welded)至上冷卻壁丨16與下冷卻壁丨17的開口。圓枉形 壁118係以黃鋼焊接或锻接於上冷卻壁us與下冷卻壁 1 1 7週邊。一實施例中,以六角形排列配置光管丨丨丨。冷卻 劑會經由進入口丨09循環至光源组件11〇以冷卻光管 ill’並在處理中保持壁116、117與118處於冷卻狀態。
腔室130通常包括環形側壁14〇,其與石英窗114和 底壁(未顯示)_起界定出腔室容積(charnber volurne)139 » 側壁140具有進入口 131與排出口(未顯示),以這樣的設 置來連接腔室容積139與氣體源和泵浦。阻塞閥(siit vaiVe) 能適合側壁140上的開口 137,好讓自動控制裝置在基板 支撐座138(位於腔室容積139内)上放下基板1〇1,或從基 板支撐座138上回收基板101。基板支撐座138可以設置 成可垂直移動及繞著一 _心軸而旋轉。
紫外光組件120通常設置於腔室容積139較高的部 分。一實施例中,紫外光組件120可能採取可以「嵌入」腔 室130内的組件。可交替地嵌入不同樣式的紫外光組件進 入腔室130中,好符合不同的設計方法。第2圖描述第1 圖熱處理腔1〇〇所用之紫外光組件120的透視圖。紫外光 組件120通常包括一頂部124、一底部125與側壁121(其 界定紫外光容積「UV volume」129於其内)。底部125的 開口 126b係設置來連結紫外光容積】29與腔室130的腔室 容積139(顯示於笫1圖)°頂部124的開口 126a暴露紫外 8 , 積129給第1圖之石英窗114,因此在處理時提供一 從先療组件110至腔室容積139的輻射能量路徑。 紫外光组件120更包括許多個石英管123,此些石英 管的兩端均延伸超出紫外光組件12〇相對側壁121之外。 在石英管123的各個端部,以可移動式罩127作為密封之 用。各個石英管123係設置來容納紫外光燈122於其内(顯 示於第1圖)。 紫外光燈122可能包括充滿一或多種諸如氤(xe)或采 (Hg)等氣體(用來給功率源活化)的密封電漿燈泡(sealed plasma bulbs)。一實施例中,功率源可以是常見的紫外光 功率源’或是一或多種改良物(transformers)好包括磁電管 的能量絲(energize filaments)。另一實施例中,功率源可 以採用射頻(radio frequency, RF)能量源,其能夠活化紫外 光燈泡内的氣體。一實施例中,紫外光燈泡可能具有低壓 汞或其他低壓紫外光產生放電好產生波長為2 5 4nm與 1 85nm的輻射光》 一實施例中,紫外光燈122可置於其中一個石英管123 内,而且以紫外光燈122的電極延伸出紫外光容積129外 之方式設置,或者電極至少被頂部124遮蔽以避免來自光 源組件110的輻射能量,好維持電極不致過熱與調節紫外 光燈122内的壓力β 1374506
第3圖描述依照本發明之一實施例的熱處理腔200之 剖視圖。第4圖描述第3圓的熱處理腔200沿著4-4的剖 視圖。熱處理腔200通常包括一光源组件210,以及一腔 室230(其具有一紫外光组件22〇設置於其内光源组件 210置於腔室230上方,且其設置來提供熱能(經由腔室 230的石英窗214)給腔室230。光源組件210係設置來容 納熱源,例如設置許多個鎢-鹵素燈來提供合適的紅外線加 熱方法給置於腔室230内的基板201。紫外光組件係設置 來提供紫外光源給腔室230,例如好在腔室230中產生活 化物質(用於基板2〇1表面上的反應)。
光源組件210通常包括許多個光管211。光管211可 用不鏽鋼、黃銅、鋁或其他金屬製成。各個光管211係設 置來容納輻射能量源2 〇 8,例如設置鎢-卤素燈好以紅外線 輻射方式提供熱能給腔室容積239。光管211的末端以黃 銅焊接或鍛接至上冷卻壁216與下冷卻壁217的開口。圓 柱形壁218係以黃鋼焊接或鍛接於上冷卻壁216與下冷卻 壁2 1 7週邊。一實施例中,以六角形排列配置光管2 i j。 冷卻劑會經由進入口 2〇9循環至光源組件2丨〇好在製程中 保持壁於冷卻狀態。各個輻射能量源208會連結至一調節 器207,該調節器會調控各個輻射能量源2〇8的能量程度, 好對腔室容積239達到—致或適合的熱能分佈。一實施例 中’輻射能量源2〇8可以分成許多輻射波段(radial bands)。另一實施例中,輻射能量源208可以單獨地被調 控。 10 1374506
腔室230通常包括環形側壁240,其與石英窗214與 底壁(未顯示)共同界定腔室容積239。側壁240具有一適 合氣體源2 35的進入口 23 1,此種設置可提供一或多種氣 體給腔室容積239。適合泵浦236的排出口 234,其通常設 置在與側壁240上進入口 231相對之側邊。阻塞閥(未顯示) 能適合側壁240上的開口 23 7(顯示於第4圖),好讓自動 控制裝置在基板支撐座238(位於腔室容積239内)上丟下 或從基板支撐座上回收基板201。基板支撐座238設置成 可垂直移動以及繞著一中心軸而旋轉。 紫外光組件220通常設置於腔室容積239較高的部 分。一實施例中,紫外光組件2 2 0可能採取可以“嵌入,,腔 室230内的組件。紫外光組件22〇可能相似於第2圖的紫 外光組件。許多個石英管223可以用平行方式設置,且其 兩端均延伸出紫外光組件220的相對側壁221外》-實施 例中,紫外光组件220會包括大約i 5個均勻地分散在水平 面上的石英管223。紫外光燈222會設置於各個石英管223 内好提供所欲的紫外光資源。藉由利用石英管223來包覆 紫外光燈222,可以避免由於紫外光燈222破掉而對腔室 230造成的微粒污染或其他損害。參照第4圖許多個石 英管223各自均突出側壁221夕卜。以紫外光燈⑴的兩電 極241位於腔至容積239之外的方式,來設置各個石英管 223内的紫外光燈222。雷;, €極241適合於功率提供器206, 此設置獨立地提供能量源給各個紫外光燈222。可以用罩 11 1374506 套227來封閉石英管223。可以在各個石英管223與側壁 221的接合處附近用密封® 242來密封腔室容積239。 紫外光组件220與光源组件210可以單獨或共同應用 來提供熱能、活化表面位置或在腔室 230内產生活化物 質。許多諸如高速熱處理、退火與氧化等處理,可以執行 於熱處理腔200内。
氧化處理中,可用光源組件2 1 0以紅外線輻射方式, 來提供熱能給置於腔室容積23 9内的基板201» —實施例 中,可調整光源組件 210來均勻地高速加熱基板至一溫 度,該溫度可讓氧擴散於基板201的整個二氧化矽產物層 上。紫外光組件220可以用前驅物來提供紫外光輻射產生 的活化物質,活化物質的前驅物係經由進入口 1 3 1提供給 腔室容積239。需要注意的是紫外光燈222内的壓力相當 地低,即便紫外光燈222置於光源組件210的輻射路徑 上,紫外光燈 222仍吸收非常有限、來自光源組件210的 輻射能量。石英管223可能會吸收某部分來自光源組件2 1 0 的輻射能。一實施例中,石英管223具有矩形的橫切面, 好減少對來自光源組件210的輻射能量分佈之干擾。 來自紫外光組件220的紫外光輻射可用來照射前驅 物,該前驅物可以產生所欲的活化物質(用來處理基板上之 薄膜)。例如,前驅物至少包含氣、氧或氟,或由上述之物 質組成。然而,仍可使用其他活化物質與前驅物。可以調 整紫外光輻射源來產生不同的活化物質。一實施例中,藉 12 1374506 由調整紫外光燈泡内的氣逋壓力好散發不同波長的紫外光 輻射,進而達到調整紫外光輻射源的目的。 一實施例中,在具有紅外線輻射源好加熱反應表面的 熱處理腔(例如第3圊的熱處理腔2 0 0)中,可利用紫外光 輻射從氧(〇2)、臭氧(〇3)、氧化亞氮(n20)、一氧化碳(CO)、 二氧化碳(C〇2)、水(H2〇)或上述之混合物,在接近反應表 面附近產生高活性氧化物質。
另一實施例中,在真有紅外線輻射源好加熱反應表面 的熱處理腔(例如第3圖的熱處理腔200)中,可利用紫外 光辕射從氣(NH3)、氣氣(N2)、聯氛(hydrazines)、胺類或 上述之混合物,在接近反應表面附近產生高活性氮化物質。 另一實施例中,在具有紅外線輻射源好加熱反應表面 的熱處理腔(例如第3圖的熱處理腔2 00)中,可利用紫外 光輻射從氧化亞氮、一氧化氮,在接近反應表面附近產生 高活性氧化/氮化物質。
另一實施例中,在具有紅外線輻射源好加熱反應表面 的熱處理腔(例如第3圖的熱處理腔2 0 0)中,可利用紫外 光轄射從三氣化氮(NF3)、氟(F2)、四氟化碳(CF4)、六氟 化硫(SF6)、六氟乙烷(C2F6)、四氣化碳(CCU)、六氯乙烷 (C2C16)或上述之混合物,在接近反應表面附近產生高活性 氟化物質。當使用紫外光輻射能量來產生活性氟化物質 時,會以抗氤化材質作成之管子替換石英管223。 13 1374506 紅外線與紫外光輻射的共同應用可執行表面選擇性反 應(僅使用任一輻射是不可能達成的)。一態樣中,可藉由 結合紫外光活化與設計過的發射率差異所造成之差異性表 面加熱(differential surface heating)來達成表面選擇反應。
這個具有紫外光輻射源與紅外線輻射源兩者之熱處理 腔的配置具有許多優點。一態樣中,在某些處理(例如氧化) 上同時使用紫外光與紅外線輻射兩者,會因為可以利用紫 外光輻射來產生活化物質(而不是利用紅外線輻射產生活 化物質)而減少基板的上升溫度,進而可以縮短處理時間。 另一態樣中,紫外光輻射源與紅外線輻射源的單獨調 控增強了處理效果的一致性。更明確地,紅外線輻射源(例 如第3圖的光源組件2 1 0)可藉由調整紅外線輻射分佈而迅 速靈活地調控基板溫度,而紫外光輻射源(例如第3圖的紫 外光組件 2 2 0)可為了活化物質與活化地點的產生而單獨 調整紫外光分佈。
另一態樣中,將紫外光輻射源置於紅外線輻射源内的 配置,達到了統一兩輻射類型(在腔室内基板處理表面上與 氣相中)更好的最佳化。 此外,藉由利用腔室内的紫外光輻射去輻照氣相,此 種配置在基板表面附近產生活化物質,可以在接近使用位 置產生高度活化物質,進而減少重組作用(recombination) 或其他不欲之反應所造成的損失。 14 1374506 再者,此種配置亦有減緩基板表面易揮發物質損失的 優點,係由於此種配置可以在比已知技術方法(例如利用電 漿)更高的壓力狀態下產生活化物質。通常是不希望出現基 板表面易揮發物質的損失,因為它會導致損失所欲之表面 特徵、濃缩光學表面的污染物與產生微粒。較高的壓力通 常有用於減缓基板表面易揮發物質的損失。然而,在已知 產生活化物質(例如電漿)之技術方法的情況下,當將基板 置於離子化區之外時,通常需要低的總氣體壓力好允許活 化物質脫離電漿離子化區之外。高密度的電漿通常具有歸 因於材質暴露於非常高溫的污染問題(高溫熱處理常出現 的案例)。 兩的 相學 氣力 與動 面致 表導 板生 基產 射時 照同 時的 同 質 來物 射化 輻活 光與 外點 紫地 用化 利活 ,於 者由 再 會 β , 高 者提 第5圖描述依照本發明之另一實施例的紫外光組件 320的透視圖。紫外光組件320可用來提供紫外光輻射給 熱處理腔,例如第1圖的熱處理腔100與第3圖的熱處理 腔200。紫外光組件320通常包括許多個側壁32 1,這些側 壁由許多個接合點324連結以形成多邊形。一實施例中, 多邊形是正方形(如顯示於第5圖中)》包覆於石英管323 内的紫外光燈322通常置於許多側壁321的各自内側。各 個紫外光燈322與石英管323延伸進入兩個鄰近的接何點 324内,其中紫外光燈322的電極會被隔離於任何輻射(紫 15 1374506 外光组件320所在的腔室内)之外。此種配置將紫外光輻射 能量更朝向氣相而較不朝向基板表面。可使用單一末端的 紫外光燈(例如XERADEX®燈)於此種配置t。
許多反射器327通常係各自沿著許多個側壁321而設 置’好反射來自對應的紫外光燈322之紫外光輻射於所欲 之方向。反射器327通常具有一設計過的剖面形狀好達到 所欲的功能。一實施例中(如顯示於第6圖中),各個反射 器327的剖面形狀係抛物線。對應的紫外光燈322之中心 係置於拋物線的焦點328上。當來自紫外光燈322的輻射 線329到達反射器327時,反射器327反射輻射線329至 紫外光燈322所形成的多邊形内,且可能平行於基板處理 表面。反射器3 27的剖面形狀也可以是橢圓或其他樣式。 反射器327可以具有由金屬(諸如鋁或銀)或介電膜(有效反 射紫外光鶴射)之堆叠製成的反射表面。反射器327的使用 可以用來減少基板(正在處理)表面的紫外光暴露。一實施
例中,反射器327亦可以用來作為紫外光燈(以微波功率 源為動力)的微波腔。 第7圖描述具有第5圖的紫外光組件32〇之熱處理腔 300的剖視圖。熱處理腔3〇〇相似於第3圖的熱處理腔 200,除了紫外光組件22G以紫外光組件32()替換。如顯示 於第7圖中’紫外光燈322位於光源組件2iQ韓射區域的 外面》此種配置在處理中減少基2G1上的紫外光賴射與 石英管323所吸收的能量。 16 1374506 雖然上文指向於本發明的實施例,但可以在不悖離本 發明基本範圍設計出其他與更近一步的實施例,而其範圍 係決定於下述的專利申請释圍。 【圖式簡單說明】
.為了以更加詳細的方式了解本發明上述特徵,簡短地 概括於發明内容之本發明更特定的描述,可以參照實施例 來執行,其中某些實施例係描述於附圖中。然而值得注意 的是附圖僅描述此發明典型的實施例,並不會因而視為其 範圍的限制因素,好讓本發明可以包含其他相等功效的實 施例。 第1圖描述依照本發明之一實施例的熱處理腔之剖面透視 圖。 第2圖描述依照本發向之一實施例的紫外光(UV)組件之透 視圖。
第3圖描述依照本發明之一實施例的熱處理腔之剖示圖。 第4圖描述第3圖的熱處理腔之剖示圖。 第 5圖描述依照本發明之一實施例的紫外光組件之透視 圖。 第6圖描述第5圖紫外光組件所用的示範反射器之剖示圖。 第7圖描述具有第5圖紫外光組件的熱處理腔之剖示圖。 17 1374506 【主要元件符號說明】 1 00 ' 200 ' 300 熱處理腔 101、201 基板 109、13 1、209 ' 23 1 進入口
110' 210 光源组件 112 管组件 116' 216 上冷卻壁 118、218 圓柱形壁 121 、 140 、 221 、 240 、 321 122 ' 222、 322 紫外光燈 124 頂部 126a-b 、 137 、 237 開 σ 129 紫外光容積 138、238 基板支撐座 206 功率提供器 208 輻射能量源 234 排出口 236 泵浦 242 密封墼 327 反射器 329 輻射線 111 ' 211 光管 114' 214 石英窗 117' 217 下冷卻壁 120、220、320 紫外光組件 側壁 123 ' 223 ' 323 石英管 125 底部 127 移動式罩 130 、 230 腔室 139、239 腔室容積 207 調節器 227 罩套. 235 氣體源 241 電極 324 接合點 328 焦點 18

Claims (1)

1374506 心月以日糾 十、申請專利範圍: 1. 一種熱處理一基板的設備,至少包含: 一腔室; 一設置在該腔室内的紫外光輻射组件;及 一外部輻射组件,該外部輻射组件係設置來經由該腔室 之一石英窗輻射能量進入該腔室。
2.如申請專利範圍第1項所述之設備,其t該外部輻 射組件包括多個以六角形排列配置的紅外線輻射源。 3. 如申請專利範圍第1項所述之設備,其中該紫外光 輻射組件包括多個紫外光燈。 4. 如申請專利範圍第3項所述之設備,其中該些紫外 光燈各自被包圍在一石英管中。
5.如申諳專利範圍第3項所述之設備,其中該些紫外 光燈彼此平行且均勻地分佈在該基板的一處理表面上。 6. 如申請專利範圍第3項所述之設備,其中該些紫外 光燈的多個電極係置於該腔室之外。 7. 如申請專利範圍第3項所述之設備,其中該些紫外 19 1374506 光燈係沿著一圍繞該基板之一處理表面之多邊形而配置。 8.如申請專利範圍第7項所述之設備,其中該紫外光 輻射组件更包含多個反射器,且該些反射器各自係設置來 反射來自一對應紫外光燈的紫外光輻射,使紫外光輻射大 致平行於該基板。
9.如申請專利範圍第8項所述之設備,其中該些反射 器具有一拋物線的橫剖面,且該對應紫外光燈係置於該拋 物線橫剖面的一焦點上。 10. —種熱處理一基板的設備,至少包含: 一具有一上方窗口的腔室; 一設置在該腔室内的基板支撐座,其中該基板支撐座係 設置來支撐該基板,並讓該基板的一處理面面向該上方窗
一設置在該腔室外的第一能量組件,其中該第一能量組 件係設置來經由該上方窗口輻射能量;以及 一設置在該腔室内且高於該基板支撐座的第二能量組 件,其中該第二能量組件係設置來提供紫外光能量。 11 .如申請專利範圍第1 0項所述之設備,其中該第二 能量組件包含許多個彼此平行配置的紫外光燈。 20 1374506 12. 如申請專利範圍第11項所述之設備,其中該些紫 外光燈各自係可單獨地調控的。 13. 如申請專利範圍第10項所述之設備,其中該第二 能量組件包含多個紫外光燈,該多個紫外光燈配置成一圍 繞該基板處理面的多邊形。
14.如申請專利範圍第13項所述之設備,其中該第二 能量組件更包含多個反射器,該些反射器各自係設置成可 反射來自一對應UV燈的紫外光輻射,使紫外光輻射大致 平行於該基板處理面。 1 5 .如申請專利範圍第1 0項所述之設備,其中該第一 能量組件包含許多個六角形排列的燈。
16_如申請專利範圍第10項所述之設備,其中該第一 能量組件係設置來提供紅外線能量。 17. —種熱處理一基板的方法,至少包含: 將該基板置於一腔室中; 供應一前驅物至該腔室; 應用一第一能量源來提供熱能給該腔室;以及 21 1374506 應用一第二能量源在該腔室中該基板之一表面附近自 該前驅物產生活化物質,其中該第一能量源與該第二能量 源係聯合應用。 18.如申請專利範圍第17項所述之方法,其中該第一 能量源包括許多個加熱燈且該第二能量源包括許多個紫外 光燈。
19. 如申請專利範圍第18項所述之方法,更包括: 將該第一能量源置於該腔室之一石英窗外;以及 將該第二能量源置於該腔室内。 20. 如申請專利範圍第17項所述之方法,其中該應用 第二能量源的步驟包括為產生活化物質之故而量身設計輻 射分佈。
22
TW096115497A 2006-05-01 2007-05-01 Uv assisted thermal processing method and apparatus TWI374506B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/414,869 US7547633B2 (en) 2006-05-01 2006-05-01 UV assisted thermal processing

Publications (2)

Publication Number Publication Date
TW200802615A TW200802615A (en) 2008-01-01
TWI374506B true TWI374506B (en) 2012-10-11

Family

ID=38668470

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096115497A TWI374506B (en) 2006-05-01 2007-05-01 Uv assisted thermal processing method and apparatus

Country Status (7)

Country Link
US (1) US7547633B2 (zh)
JP (1) JP5269769B2 (zh)
KR (1) KR101046014B1 (zh)
CN (1) CN101437626B (zh)
DE (1) DE112007001114T5 (zh)
TW (1) TWI374506B (zh)
WO (1) WO2007130909A2 (zh)

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8314368B2 (en) * 2008-02-22 2012-11-20 Applied Materials, Inc. Silver reflectors for semiconductor processing chambers
US20100022072A1 (en) * 2008-07-25 2010-01-28 Atmel Corporation Semiconductor Fabrication
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
US7964858B2 (en) 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
JP2014033148A (ja) * 2012-08-06 2014-02-20 Ulvac Japan Ltd 光照射装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10699922B2 (en) * 2014-07-25 2020-06-30 Applied Materials, Inc. Light pipe arrays for thermal chamber applications and thermal processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6346826B2 (ja) * 2014-08-06 2018-06-20 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6368813B1 (ja) * 2017-03-08 2018-08-01 財団法人國家實驗研究院 紫外線照射によってケイ素系の表面天然酸化物品質を向上できる装置と方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7321730B2 (ja) * 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59215732A (ja) * 1983-05-24 1984-12-05 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作製方法
JPH0740552B2 (ja) * 1985-07-15 1995-05-01 三井東圧化学株式会社 半導体薄膜の製法
JPH0736401B2 (ja) * 1986-11-19 1995-04-19 日本電気株式会社 半導体製造装置
JPH0774452B2 (ja) * 1986-11-27 1995-08-09 キヤノン株式会社 光化学気相成長法による機能性堆積膜の形成方法
US5194401A (en) * 1989-04-18 1993-03-16 Applied Materials, Inc. Thermally processing semiconductor wafers at non-ambient pressures
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
JPH0448720A (ja) * 1990-06-15 1992-02-18 Hitachi Ltd 表面処理方法および装置
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US6280790B1 (en) * 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US6280183B1 (en) * 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6130415A (en) * 1999-04-22 2000-10-10 Applied Materials, Inc. Low temperature control of rapid thermal processes
FR2792774B1 (fr) * 1999-04-26 2003-08-01 Joint Industrial Processors For Electronics Procede et dispositif de traitement d'un materiau par rayonnement electromagnetique et sous atmosphere controlee
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
JP3778432B2 (ja) * 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
US6828234B2 (en) * 2002-03-26 2004-12-07 Applied Materials, Inc. RTP process chamber pressure control
US7778533B2 (en) * 2002-09-12 2010-08-17 Applied Materials, Inc. Semiconductor thermal process control
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US6911376B2 (en) * 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
US6869892B1 (en) * 2004-01-30 2005-03-22 Tokyo Electron Limited Method of oxidizing work pieces and oxidation system
JP3972126B2 (ja) * 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置
KR100628561B1 (ko) * 2004-06-01 2006-09-26 동부일렉트로닉스 주식회사 온도 균일성을 위한 급속열처리 장치
US7147359B2 (en) * 2004-06-25 2006-12-12 Applied Materials, Inc. Lamp assembly having flexibly positioned rigid plug
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7909595B2 (en) * 2006-03-17 2011-03-22 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors

Also Published As

Publication number Publication date
TW200802615A (en) 2008-01-01
US7547633B2 (en) 2009-06-16
WO2007130909A3 (en) 2008-06-12
US20080067416A1 (en) 2008-03-20
CN101437626B (zh) 2013-10-16
JP5269769B2 (ja) 2013-08-21
KR20090012348A (ko) 2009-02-03
WO2007130909B1 (en) 2008-08-07
CN101437626A (zh) 2009-05-20
JP2009535858A (ja) 2009-10-01
WO2007130909A2 (en) 2007-11-15
DE112007001114T5 (de) 2009-04-09
KR101046014B1 (ko) 2011-07-01

Similar Documents

Publication Publication Date Title
TWI374506B (en) Uv assisted thermal processing method and apparatus
JP4934193B2 (ja) 誘電体バリア放電ランプアセンブリを用いた基板処理チャンバ
JP5079949B2 (ja) 処理装置および処理方法
US20220051915A1 (en) Light irradiation type heat treatment apparatus
US11251057B2 (en) Thermal processing method and thermal processing device
KR20210020822A (ko) 열처리 장치 및 열처리 장치의 세정 방법
KR102061973B1 (ko) 열처리 장치의 배기 방법
JP2009123807A (ja) 熱処理装置
TWI740173B (zh) 熱處理方法
KR20190024666A (ko) 열처리 장치 및 열처리 방법
US11282708B2 (en) Light irradiation type heat treatment method and heat treatment apparatus
US10777427B2 (en) Light irradiation type heat treatment method
US20220076970A1 (en) Light irradiation type heat treatment apparatus
US20230207348A1 (en) Heat treatment apparatus
JP5456257B2 (ja) 熱処理装置
US11189506B2 (en) Rod-shaped lamp and heat treatment apparatus
JP3789366B2 (ja) 熱処理装置
JP5891255B2 (ja) 熱処理装置
JP5616006B2 (ja) 熱処理装置
JP5349802B2 (ja) 熱処理装置
JP3609380B2 (ja) 熱処理装置
JP3716762B2 (ja) 光処理装置
JP5274846B2 (ja) 熱処理装置
JP2022152246A (ja) ウエハ処理装置
JP2009231608A (ja) 熱処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees