KR20090012348A - Uv 보조 열 처리 장치 및 방법 - Google Patents

Uv 보조 열 처리 장치 및 방법 Download PDF

Info

Publication number
KR20090012348A
KR20090012348A KR1020087029395A KR20087029395A KR20090012348A KR 20090012348 A KR20090012348 A KR 20090012348A KR 1020087029395 A KR1020087029395 A KR 1020087029395A KR 20087029395 A KR20087029395 A KR 20087029395A KR 20090012348 A KR20090012348 A KR 20090012348A
Authority
KR
South Korea
Prior art keywords
substrate
heat treatment
chamber
energy
assembly
Prior art date
Application number
KR1020087029395A
Other languages
English (en)
Other versions
KR101046014B1 (ko
Inventor
죠셉 마이클 라니시
요시타카 요코타
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090012348A publication Critical patent/KR20090012348A/ko
Application granted granted Critical
Publication of KR101046014B1 publication Critical patent/KR101046014B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 반도체 기판으로 열 공정을 수행하기 위한 방법 및 장치를 제공한다. 본 발명의 열 처리 챔버는 적외선 공급원 및 UV 방사선 공급원과 같은 두 개의 상이한 에너지 공급원을 포함한다. UV 방사선 공급원 및 적외선 공급원은 홀로 또는 조합하여 이용될 수 있어 열 처리 챔버 내에 열을 공급하고, 전자를 활성화시키거나 활성종을 생성한다.

Description

UV 보조 열 처리 장치 및 방법 {UV ASSISTED THERMAL PROCESSING}
본 발명은 일반적으로 반도체 처리 도구에 관한 것으로, 더욱 상세하게는 UV 방사선 공급원을 구비한 열 처리 도구에 관한 것이다.
통상적으로 'RTP' 로서 지칭되는, 급속 열 처리는 수초 내에 상온으로부터 약 1000℃까지 갈 수 있는 열의 매우 짧고 강한 분출을 기판에 가한다. RTP 기술은 증착 필름, 결정 격자의 특성을 변화시키기 위해 이용된다. RTP는 일반적으로 기판 표면의 어닐링, 실리사이데이션(silicidation) 및 산화와 같은 공정들을 포함한다.
일반적으로, RTP 챔버는 방사 열 공급원 또는 램프, 챔버 바디, 및 기판 지지 링을 포함한다. 램프는 통상적으로 챔버 바디의 상부면에 장착되어 램프에 의해 발생된 에너지가 챔버 바디 내의 기판 지지 링에 의해 지지되는 기판 상에 방사하도록 한다. 석영 윈도우는 통상적으로 램프로부터 기판으로 에너지의 전달을 용이하게 하도록 챔버 바디의 상부면에 배치된다. 외부 모터는 보통 기판에 비균일하게 가열하는 램프에 의해 발생되는 방사 에너지의 변화를 보상하기 위해 지지 링 및 기판을 회전하기 위해 이용된다. 통상적으로, 급속 열 공정은 더 향상된 균일성을 얻기 위하여 감소된 압력으로 수행된다.
열 산화는 통상적으로 게이트 산화물로서 이용되는 산화물의 매우 얇은 층을 성장시키기 위해 이용된다. 종래 기술의 RTP 챔버에서 수행되는 산화 공정은 보통 산화물 층을 형성하기 위하여 이용되는 산소 또는 다른 종을 손상시키고 기판 표면 상에 발생되는 산소 또는 다른 종의 확산을 충분히 허용할 정도로 충분히 높은 RTP 챔버에서의 온도의 상승을 포함한다. 산소 또는 다른 종을 손상시키기 위해 요구되는 온도는 통상적으로 충분한 확산을 유발하기 위해 요구되는 온도 보다 더 높다. 산소 또는 다른 종을 손상시키기 위해 요구되는 높은 온도는 열 노출을 증가시키고, 다른 구조물 내부의 원하지 않는 확산을 증진시킨다.
따라서, 증가되는 챔버 온도의 요구없이 산화 또는 다른 급속 열 공정을 수행하기 위한 장치 및 방법에 대한 요구가 있었다.
본 발명은 반도체 기판의 급속 열 처리를 수행하기 위한 방법 및 장치를 제공한다.
본 발명의 일 실시예는 기판을 열 처리하기 위한 장치를 제공한다. 장치는 챔버 및 챔버 내부에 배치되는 UV 방사 조립체를 포함한다. 장치는 챔버 상의 석영 윈도우를 통하여 챔버 내로 에너지를 방사하도록 구성되는 외부 방사 조립체를 더 포함한다.
본 발명의 또 다른 실시예는 기판을 열 처리하기 위한 장치를 제공한다. 장치는 상부 윈도우를 가지는 챔버, 챔버 내부에 장착되고 상부 윈도우 쪽의 처리면을 구비한 기판을 지지하도록 구성되는 기판 지지부, 챔버 외부에 배치되고 상부 윈도우를 통하여 에너지를 방사하도록 구성되는 제 1 에너지 조립체, 및 기판 지지부 위의 챔버 내부에 장착되는 제 2 에너지 조립체를 포함한다.
본 발명의 또 다른 실시예는 기판을 열 처리하기 위한 방법을 제공한다. 상기 방법은 챔버 내에 기판을 위치시키는 단계, 챔버로 열을 공급하도록 제 1 에너지 공급원을 인가하는 단계, 및 챔버 내에 활성종을 발생시키도록 제 2 에너지 공급원을 인가하는 단계를 포함한다.
본 발명의 상술된 특징이 더 상세하게 이해될 수 있는 방식으로, 위에서 간단히 요약된 본 발명의 더욱 특별한 설명이 실시예들을 참조할 수 있으며, 이 실시예들 중 일부는 첨부된 도면에 도시된다. 그러나, 첨부된 도면은 본 발명의 단지 통상적인 실시예를 도시하며 따라서 본 발명의 범위를 제한것으로 고려되지 않으며, 본 발명은 다른 균등한 효과의 실시예를 인정할 수 있다.
도 1은 본 발명의 일 실시예에 따른 열 처리 챔버의 부분 사시도이며,
도 2는 본 발명의 일 실시예에 따른 UV(자외선) 조립체의 사시도이며,
도 3은 본 발명의 일 실시예에 따른 열 처리 챔버의 단면도이며,
도 4는 도 3의 열 처리 챔버의 단면도이며,
도 5는 본 발명의 일 실시예에 따른 UV 조립체의 사시도이며,
도 6은 도 5의 UV 조립체에 이용되는 전형적인 반사기의 사시도이며,
도 7은 도 5의 UV 조립체를 가지는 열 처리 챔버의 단면도이다.
본 발명은 반도체 기판의 열 처리를 수행하기 위한 방법 및 장치를 제공한다. 본 발명의 열 처리 챔버는 적외선 공급원 및 UV 방사선 공급원과 같은, 두 개의 상이한 방사선 에너지 공급원을 포함한다. UV 방사선 공급원 및 적외선 공급원은 열을 공급하고, 일렉트로닉스를 활성화하도록, 또는 활성종을 생성하도록 홀로 또는 조합하여 이용될 수 있다. 급속 열 처리, 어닐링, 및 산화와 같은 다수의 공정은 열 처리 챔버에서 수행될 수 있어 결과가 개선된다. 적외선 및 UV 방사선의 조합 이용은 표면 선택 반응을 가능하게 하며 이는 어느 하나의 방사선 하나만 이용함으로써 가능하지 않다.
도 1은 본 발명의 일 실시예에 따라 열 처리 챔버(100)의 사시도이다. 열 처리 챔버(100)는 일반적으로 램프 조립체(110), 및 그 안에 배치되는 UV 조립체(120)를 가지는 챔버(130)를 포함한다. 일 실시예에서, 챔버(130)는 진공 챔버이다. 또 다른 실시예에서, 챔버(130)는 대기 챔버(atmospheric chamber)이다. 램프 조립체(110)는 챔버(130) 위에 위치하여 챔버(130)의 석영 윈도우(114)를 경유하여 챔버(130)에 열을 공급하도록 구성된다. 램프 조립체(110)는 챔버(130) 내에 배치되는 기판(101)에 테일러된(tailored) 적외선 가열 수단을 제공하도록 구성된 다수의 텅스텐-할로겐 램프와 같은, 가열 요소를 수용하도록 구성된다. UV 조립체(120)는 예를 들면 챔버(130) 내의 기판(101)의 표면 상에 반응을 위한 활성종을 발생하도록, 챔버(130)에 UV 공급원을 제공하도록 구성된다.
램프 조립체(110)는 일반적으로 다수의 광 파이프(111)를 가지는 방사선 에너지 파이프 조립체(112)를 포함한다. 광 파이프(111)는 스테인레스 강, 황동, 알 루미늄 또는 다른 금속으로 제조될 수 있다. 각각의 광 파이프(111)는 방사선 에너지 공급원, 예를 들면 텅스텐-할로겐 램프를 수용하도록 구성된다. 광 파이프(111)의 단부는 상부 냉각 벽(116) 및 하부 냉각 벽(117) 내의 개구로 브레이징 또는 웰딩된다. 원통형 벽(118)은 상부 냉각 벽(116) 및 하부 냉각 벽(117)의 주변 에지에 브레이징 또는 웰딩된다. 일 실시예에서, 광 파이프(111)는 6각 배치로 배치될 수 있다. 냉매는 공정 동안 광 파이프(111)를 냉각하여 벽(116, 117 및 118)을 저온으로 유지하도록 입구(109)를 통하여 램프 조립체(110)로 순환할 수 있다.
챔버(130)는 일반적으로 석영 윈도우(114) 및 바닥 벽(도시안됨)을 구비한 챔버 벽(139)을 형성하는 원형 측벽(140)을 포함한다. 측벽(140)은 가스 공급원 및 펌프를 구비한 챔버 벽(139)에 연결되도록 구성되는 입구(131) 및 출구(도시안됨)를 가질 수 있다. 슬릿 밸브는 챔버 용적(139) 내에 위치하는 기판 지지부(138) 상/로부터 기판을 낙하/회수하기 위한 로봇을 위해 측벽 상에 개구(137)로 적용될 수 있다. 기판 지지부(138)는 중앙 축선에 대해 수직으로 이동하여 회전할 수 있도록 구성될 수 있다.
UV 조립체(120)는 일반적으로 챔버 용적(139)의 상부에 배치된다. 일 실시예에서, UV 조립체(120)는 챔버(130)로 " 플러그 인(plugged into) "될 수 있는 모듈의 형태를 취할 수 있다. UV 조립체의 상이한 설계는 상이한 설계 방법을 적용하도록 챔버(130) 내로 교체가능하게 플러그될 수 있다. 도 2는 도 1의 열 처리 챔버(100)에 이용되는 UV 조립체(120)의 사시도이다. UV 조립체(120)는 일반적으 로 상부(124), 바닥(125) 및 측벽(121)을 포함하여, 내부에 UV 용적(129)을 형성한다. 바닥(125)의 개구(126b)는 도 1에 도시된 챔버(130)의 챔버 벽(139)을 구비한 UV 용적(129)을 연결하도록 구성된다. 상부(124)의 개구(126a)는 도 1의 석영 윈도우(114)로 UV 용적(129)을 노출하여, 공정 동안 램프 조립체(110)로부터 챔버 용적(139)으로의 방사선 에너지를 위한 통로를 제공한다.
UV 조립체(120)는 UV 조립체(120)의 마주하는 측벽들(121)로부터 연장하는 양 단부를 구비한 다수의 석영 튜브(123)를 더 포함한다. 석영 튜브(123)의 각각의 단부 상에, 제거가능한 캡(127)은 밀봉을 위해 이용될 수 있다. 각각의 석영 튜브(123)는 내부에 UV 램프(122)를 수용하도록 구성된다(도 1에 도시됨).
UV 램프(122)는 전원에 의해 여기를 위해 제논(Xe) 또는 수은(He)과 같은 하나 또는 그 이상의 가스로 채워지는 밀봉된 플라즈마 전구를 포함할 수 있다. 일 실시예에서, 전원은 마그네트론의 에너자이즈 필라멘트(energize filament)를 포함하기 위하여 종래의 UV 전원 또는 하나 또는 그 이상의 트랜스포머일 수 있다. E또 다른 실시예에서, 전원은 UV 램프 전구 내에 가스를 여자할 수 있는 무선 주파수(RF) 에너지 공급원을 도입할 수 있다. 일 실시예에서, UV 램프 전구는 254nm 및 185nm의 방사선을 생성하도록 저압 Hg 또는 다른 저압 UV 생성 방전을 가질 수 있다.
일 실시예에서, UV 램프(122)는 석영 튜브(123)들 중 하나에 위치될 수 있어 UV 램프(122)의 전극이 UV 용적(129) 외부에 돌출되거나 적어도 램프 조립체(110)의 방사선 에너지로부터 상부(124)에 의해 덮혀지도록 하여 전극이 과열되지 않도 록 하여 UV 램프(122) 내에 압력을 제어하도록 한다.
도 3은 본 발명의 일 실시예에 따라 열 처리 챔버(200)의 단면도이다. 도 4는 4-4를 따른 도 2의 열 처리 챔버(200)의 단면도이다. 열 처리 챔버(200)는 일반적으로 램프 조립체(210), 및 UV 조립체(220)를 배치하는 챔버(230)를 포함한다. 램프 조립체(210)는 챔버(230) 위에 위치설정되고 챔버(230)의 석영 윈도우(214)를 경유하여 챔버(230)로 열을 공급하도록 구성된다. 램프 조립체(210)는 테일러된 적외선 가열 수단을 챔버(230) 내에 배치되는 기판(201)으로 제공하도록 구성되는 다수의 텅스텐-할로겐 램프와 같은, 가열 공급원을 수용하도록 구성된다. UV 유닛은 UV 공급원을 챔버(230)에 제공하도록, 예를 들면, 챔버(230) 내에 기판(201)의 표면 상의 반응을 위해 활성종을 발생하도록 한다.
램프 조립체(210)는 일반적으로 다수의 광 파이프(211)를 포함한다. 광 파이프(211)는 스테인레스 강, 황동, 알루미늄 또는 다른 금속으로 제조될 수 있다. 각각의 광 파이프(211)는 방사선 에너지 공급원(208)을 수용하도록, 예를 들면 텅스텐-할로겐 램프를 적외선 방사선의 형태로 챔버 용적(239)으로 열을 제공하도록 구성된다. 광 파이프(211)의 단부는 상부 냉각 벽(216) 및 하부 냉각 벽(217) 내의 개구로 브레이징 또는 웰딩된다. 원통형 벽(218)은 상부 냉각 벽(216) 및 하부 냉각 벽(217)의 주변 에지에 브레이징 또는 웰딩된다. 일 실시예에서, 광 파이프(211)는 6각 배치로 배치될 수 있다. 냉매는 공정 동안 입구(209)를 통하여 램프 조립체(210)로 순환될 수 있어 벽을 저온으로 냉각되도록 한다. 방사선 에너지 공급원(208)의 각각은 챔버 벽(239)으로 균일한 또는 테일러된 가열 프로파일을 달 성하도록 각각의 방사 에너지 공급원(208)의 에너지 레벨을 제어할 수 있는 제어기(207)에 연결될 수 있다. 일 실시예에서, 방사선 에너지 공급원(208)은 다수의 방사형 밴드에 그룹화될 수 있다. 또 다른 실시예에서, 방사선 에너지 공급원9208)은 개별적으로 제어될 수 있다.
챔버(230)는 일반적으로 석영 윈도우(214) 및 바닥 벽(도시안됨)을 구비한 챔버 벽(239)을 형성하는 원형 측벽(240)을 포함한다. 측벽(240)은 하나 또는 그 이상의 가스를 챔버 벽(239)으로 제공하도록 구성되는 가스 공급원(235)으로 적용되는 입구(231)를 가진다. 일반적으로 입구(231)의 측벽(240)의 마주하는 측부에 배치되는, 출구(234)는 펌프(236)에 적용된다. 슬릿 밸브(도시안됨)는 챔버 용적(239) 내에 배치되는 기판 지지부(238) 상에/로부터 기판(201)을 낙하 및/또는 회수하기 위한 로봇을 위해 측벽(240) 상의 개구(237)(도 4에 도시됨)에 적용될 수 있다. 기판 지지부(238)는 중앙 축선에 대해 수직으로 이동하여 회전하도록 구성될 수 있다.
UV 조립체(220)는 일반적으로 챔버 용적(239)의 상부에 배치된다. 일 실시예에서, UV 조립체(220)는 챔버(230)로 "플러그 인"될 수 있는 모듈의 형태를 취할 수 있다. UV 조립체(220)는 도 2의 UV 조립체에 유사할 수 있다. 다수의 석영 튜브(223)는 UV 조립체(220)의 마주하는 측부들(221)로부터 연장하는 양 단부를 구비한 평행한 방식으로 배치될 수 있다. 일 실시예에서, UV 조립체(220)는 수평면 상에 균일하게 분배되는 약 15개의 석영 튜브(223)를 포함할 수 있다. UV 램프(222)는 원하는 UV 리소스(resource)를 제공하도록 석영 튜브(223)의 각각에 배치될 수 있다. UV 램프(222)를 둘러싸도록 석영 튜브(223)를 이용함으로써, 손상된 UV 램프(222)의 결과로서 챔버(230)로 입자 오염물 또는 다른 손상을 방지할 수 있다. 도 4를 참조하면, 다수의 성경 튜브(223)의 각각이 측벽(221)으로부터 돌출된다. 각각의 성경 튜브(223) 내부에 UV 램프(222)는 UV 램프(223)의 두 개의 전극(241)이 챔버 용적(239) 외부에 있도록 배치된다. 전극(241)은 UV 램프(222)의 각각에 독립적으로 에너지 공급원을 제공하도록 구성된 전원(206)으로 적용된다. 캡(227)은 석영 튜브(223)를 둘러싸기 위해 이용될 수 있다. 밀봉부(242)는 챔버 용적(239)를 밀봉하도록 각각의 석영 튜브(223) 및 측벽(221)의 인터페이스 근처에 이용될 수 있다.
UV 조립체(220) 및 램프 조립체(210)는 챔버(230) 내부에 열을 공급하고, 표면 사이트를 활성화하고, 또는 활성종을 형성하도록 홀로 또는 조합하여 이용될 수 있다. 급속 열 처리, 어닐링, 및 산화와 같은 다수의 공정은 급속 열 처리 챔버(200)에서 형성될 수 있다.
산화 공정 동안, 램프 조립체(210)는 챔버 용적(239)에 배치되는 기판(201)으로 적외선 방사선의 형태로 열을 제공하기 위하여 이용할 수 있다. 일 실시예에서, 램프 조립체(210)는 기판(201) 내의 실리콘 이산화물 제품 층을 통하여 산소의 확산을 가능하게 하는 온도로 균일하게 기판(201)을 급속하게 가열하기 위하여 조정될 수 있다. UV 조립체(220)는 입구(231)를 통하여 챔버 용적(239)에 공급되는 전구체로부터 활성종을 발생하기 위한 UV 방사선을 제공하기 위하여 이용될 수 있다. UV 램프(222) 내부의 압력이 충분히 낮고, 비록 UV 램프(222)가 램프 조립 체(210)의 방사선 경로에 위치하지만, UV 조립체(222)는 램프 조립체(210)로부터 매우 제한된 양의 방사선 에너지를 흡수한다. 석영 튜브(223)는 램프 조립체(210)로부터 소정의 방사선 에너지를 흡수할 수 있다. 일 실시예에서, 석영 튜브(223)는 램프 조립체(210)로부터 방사선 에너지 프로파일로의 장애를 최소화하도록 사각형 단면을 가질 수 있다.
UV 조립체(220)로부터 UV 방사선은 기판 상의 필름을 처리하기 위한 원하는 활성종을 발생할 수 있는 전구체에 방사선을 조사하기 위해 이용될 수 있다. 예를 들면, 전구체는 질소, 산소 또는 불소로 이루어지거나 포함할 수 있다. 그러나, 다른 활성종 및 전구체가 이용될 수 있다. UV 방사선 공급원은 상이한 활성종을 발생하도록 테일러링될 수 있다. 일 실시예에서, UV 방사선 공급원의 테일러링은 상이한 파장의 UV 방사선을 방사하도록 UV 램프 전구 내부의 가스 압력을 조정함으로써 달성될 수 있다.
일 실시예에서, UV 방사선은 도 2의 열 처리 챔버와 같은, 반응성 표면을 가열하기 위하여 적외선 방사선 공급원을 가지는 열 처리 챔버 내의 산소(O2), 오존(O3), 아산화질소(N2O), 일산화탄소(CO), 이산화탄소(CO2), 물(H20), 또는 이들의 조합물로부터 반응성 표면 근처의 반응성이 높은 산화물 종을 생성하기 위하여 이용될 수 있다.
또 다른 실시예에서, UV 방사선은 도 2의 열 처리 챔버와 같은, 반응성 표면을 가열하기 위하여 적외선 방사선 공급원을 가지는 열 처리 챔버에 암모니아(NH3), 질소 가스(N2), 히드라진, 아민, 또는 이들의 조합물 근처의 반응성이 높은 반응성 질소 종을 생성하기 위하여 이용될 수 있다.
또 다른 실시예에서, UV 방사선은 도 2의 열 처리 챔버(200)와 같은, 반응성 표면을 가열하도록 적외선 방사선 공급원을 가지는 열 처리 챔버 내에 질소 산화물 또는 아질산 산화물로부터 반응성 표면 근처에 반응성이 높은 산화물/질화물 종을 생성하기 위하여 이용될 수 있다.
또 다른 실시예에서, UV 방사선은 도 3의 열 처리 챔버(200)와 같은, 반응성 표면을 가열하도록 적외선 방사선 공급원을 가지는 열 처리 챔버 내의 NF3, F2, CF4, SF6, C2F6, CCl4, C2Cl6 또는 이들의 조합물로부터 반응성 표면 근처에 반응성이 높은 불소 종을 형성하기 위하여 이용될 수 있다. 반응성 불소 종을 발생하도록 UV 방사선 에너지를 이용할 때, 석영 튜브(223)는 불소 내성 재료로부터 제조된 튜브에 의해 대체될 수 있다.
적외선 및 UV 방사선의 조합된 이용은 어느 하나 방사선을 홀로 이용함으로써 가능하지 않는 표면 선택 반응을 가능하게 한다. 하나의 양태에서, 표면 선택 반응은 조작 방사율 차이의 결과로서 UV 작용 및 차이 표면 가열을 조합함으로써 달성될 수 있다.
UV 방사선 공급원 및 적외선 방사선 공급원 둘다로 열 처리 챔버의 이러한 구성의 수 개의 장점이 있다. 하나의 양태에서, 산화와 같은, 소정의 공정 상의 UV 및 적외선 방사선 둘다를 이용함으로써 활성종을 발생하기 위하여 적외선 방사 선을 이용하는 대신 활성종을 발생하도록 UV 방사선을 이용함으로써 감소될 수 있다.
또 다른 양태에서, UV 방사선 공급원 및 적외선 방사선 공급원의 독립적인 제어는 공정 결과의 균일도를 강화한다. 더욱 특별하게는, 도 3의 램프 조립체(210)와 같은, 적외선 방사선 공급원은 적외선 방사선 분포를 테일러링함으로써 급속 가요성 기판 온도 제어를 가능하게 하며, 도 3의 UV 조립체(220)와 같은, UV 방사선 공급원은 활성 종 및 활성 사이트 발생을 위한 독립적으로 테일러된 UV 분포를 가능하게 한다.
또 다른 양태에서, 적외선 방사선 영역 내에 UV 방사선 공급원을 위치설정하는 구성은 챔버 내에서 기상 및 기판 처리면 상의 양 방사선 타입의 균일도의 향상된 최적화를 가능하게 한다.
또한, 챔버 내부에 기상을 방사하기 위하여 UV 방사선을 이용함으로써, 이러한 구성은 다른 원하지 않는 반응 또는 재조합에 의한 손실을 최소화하고 이용 장소에 근접하게 높은 활성종의 형성을 가능하게 하는 기판 표면의 근처에 활성종을 생성한다.
또한, 구성은 또한 플라즈마를 이용하는 것과 같이, 종래 기술의 방법 보다 더 높은 압력으로 활성종의 발생을 가능하게 하기 때문에 기판 표면으로부터 휘발성 재료의 지연 손실의 장점을 가진다. 기판 표면으로부터 휘발성 재료의 손실은 일반적으로 바람직하지 않으며 이는 원하는 표면 피쳐의 손실, 최적 표면의 응축물 파울링(fouling) 그리고 입자 발생을 초래하기 때문이다. 더 높은 압력은 종종 기 판 표면으로부터 휘발성 재료의 지연 손실에서 종종 유용하다. 그러나, 종래 기술에서, 플라즈마와 같은 활성종을 발생하는 방법은 일반적으로 낮은 총 가스 압력을 요구하여 플라즈마의 이온화된 영역 외부의 활성종의 누출을 허용하고 이온화된 영역 외부에 기판을 유지한다. 높은 밀도의 플라즈마는 종종 고열 처리를 위한 케이스가 되는 종종 매우 높은 온도에 대해 재료 노출이 후속될 수 있는 오염물 발생된다.
더욱이, 기판 표면 및 기상 둘다에 방사하기 위한 UV 방사선의 이용은 활성 사이트 및 활성종의 동시 생성으로부터 강화된 동역학을 초래할 수 있다.
도 5는 본 발명의 또 다른 실시예에 따라 UV 조립체(320)의 사시도를 도시한다. UV 조립체(320)는 열 처리 챔버(도 1의 100 및 도 3의 200)와 같은, 열 처리 챔버에 UV 방사선을 제공하기 위해 이용될 수 있다. UV 조립체(320)는 일반적으로 다각형을 형성하도록 다수의 조인트(324)에 의해 다수의 측벽(321) 조인트를 포함한다. 일 실시예에서, 다각형은 도 5에 도시된 바와 같이 정사각형이다. 석영 튜브(323)에 둘러싸인 UV 램프(322)는 일반적으로 다수의 측벽(321)의 각각의 내부에 배치된다. 각각의 UV 램프(322) 및 석영 튜브(323)는 두 개의 이웃하는 조인트(324) 내로 연장하고 UV 램프(322)의 전극은 UV 조립체(320)가 배치되는 챔버 내부에 소정의 방사선으로부터 차폐될 수 있다. 이러한 구성은 기상을 향하여 더 많이 그리고 기판 표면을 향하여 더 적게 UV 방사선 에너지를 지향시킨다. 세락덱스(XERADEX)(등록상표) 램프와 같은, 단일 단부형 UV 램프가 이러한 구성 안에 이용될 수 있다.
다수의 반사기(327)는 일반적으로 원하는 방향으로 대응하는 UV 램프(322)로부터 UV 방사선을 반사하도록 다수의 측벽들 각각을 따라 위치설정된다. 반사기(327)는 일반적으로 원하는 기능을 달성하도록 설계된 단면 형상을 가진다. 일 실시예에서, 도 6에 도시된 바와 같이, 반사기(327)들 각각의 단면 형상은 포물선 곡선이다. 대응하는 UV 램프(322)의 중앙은 포물선 곡선의 초점(328)에 배치된다. UV 램프(322)로부터 방사선 비임(329)이 반사기(327)에 도달할 때, 반사기(327)는 방사선 비임(329)을 UV 램프(322)에 의해 형성된 다각형 내부로 아마도 기판 처리면에 대해 평행하게 반사한다. 반사기(327)의 단면 형상은 또한 타원형 또는 다른 형상이다. 반사기(327)는 유효하게 UV 방사선을 반사하는 유전체 필름의 적층부로부터 또는 알루미늄 또는 은과 같은 금속으로 제조된 반사면을 가질 수 있다. 반사기(327)의 이용은 처리되는 기판의 표면 상의 UV 노출을 최소화하기 위해 이용될 수 있다. 일 실시예에서, 반사기(327)는 또한 미이크로파 전원에 의해 동력이 공급되는 UV 램프를 위한 마이크로파 공동으로서 이용될 수 있다.
도 7은 도 5의 UV 조립체(320)를 가지는 열 처리 챔버(300)의 단면도이다. 열 처리 챔버(300)는 UV 조립체(220)가 UV 조립체(320)에 의해 대체되는 것을 제외하고 도 3의 열 처리 챔버(200)와 유사하다. 도 7에 도시된 바와 같이, UV 램프(322)는 램프 조립체(210)의 방사선 영역 외부에 있다. 이러한 구성은 공정 동안 석영 튜브(323)에 의한 에너지 흡수 및 기판(201) 상의 UV 방사선을 최소화한다.
전술된 것은 본 발명의 실시예에 관한 것이지만, 본 발명의 다른 및 추가 실 시예는 본 발명의 기본 범위로부터 벗어나지 않고 발명될 수 있으며, 본 발명의 범위는 다음의 청구범위에 의해 결정된다.

Claims (22)

  1. 기판 열 처리용 장치로서,
    챔버, 및
    상기 챔버 내부에 배치되는 UV 방사선 조립체를 포함하는,
    기판 열 처리용 장치.
  2. 제 1 항에 있어서,
    상기 챔버 상의 석영 윈도우를 통하여 상기 챔버 내로 에너지를 방사하도록 구성되는 외부 방사선 조립체를 더 포함하는,
    기판 열 처리용 장치.
  3. 제 2 항에 있어서,
    상기 외부 방사선 조립체는 6각 배열로 배치되는 다수의 적외선 공급원을 포함하는,
    기판 열 처리용 장치.
  4. 제 1 항에 있어서,
    상기 UV 방사선 조립체는 다수의 UV 램프를 포함하는,
    기판 열 처리용 장치.
  5. 제 4 항에 있어서,
    상기 다수의 UV 램프 각각은 석영 파이프 내에 둘러싸이는,
    기판 열 처리용 장치.
  6. 제 4 항에 있어서,
    상기 다수의 UV 램프는 서로에 대해 평행하고 상기 기판의 처리면 위에 균일하게 분포되는,
    기판 열 처리용 장치.
  7. 제 4 항에 있어서,
    다수의 UV 램프의 전극은 상기 챔버 외부에 배치되는,
    기판 열 처리용 장치.
  8. 제 4 항에 있어서,
    상기 다수의 UV 램프는 상기 기판의 처리면을 둘러싸는 다각형을 따라 배치되는,
    기판 열 처리용 장치.
  9. 제 8 항에 있어서,
    상기 UV 방사선 조립체는 다수의 반사기를 더 포함하며, 상기 다수의 반사기 각각은 상기 기판에 실질적으로 평행한 대응 UV 램프로부터 UV 방사선을 반사하도록 구성되는,
    기판 열 처리용 장치.
  10. 제 9 항에 있어서,
    상기 다수의 반사기는 포물선 형상의 단면을 가지며 상기 포물선 형상의 단면의 초점에 상기 대응 UV 램프가 위치하는,
    기판 열 처리용 장치.
  11. 기판을 열 처리하기 위한 장치로서,
    상부 윈도우를 가지는 챔버,
    상기 챔버 내부에 장착되고 기판을 지지하도록 구성되고 상기 기판은 상기 상부 윈도우를 향하는 처리면을 구비하는 기판 지지부,
    상기 챔버 외부에 배치되고 상기 상부 윈도우를 통하여 에너지를 방사하도록 구성되는, 제 1 에너지 조립체, 및
    상기 기판 지지부 위의 상기 챔버 내부에 장착되는 제 2 에너지 조립체를 포함하는,
    기판 열 처리용 장치.
  12. 제 11 항에 있어서,
    상기 제 2 에너지 조립체는 자외선 에너지를 제공하도록 구성되는,
    기판 열 처리용 장치.
  13. 제 12 항에 있어서,
    상기 제 2 에너지 조립체는 서로에 대해 평행하게 배치되는 다수의 UV 램프를 포함하는,
    기판 열 처리용 장치.
  14. 제 13 항에 있어서,
    상기 다수의 UV 램프 각각은 개별적으로 제어가능한,
    기판 열 처리용 장치.
  15. 제 12 항에 있어서,
    상기 제 2 에너지 조립체는 상기 기판의 처리면을 둘러싸는 다각형에 배치되는 다수의 UV 램프를 포함하는,
    기판 열 처리용 장치.
  16. 제 15 항에 있어서,
    상기 제 2 에너지 조립체는 다수의 반사기를 더 포함하며, 상기 다수의 반사기 각각은 상기 기판의 처리면에 대해 실질적으로 평행한 대응 UV 램프로부터 UV 방사선을 반사하도록 구성되는,
    기판 열 처리용 장치.
  17. 제 11 항에 있어서,
    상기 제 1 에너지 조립체는 6각으로 배열되는 다수의 램프를 포함하는,
    기판 열 처리용 장치.
  18. 제 11 항에 있어서,
    상기 제 1 에너지 조립체는 적외선 에너지를 제공하도록 구성되고 상기 제 2 에너지 조립체는 상기 챔버에 UV 에너지에 제공하도록 구성되는,
    기판 열 처리용 장치.
  19. 기판을 열 처리하기 위한 방법으로서,
    상기 기판을 챔버에 위치시키는 단계,
    상기 챔버로 열을 제공하도록 제 1 에너지 공급원을 인가하는 단계, 및
    상기 챔버 내에 활성종을 발생하도록 제 2 에너지 공급원을 인가하는 단계를 포함하는,
    기판 열 처리용 방법.
  20. 제 19 항에 있어서,
    상기 제 1 에너지 공급원은 다수의 가열 램프를 포함하고 상기 제 2 에너지 공급원은 다수의 UV 램프를 포함하는,
    기판 열 처리용 방법.
  21. 제 20 항에 있어서,
    상기 챔버의 석영 윈도우 외부에 상기 제 1 에너지 공급원을 위치시키는 단계, 및
    상기 챔버 내부에 상기 제 2 에너지 공급원을 위치시키는 단계를 포함하는,
    기판 열 처리용 방법.
  22. 제 19 항에 있어서,
    상기 제 2 에너지 공급원을 인가하는 단계는 활성종 발생을 위해 방사선 분포를 테일러링하는 단계를 포함하는,
    기판 열 처리용 방법.
KR1020087029395A 2006-05-01 2007-04-30 Uv 보조 열 처리 장치 및 방법 KR101046014B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/414,869 2006-05-01
US11/414,869 US7547633B2 (en) 2006-05-01 2006-05-01 UV assisted thermal processing
PCT/US2007/067774 WO2007130909A2 (en) 2006-05-01 2007-04-30 Uv assisted thermal processing

Publications (2)

Publication Number Publication Date
KR20090012348A true KR20090012348A (ko) 2009-02-03
KR101046014B1 KR101046014B1 (ko) 2011-07-01

Family

ID=38668470

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087029395A KR101046014B1 (ko) 2006-05-01 2007-04-30 Uv 보조 열 처리 장치 및 방법

Country Status (7)

Country Link
US (1) US7547633B2 (ko)
JP (1) JP5269769B2 (ko)
KR (1) KR101046014B1 (ko)
CN (1) CN101437626B (ko)
DE (1) DE112007001114T5 (ko)
TW (1) TWI374506B (ko)
WO (1) WO2007130909A2 (ko)

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8314368B2 (en) * 2008-02-22 2012-11-20 Applied Materials, Inc. Silver reflectors for semiconductor processing chambers
US20100022072A1 (en) * 2008-07-25 2010-01-28 Atmel Corporation Semiconductor Fabrication
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
US7964858B2 (en) * 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
JP2014033148A (ja) * 2012-08-06 2014-02-20 Ulvac Japan Ltd 光照射装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10699922B2 (en) * 2014-07-25 2020-06-30 Applied Materials, Inc. Light pipe arrays for thermal chamber applications and thermal processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6346826B2 (ja) * 2014-08-06 2018-06-20 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6368813B1 (ja) * 2017-03-08 2018-08-01 財団法人國家實驗研究院 紫外線照射によってケイ素系の表面天然酸化物品質を向上できる装置と方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7321730B2 (ja) 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59215732A (ja) * 1983-05-24 1984-12-05 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作製方法
JPH0740552B2 (ja) * 1985-07-15 1995-05-01 三井東圧化学株式会社 半導体薄膜の製法
JPH0736401B2 (ja) * 1986-11-19 1995-04-19 日本電気株式会社 半導体製造装置
JPH0774452B2 (ja) * 1986-11-27 1995-08-09 キヤノン株式会社 光化学気相成長法による機能性堆積膜の形成方法
US5194401A (en) * 1989-04-18 1993-03-16 Applied Materials, Inc. Thermally processing semiconductor wafers at non-ambient pressures
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
JPH0448720A (ja) * 1990-06-15 1992-02-18 Hitachi Ltd 表面処理方法および装置
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US6280790B1 (en) * 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US6280183B1 (en) * 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6130415A (en) * 1999-04-22 2000-10-10 Applied Materials, Inc. Low temperature control of rapid thermal processes
FR2792774B1 (fr) * 1999-04-26 2003-08-01 Joint Industrial Processors For Electronics Procede et dispositif de traitement d'un materiau par rayonnement electromagnetique et sous atmosphere controlee
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
JP3778432B2 (ja) * 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
US6828234B2 (en) * 2002-03-26 2004-12-07 Applied Materials, Inc. RTP process chamber pressure control
US7778533B2 (en) * 2002-09-12 2010-08-17 Applied Materials, Inc. Semiconductor thermal process control
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US6911376B2 (en) * 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
US6869892B1 (en) * 2004-01-30 2005-03-22 Tokyo Electron Limited Method of oxidizing work pieces and oxidation system
JP3972126B2 (ja) * 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置
KR100628561B1 (ko) * 2004-06-01 2006-09-26 동부일렉트로닉스 주식회사 온도 균일성을 위한 급속열처리 장치
US7147359B2 (en) * 2004-06-25 2006-12-12 Applied Materials, Inc. Lamp assembly having flexibly positioned rigid plug
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7909595B2 (en) * 2006-03-17 2011-03-22 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors

Also Published As

Publication number Publication date
KR101046014B1 (ko) 2011-07-01
JP2009535858A (ja) 2009-10-01
TW200802615A (en) 2008-01-01
DE112007001114T5 (de) 2009-04-09
WO2007130909A3 (en) 2008-06-12
TWI374506B (en) 2012-10-11
JP5269769B2 (ja) 2013-08-21
CN101437626A (zh) 2009-05-20
US20080067416A1 (en) 2008-03-20
CN101437626B (zh) 2013-10-16
WO2007130909B1 (en) 2008-08-07
US7547633B2 (en) 2009-06-16
WO2007130909A2 (en) 2007-11-15

Similar Documents

Publication Publication Date Title
KR101046014B1 (ko) Uv 보조 열 처리 장치 및 방법
JP7356809B2 (ja) 基材処理装置及び方法
JP4934193B2 (ja) 誘電体バリア放電ランプアセンブリを用いた基板処理チャンバ
JP5079949B2 (ja) 処理装置および処理方法
US7964858B2 (en) Ultraviolet reflector with coolant gas holes and method
JP4664119B2 (ja) プラズマ処理装置
KR20150110315A (ko) 에칭 장치
KR20100114037A (ko) 향상된 가스 유동 분포를 가진 열 반응기
US20210051771A1 (en) Heat treatment apparatus of light irradiation type and method for cleaning heat treatment apparatus
US11251057B2 (en) Thermal processing method and thermal processing device
US20190067051A1 (en) Light irradiation type heat treatment apparatus and heat treatment method
CN112466736A (zh) 等离子体处理装置和温度控制方法
CN110867370A (zh) 热处理方法
JP3088970B2 (ja) 改質方法及びその装置
WO2015083435A1 (ja) アッシング方法およびアッシング装置
US20190252205A1 (en) Light irradiation type heat treatment method
JP4329629B2 (ja) エキシマランプ
JP5456257B2 (ja) 熱処理装置
JP4995579B2 (ja) 基板処理装置及び半導体デバイスの製造方法
JPH1079377A (ja) 成膜・改質集合装置
JP3609380B2 (ja) 熱処理装置
JP2022152246A (ja) ウエハ処理装置
JP2004014794A (ja) 基板処理装置、及び半導体装置の製造方法
JP2003209074A (ja) エッチング装置及びエッチング方法
JP4291193B2 (ja) 光処理装置及び処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee