JP2009535858A - Uv支援熱処理 - Google Patents

Uv支援熱処理 Download PDF

Info

Publication number
JP2009535858A
JP2009535858A JP2009509998A JP2009509998A JP2009535858A JP 2009535858 A JP2009535858 A JP 2009535858A JP 2009509998 A JP2009509998 A JP 2009509998A JP 2009509998 A JP2009509998 A JP 2009509998A JP 2009535858 A JP2009535858 A JP 2009535858A
Authority
JP
Japan
Prior art keywords
chamber
substrate
energy
assembly
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009509998A
Other languages
English (en)
Other versions
JP2009535858A5 (ja
JP5269769B2 (ja
Inventor
ジョセフ マイケル ラニッシュ,
好隆 横田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009535858A publication Critical patent/JP2009535858A/ja
Publication of JP2009535858A5 publication Critical patent/JP2009535858A5/ja
Application granted granted Critical
Publication of JP5269769B2 publication Critical patent/JP5269769B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

本発明は、半導体基板に対する熱処理を行うための方法及び装置を提供する。本発明の熱処理チャンバは、赤外放射線源及びUV放射線源のような2つの異なるエネルギー源を備える。UV放射線源及び赤外放射線源は、熱処理チャンバの内側で、熱を供給し、電子を活性化し、又は活性種を生成するため単独で又は組み合わせて使用することができる。
【選択図】 図3

Description

発明の背景
発明の分野
[0001]本発明は、一般的に、半導体処理ツールに係り、より特定すると、UV放射線源を伴う熱処理ツールに関する。
関連技術の説明
[0002]通常「RTP」と称される急速熱処理においては、基板が極短時間高強度熱バーストに曝され、これは、室温から数秒で約1000℃まで上昇するものである。このようなRTP技法は、堆積膜又は結晶格子の特性を変えるのに使用される。RTPは、一般的に、基板表面のアニール、シリコン化及び酸化のような処理を含む。
[0003]一般的に、RTPチャンバは、放射熱源又はランプ、チャンバ本体及び基板支持リングを含む。そのランプは、典型的に、このランプにより生成されたエネルギーがチャンバ本体内で基板支持リングにより支持された基板に放射されるように、チャンバ本体の上部表面に取り付けられる。典型的に、ランプからのエネルギーが基板へと移送され易くするため、チャンバ本体の上部表面には、石英ウインドウが配設されている。通常、基板を不均一に加熱してしまうような、ランプにより生成される放射線エネルギーの変動を補償するため、支持リング及び基板を回転させるために外部モータが使用される。典型的には、急速熱処理は、均一性をより良くするため減圧状態において行われる。
[0004]ゲート酸化物として使用される酸化物の非常に薄い層を成長させるため、熱酸化が普通使用される。現在の技術におけるRTPチャンバにおいて行われる酸化処理は、通常、酸化物層を形成するのに使用される酸素又は他の種を分離させ且つ基板表面上への酸素又は他の種の十分な拡散が行われるようにするに十分高い温度までRTPチャンバの温度を上昇させることを含む。酸素又は他の種を分離させるのに必要とされる温度は、通常、十分な拡散を誘起させるのに必要とされる温度よりも高い。酸素又は他の種を分離させるために必要とされるこのようなより高い温度は、他の構造内での熱露出を増大させてしまい、望ましくない拡散を促進させてしまうものである。
[0005]従って、チャンバ温度を増大させる必要なく酸化又は他の急速熱処理を行うための装置及び方法が要望されている。
発明の概要
[0006]本発明は、半導体基板の急速熱処理を行うための方法及び装置を提供する。
[0007]本発明の一実施形態は、基板を熱処理するための装置を提供する。この装置は、チャンバと、上記チャンバの内側に配設されたUV放射線アセンブリと、を備える。この装置は、更に、上記チャンバの石英ウインドウを通して上記チャンバ内へエネルギーを放射するように構成された外側放射線アセンブリを備える。
[0008]本発明の別の実施形態は、基板を熱処理するための装置を提供する。この装置は、上部ウインドウを有するチャンバと、上記チャンバの内側に取り付けられ、処理表面が上記上部ウインドウに面するようにして基板を支持するように構成された基板支持体と、上記チャンバの外側に配設され、上記上部ウインドウを通してエネルギーを放射するように構成された第1のエネルギーアセンブリと、上記チャンバの内側で上記基板支持体の上方に取り付けられた第2のエネルギーアセンブリと、を備える。
[0009]本発明の更に別の実施形態は、基板を熱処理するための方法を提供する。この方法は、チャンバに基板を配置するステップと、上記チャンバへ熱を供給するように第1のエネルギー源を適用するステップと、上記チャンバに活性種を生成するように第2のエネルギー源を適用するステップと、を含む。
[0010]本発明の前述したような特徴を詳細に理解できるように、概要について簡単に前述したような本発明について、幾つかを添付図面に例示している実施形態に関して、以下より特定して説明する。しかしながら、添付図面は、本発明の典型的な実施形態のみを例示しているのであって、従って、本発明の範囲をそれに限定しようとするものではなく、本発明は、均等の効果を発揮する他の実施形態も包含し得ることに、注意されたい。
詳細な説明
[0018]本発明は、半導体基板の熱処理を行うための方法及び装置を提供する。本発明の熱処理チャンバは、赤外放射線源及びUV放射線源のような2つの異なる放射線エネルギー源を備える。UV放射線源及び赤外放射線源は、熱処理チャンバの内側で、熱を供給し、電子を活性化し、又は活性種を生成するため単独で又は組み合わせて使用することができる。急速熱処理、アニール及び酸化のような複数の処理を、改善された結果を得るように熱処理チャンバにおいて行うことができる。赤外放射線及びUV放射線を組み合わせて使用することにより、いずれかの放射線を単独で使用したのではできないような表面選択反応を行わせることができる。
[0019]図1は、本発明の一実施形態による熱処理チャンバ100の断面斜視図を例示している。この熱処理チャンバ100は、一般的に、ランプアセンブリ110と、UVアセンブリ120を配設したチャンバ130とを備える。一実施形態では、チャンバ130は、真空チャンバである。別の実施形態では、チャンバ130は、大気チャンバであってもよい。ランプアセンブリ110は、チャンバ130の上方に配置され、チャンバ130の石英ウインドウ114を通してチャンバ130へ熱を供給するように構成されている。このランプアセンブリ110は、チャンバ130に配設された基板101に対する調整された赤外線加熱手段を与えるように構成された複数のタングステンハロゲンランプのような加熱源を収容するように構成されている。UVアセンブリ120は、例えば、チャンバ130における基板101の表面での反応のための活性種を生成するため、チャンバ130に対するUV源を与えるように構成されている。
[0020]ランプアセンブリ110は、一般的に、複数の光パイプ111を有する放射エネルギーパイプアセンブリ112を備える。これら光パイプ111は、ステンレス鋼、黄銅、アルミニウム又は他の金属で形成される。これら光パイプ111の各々は、放射エネルギー源、例えば、タングステンハロゲンランプを収容するように構成されている。これら光パイプ111の端部は、上方冷却壁部116及び下方冷却壁部117の開口にろう付けされ又は溶接されている。上方冷却壁部116及び下方冷却壁部117の周辺縁部には、円筒壁部118がろう付けされ又は溶接されている。一実施形態では、光パイプ111は、六角形配置において配設することができる。処理中に光パイプを冷却し、壁部116、117を冷却状態に保つため、インレット109を通して冷却剤をランプアセンブリ110へ循環させることができる。
[0021]チャンバ130は、一般的に、石英ウインドウ114及び底壁部(図示せず)を有するチャンバ空間を画成する円形側壁部140を備える。側壁部140は、チャンバ空間139をガス源及びポンプに接続するように構成されたインレット131及びアウトレット(図示せず)を有する。チャンバ空間139に配置された基板支持体138への基板101の投下及びその基板支持体138からの基板101の取り上げをロボットが行えるようにするため、側壁部140の開口137にスリット弁を適用することができる。基板支持体138は、垂直に移動し且つ中心軸の周りに回転するように構成できる。
[0022]UVアセンブリ120は、一般的に、チャンバ空間139の上方部分に配設される。一実施形態では、UVアセンブリ120は、チャンバ130へ「プラグイン」されるようなモジュールの形とすることができる。異なるデザインレシピに適合するように、異なるデザインのUVアセンブリを交換自在にチャンバ130へプラグインさせることもできる。図2は、図1の熱処理チャンバ100に使用されるUVアセンブリ120の斜視図である。UVアセンブリ120は、一般的に、UV空間129を画成する上部124、底部125及び側壁部121を備える。底部125の開口126bは、図1に示すチャンバ130のチャンバ空間139にUV空間129を接続するように構成される。上部124の開口126aは、図1の石英ウインドウ114に対してUV空間129を露出させ、従って、処理中にランプアセンブリ110からチャンバ空間139への放射線エネルギーの通路を与える。
[0023]UVアセンブリ120は、更に、複数の石英チューブ123を備え、これら石英チューブ123の両端部は、UVアセンブリ120の対向側壁部121から外へ延長している。これら石英チューブ123の各端部には、密閉のための取り外し可能なキャップ127が使用される。これら石英チューブ123の各々は、UVランプ122(図1に示される)をそこに収容するように構成される。
[0024]UVランプ122は、電力源による励起のためキセノン(Xe)又は水銀(Hg)のような1つ以上のガスを充填された密閉プラズマ球を含む。一実施形態では、電力源は、従来のUV電力源又はマグネトロンの付勢フィラメントを含む1つ以上の変圧器であってよい。別の実施形態では、電力源は、UVランプ球内のガスを励起することができる高周波(RF)エネルギー源を含むことができる。一実施形態では、UVランプ球は、254nm及び185nmの放射線を生成するような低圧Hg又は他の低圧UV生成放電を有するものであってよい。
[0025]一実施形態では、UVランプ122は、それらUVランプ122の電極がUV空間129の外側に突き出されるようにして石英チューブ123の1つに配置されるか、又は、それら電極が過熱されないようにし且つUVランプ122の圧力が制御されるようにするためランプアセンブリ110の放射線エネルギーに対して上部124により少なくとも遮蔽されるようにしている。
[0026]図3は、本発明の一実施形態による熱処理チャンバ200の断面図である。図4は、図3の熱処理チャンバ200の線4−4に沿って取った断面図である。この熱処理チャンバ200は、一般的に、ランプアセンブリ210と、UVアセンブリ220を配設したチャンバ230とを備える。ランプアセンブリ210は、チャンバ230の上方に配置されており、そのチャンバ230の石英ウインドウ214を通してそのチャンバ230へ熱を供給するように構成される。ランプアセンブリ210は、チャンバ230に配設された基板201に対する調整された赤外線加熱手段を与えるように構成された複数のタングステンハロゲンランプのような加熱源を収容するように構成される。UVアセンブリは、例えば、チャンバ230における基板の表面で反応する活性種を生成するため、チャンバ230に対するUV源を与えるように構成される。
[0027]ランプアセンブリ210は、一般的に、複数の光パイプ211を備える。これら光パイプ211は、ステンレス鋼、黄銅、アルミニウム又は他の金属で形成することができる。これら光パイプ211の各々は、放射エネルギー源208、例えば、赤外線においてチャンバ空間239へ熱を与えるためのタングステンハロゲンランプを収容するように構成される。これら光パイプ211の端部は、上方冷却壁部216及び下方冷却壁部217の開口にろう付けされ又は溶接される。円筒壁部218は、上方冷却壁部216及び下方冷却壁部217の周辺縁部にろう付けされ又は溶接される。一実施形態では、光パイプ211は、六角形配置において配設することができる。処理中に壁部を冷却状態に保つため、インレット209を通してランプアセンブリ210に対して冷却剤を循環させることができる。放射エネルギー源208の各々は、チャンバ空間239に対する均一な又は調整された加熱プロフィールを達成するように各放射エネルギー源208のエネルギーレベルを制御するコントローラ207に接続される。一実施形態では、この放射エネルギー源208は、複数のラジアルバンドにグループ分けすることができる。別の実施形態では、この放射エネルギー源208は、個々に制御される。
[0028]チャンバ230は、一般的に、石英ウインドウ214及び底壁部(図示していない)を有するチャンバ空間239を画成する円形側壁部240を備える。この側壁部240は、チャンバ空間239へ1つ以上のガスを与えるように構成されたガス源235に対して適応するインレット231を有することができる。一般的に、インレット231とは反対側で側壁部240に配設されたアウトレット234は、ポンプ236に対して適応する。チャンバ空間239に配置された基板支持体238への基板201の投下及びその基板支持体138からの基板101の取り上げをロボットが行えるようにするため、側壁部240の開口237(図4に示されている)にスリット弁(図示していない)を適用することができる。基板支持体238は、垂直に移動し且つ中心軸の周りに回転するように構成することができる。
[0029]UVアセンブリ220は、一般的に、チャンバ空間239の上方部分に配設される。一実施形態では、UVアセンブリ220は、チャンバ230へ「プラグイン」されるようなモジュールの形とすることができる。UVアセンブリ220は、図2のUVアセンブリと同様であってよい。複数の石英チューブ223が、並列に配設され、これら石英チューブ223の両端部は、UVアセンブリ220の対向側壁部221から外へ延長している。一実施形態では、UVアセンブリ220は、水平面において均等に配列された約15個の石英チューブ223を含むことができる。UVランプ222が、望ましいUV資源を与えるため、石英チューブ223の各々に配設される。UVランプ222を包囲するような石英チューブ223を使用することにより、UVランプ222が破損した結果として生じてしまうようなチャンバ230に対する粒子汚染又はその他の損傷を防止することができる。図4を参照するに、複数の石英チューブ223の各々は、側壁部221から外へ突き出ている。各石英チューブ223の内側のUVランプ222は、UVランプ222の2つの電極241がチャンバ空間239の外側に来るように配置されている。これら電極241は、UVランプの各々に対して独立したエネルギー源を与えるように構成された電源206に対して適応される。石英チューブ223を閉じるのに、キャップ227を使用することができる。チャンバ空間239を密閉するため、各石英チューブ223と側壁部221との界面の近くにシール242が使用される。
[0030]UVアセンブリ220及びランプアセンブリ210は、チャンバ230の内側で、熱を供給し、表面サイトを活性化し又は活性種を生成するため、単独で又は組み合わせて使用することができる。熱処理チャンバ200において、急速熱処理、アニール及び酸化のような複数の処理を行うことができる。
[0031]酸化処理中に、ランプアセンブリ210は、チャンバ空間239に配設された基板201へ赤外線において熱を与えるのに使用される。一実施形態では、ランプアセンブリ210は、基板201において二酸化シリコン生成層を通して酸素が拡散できるようにする温度まで基板201を均一に急速に加熱するように調整される。UVアセンブリ220は、インレット231を通してチャンバ空間239へ供給された前駆体から活性種を生成するUV放射線を与えるのに使用される。UVランプ222の内側の圧力は、十分に低く、UVランプ222がランプアセンブリ210の放射路に配置されているとしても、UVランプ222は、ランプアセンブリ210からの放射線エネルギーを非常に限られた量しか吸収しないであろうことに注意されたい。石英チューブ223は、ランプアセンブリ210からの放射線エネルギーをある程度吸収するかもしれない。一実施形態では、石英チューブ223は、ランプアセンブリ210からの放射エネルギープロフィールに対する擾乱を最少とする矩形断面とすることができる。
[0032]UVアセンブリ220からのUV放射線は、基板上の膜を処理するため望ましい活性種を生成することができる前駆体を照射するのに使用される。例えば、それら前駆体としては、窒素、酸素又はフッ素があり、又はそれらから成るものがある。しかしながら、他の活性種及び前駆体を使用することもできる。UV放射線源は、異なる活性種を生成するように調整することができる。一実施形態では、このようなUV放射線源の調整は、異なる波長のUV放射線を放出するようにUVランプ球の内側のガス圧力を調整することにより達成することができる。
[0033]一実施形態では、UV放射線は、図3の熱処理チャンバ200のような反応表面を加熱する赤外線源を有する熱処理チャンバにおいて、酸素(O)、オゾン(O)、亜酸化窒素(NO)、一酸化炭素(CO)、二酸化炭素(CO)、水(HO)又はそれらの組合せから反応表面近くに高い反応性を有する酸化種を生成するのに使用される。
[0034]別の実施形態では、UV放射線は、図3の熱処理チャンバのような反応表面を加熱する赤外線源を有する熱処理チャンバにおいて、アンモニア(NH)、窒素ガス(N)、ヒドラジン、アミン又はそれらの組合せから反応表面近くに高い反応性を有する窒化種を生成するのに使用される。
[0035]別の実施形態では、UV放射線は、図3の熱処理チャンバ200のような反応表面を加熱する赤外線源を有する熱処理チャンバにおいて、亜酸化窒素又は硝酸から反応表面近くに高い反応性を有する酸化/窒化種を生成するのに使用される。
[0036]別の実施形態では、UV放射線は、図3の熱処理チャンバ200のような反応表面を加熱する赤外線源を有する熱処理チャンバにおいて、NF、F、CF、SF、C、CCl、CCl又はそれらの組合せから反応表面近くに高い反応性を有するフッ素化種を生成するのに使用される。反応性フッ素化種を生成するためUV放射線エネルギーを使用するとき、石英チューブ223は、耐フッ素化材料で形成されたチューブと置き換えられる。
[0037]赤外線及びUV放射線を組み合わせて使用することにより、いずれかの放射線を単独で使用するのでは可能でないような表面選択反応を可能とすることができる。一態様では、表面選択反応は、UV活性化及び差分表面加熱を組み合わせて結果として設計された放射率差を得ることにより達成される。
[0038]UV放射線源及び赤外線源の両方を有する熱処理チャンバのこのような構成は、幾つかの利点を有している。一態様では、酸化のようなある幾つかの処理においてUV及び赤外線の両方を使用すると、その処理時間を短縮することができる。何故ならば、基板の温度上昇は、活性種を生成するのに赤外線を使用する代わりに、活性種を生成するのにUV放射線を使用することにより減少させられるからである。
[0039]別の態様では、UV放射線源及び赤外線源を別々に制御することにより、処理結果の均一性を高めることができる。より詳細に述べると、図3のランプアセンブリ210のような赤外線源は、調整された赤外線分布により急速な融通性のある基板温度制御を可能とし、一方、図3のUVアセンブリ220のようなUV放射線は、活性種及び活性サイト生成のための独立調整UV分布を可能とする。
[0040]別の態様では、赤外線領域内にUV放射線源を配置するような構成は、基板処理表面に対する放射線タイプ及びチャンバの気相の両者の均一性をより良好に最適化することができるようにする。
[0041]更に又、気相を照射するのにチャンバの内側でUV放射線を使用することにより、このような構成は、基板表面の付近に活性種を生成し、使用する場所の近くに高い活性を有する種を生成でき、且つ再結合又は他の望ましくない反応によるロスを最少とすることができるようにする。
[0042]更に又、このような構成は、基板表面からの揮発性物質のロスの点でも効果を有するものである。何故ならば、このような構成によると、プラズマを使用するような現在の技術による方法よりも高い圧力で活性種を生成することができるからである。基板表面から揮発性物質が失われることは、一般的には望ましくないことである。何故ならば、基板表面から揮発性物質が失われると、望ましい表面特徴部が損なわれたり、光学的表面の凝縮物汚損が生じたり、粒子生成につながったりしてしまうからである。基板表面からの揮発性物質のロスの点では、より高い圧力とすると、有効であることがよくある。しかしながら、現在技術においては、プラズマのような活性種を生成する方法は、一般的には、プラズマのイオン化領域の外側に基板を維持しつつ、そのイオン化領域の外側に活性種を逃がすようにするため、全ガス圧力を低くすることを必要としている。高密度プラズマは、高熱処理の場合にしばしばそうであるように、非常に高い温度に物質を曝すことに起因する汚染の問題を提起してしまうこがよくある。
[0043]その上、基板表面及び気相の両者を照射するのにUV放射線を使用すると、活性サイト及び活性種の同時生成によりキネチックスが増強されてしまうことがある。
[0044]図5は、本発明の別の実施形態によるUVアセンブリ320の斜視図である。このUVアセンブリ320は、図1の熱処理チャンバ100及び図3の処理チャンバ200のような熱処理チャンバに対してUV放射線を与えるのに使用することができる。このUVアセンブリ320は、一般的に、多角形を形成するように複数のジョイント324により接合された複数の側壁部321を備える。一実施形態では、この多角形は、図5に示されるように、四角形である。石英チューブ323に包囲されたUVランプ322が、一般的に、複数の側壁部321の各々の内側に配設されている。これらUVランプ322及び石英チューブ323の各々は、2つの隣接するジョイント324内へと延びており、これらジョイント324において、UVランプ322の電極は、このUVアセンブリ320が存在しているチャンバの内側の放射線に対して遮蔽されている。このような構成とすることにより、UV放射線エネルギーは、気相の方へより多く向けられ、基板表面の方へ向けられるUV放射線エネルギーはより少なくされる。このような構成において、XERADEX(登録名)ランプのようなシングルエンド型UVランプを使用することができる。
[0045]複数のリフレクタ327が、一般的に、望ましい仕方において対応するUVランプ322からのUV放射線を反射するように複数の側壁部321の各々に沿って配置される。これらリフレクタ327は、一般的に、望ましい機能を達成するように設計された断面形状を有している。一実施形態では、図6に示されるように、これらリフレクタ327の各々の断面形状は、放物曲線である。対応するUVランプ322の中心は、この放物曲線の焦点328に置かれる。UVランプ322からの放射線329がリフレクタ327に達するとき、そのリフレクタ327は、UVランプ322によって形成された多角形の内側へと、多分、基板処理表面と平行にそれら放射線329を反射する。リフレクタ327の断面形状は、楕円形状又はその他のタイプのものであってもよい。これらリフレクタ327は、アルミニウム又は銀のような金属で形成された反射表面又はUV放射線を効果的に反射する誘電体膜スタックで形成された反射表面を有することができる。これらリフレクタ327は、処理すべき基板の表面がUVに曝されるのを最少とするのに使用することができる。一実施形態では、リフレクタ327は、マイクロ波電力源により付勢されるUVランプに対するマイクロ波空洞としても使用することができる。
[0046]図7は、図5のUVアセンブリ320を有する熱処理チャンバ300の断面図である。この熱処理チャンバ300は、UVアセンブリ220がUVアセンブリ320と置き換えられている以外は、図3の熱処理チャンバ200と同様である。図7に示されるように、UVランプ322は、ランプアセンブリ210の放射領域の外側にある。このような構成とすることにより、処理中における基板201に対するUV放射及び石英チューブ323によるエネルギー吸収を最少とすることができる。
[0047]本発明の種々な実施形態について前述してきたのであるが、本発明の基本的な範囲から逸脱せずに、本発明の他の更なる実施形態が考えられるものであり、本発明の範囲は、特許請求の範囲の記載によって決定されるものである。
本発明の一実施形態による熱処理チャンバの断面斜視図である。 本発明の一実施形態によるUV(紫外線)アセンブリの斜視図である。 本発明の一実施形態による熱処理チャンバの断面図である。 図3の熱処理チャンバの断面図である。 本発明の一実施形態によるUVアセンブリの斜視図である。 図5のUVアセンブリに使用される典型的なリフレクタの断面図である。 図5のUVアセンブリを有する熱処理チャンバの断面図である。
符号の説明
100…熱処理チャンバ、101…基板、109…インレット、110…ランプアセンブリ、111…光パイプ、112…放射エネルギーパイプアセンブリ、114…石英ウインドウ、116…上方冷却壁部、117…下方冷却壁部、118…円筒壁部、120…UVアセンブリ、121…側壁部、122…UVランプ、123…石英チューブ、124…上部、125…底部、126a…開口、126b…開口、127…キャップ、129…UV空間、130…チャンバ、131…インレット、137…開口、138…基板支持体、139…チャンバ空間、140…側壁部、200…熱処理チャンバ、201…基板、206…電源、207…コントローラ、208…放射エネルギー源、209…インレット、210…ランプアセンブリ、211…光パイプ、214…石英ウインドウ、216…上方冷却壁部、217…下方冷却壁部、218…円筒壁部、220…UVアセンブリ、221…側壁部、222…UVランプ、223…石英チューブ、230…チャンバ、231…インレット、234…アウトレット、235…ガス源、236…ポンプ、237…開口、238…基板支持体、239…チャンバ空間、240…円筒側壁部、241…電極、242…シール、300…熱処理チャンバ、320…UVアセンブリ、321…側壁部、322…UVランプ、323…石英チューブ、324…ジョイント、327…リフレクタ、328…焦点、329…放射線

Claims (22)

  1. 基板を熱処理するための装置において、
    チャンバと、
    上記チャンバの内側に配設されたUV放射線アセンブリと、
    を備えた装置。
  2. 上記チャンバの石英ウインドウを通して上記チャンバ内へエネルギーを放射するように構成された外側放射線アセンブリを更に備えた、請求項1に記載の装置。
  3. 上記外側放射線アセンブリは、六角形配置において配列された複数の赤外放射線源を備えた、請求項2に記載の装置。
  4. 上記UV放射線アセンブリは、複数のUVランプを備えた、請求項1に記載の装置。
  5. 上記複数のUVランプの各々は、石英パイプにおいて包囲されている、請求項4に記載の装置。
  6. 上記複数のUVランプは、互いに平行であって、上記基板の処理表面の上方に均等に分散されている、請求項4に記載の装置。
  7. 上記複数のUVランプの電極は、上記チャンバの外側に配設されている、請求項4に記載の装置。
  8. 上記複数のUVランプは、上記基板の処理表面を取り囲む多角形に沿って配置されている、請求項4に記載の装置。
  9. 上記UV放射線アセンブリは、更に、複数のリフレクタを備え、上記複数のリフレクタの各々は、対応するUVランプからのUV放射線を上記基板と実質的に平行に反射するように構成されている、請求項8に記載の装置。
  10. 上記複数のリフレクタは、放物線断面を有し、上記対応するUVランプが上記放物線断面の焦点に配置されている、請求項9に記載の装置。
  11. 基板を熱処理するための装置において、
    上部ウインドウを有するチャンバと、
    上記チャンバの内側に取り付けられ、処理表面が上記上部ウインドウに面するようにして上記基板を支持するように構成された基板支持体と、
    上記チャンバの外側に配設され、上記上部ウインドウを通してエネルギーを放射するように構成された第1のエネルギーアセンブリと、
    上記チャンバの内側で上記基板支持体の上方に取り付けられた第2のエネルギーアセンブリと、
    を備えた装置。
  12. 上記第2のエネルギーアセンブリは、紫外線エネルギーを与えるように構成された、請求項11に記載の装置。
  13. 上記第2のエネルギーアセンブリは、互いに平行に配列された複数のUVランプを備えた、請求項12に記載の装置。
  14. 上記複数のUVランプの各々は、個々に制御可能である、請求項13に記載の装置。
  15. 上記第2のエネルギーアセンブリは、上記基板の処理表面を取り囲む多角形に配列された複数のUVランプを備えた、請求項12に記載の装置。
  16. 上記第2のエネルギーアセンブリは、更に、複数のリフレクタを備え、上記複数のリフレクタの各々は、対応するUVランプからのUV放射線を上記基板の処理表面と実質的に平行に反射するように構成された、請求項15に記載の装置。
  17. 上記第1のエネルギーアセンブリは、六角形配置を有する複数のランプを備えた、請求項11に記載の装置。
  18. 上記第1のエネルギーアセンブリは、赤外線エネルギーを与えるように構成され、上記第2のエネルギーアセンブリは、上記チャンバへUVエネルギーを与えるように構成される、請求項11に記載の装置。
  19. 基板を熱処理するための方法において、
    チャンバに基板を配置するステップと、
    上記チャンバへ熱を供給するように第1のエネルギー源を適用するステップと、
    上記チャンバに活性種を生成するように第2のエネルギー源を適用するステップと、
    を備えた方法。
  20. 上記第1のエネルギー源は、複数の加熱ランプを備え、上記第2のエネルギー源は、複数のUVランプを備えた、請求項19に記載の方法。
  21. 上記チャンバの石英ウインドウの外側に上記第1のエネルギー源を配置するステップと、
    上記チャンバの内側に上記第2のエネルギー源を配置するステップと、
    を更に備えた、請求項20に記載の方法。
  22. 上記第2のエネルギー源を適用するステップは、活性種生成のため放射線分布を調整する段階を含む、請求項19に記載の方法。
JP2009509998A 2006-05-01 2007-04-30 Uv支援熱処理 Expired - Fee Related JP5269769B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/414,869 US7547633B2 (en) 2006-05-01 2006-05-01 UV assisted thermal processing
US11/414,869 2006-05-01
PCT/US2007/067774 WO2007130909A2 (en) 2006-05-01 2007-04-30 Uv assisted thermal processing

Publications (3)

Publication Number Publication Date
JP2009535858A true JP2009535858A (ja) 2009-10-01
JP2009535858A5 JP2009535858A5 (ja) 2010-06-24
JP5269769B2 JP5269769B2 (ja) 2013-08-21

Family

ID=38668470

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009509998A Expired - Fee Related JP5269769B2 (ja) 2006-05-01 2007-04-30 Uv支援熱処理

Country Status (7)

Country Link
US (1) US7547633B2 (ja)
JP (1) JP5269769B2 (ja)
KR (1) KR101046014B1 (ja)
CN (1) CN101437626B (ja)
DE (1) DE112007001114T5 (ja)
TW (1) TWI374506B (ja)
WO (1) WO2007130909A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014033148A (ja) * 2012-08-06 2014-02-20 Ulvac Japan Ltd 光照射装置
JP2016039192A (ja) * 2014-08-06 2016-03-22 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP2018148112A (ja) * 2017-03-08 2018-09-20 財団法人國家實驗研究院 紫外線照射によってケイ素系の表面天然酸化物品質を向上できる装置と方法

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8314368B2 (en) * 2008-02-22 2012-11-20 Applied Materials, Inc. Silver reflectors for semiconductor processing chambers
US20100022072A1 (en) * 2008-07-25 2010-01-28 Atmel Corporation Semiconductor Fabrication
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
US7964858B2 (en) * 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10699922B2 (en) * 2014-07-25 2020-06-30 Applied Materials, Inc. Light pipe arrays for thermal chamber applications and thermal processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7321730B2 (ja) * 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6216512A (ja) * 1985-07-15 1987-01-24 Mitsui Toatsu Chem Inc 半導体薄膜の製法
JPS63128725A (ja) * 1986-11-19 1988-06-01 Nec Corp 半導体製造装置
JPH0448720A (ja) * 1990-06-15 1992-02-18 Hitachi Ltd 表面処理方法および装置
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59215732A (ja) * 1983-05-24 1984-12-05 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作製方法
JPH0774452B2 (ja) * 1986-11-27 1995-08-09 キヤノン株式会社 光化学気相成長法による機能性堆積膜の形成方法
US5194401A (en) * 1989-04-18 1993-03-16 Applied Materials, Inc. Thermally processing semiconductor wafers at non-ambient pressures
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US6280790B1 (en) * 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US6280183B1 (en) * 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6130415A (en) * 1999-04-22 2000-10-10 Applied Materials, Inc. Low temperature control of rapid thermal processes
FR2792774B1 (fr) * 1999-04-26 2003-08-01 Joint Industrial Processors For Electronics Procede et dispositif de traitement d'un materiau par rayonnement electromagnetique et sous atmosphere controlee
JP3778432B2 (ja) 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
US6828234B2 (en) * 2002-03-26 2004-12-07 Applied Materials, Inc. RTP process chamber pressure control
US7778533B2 (en) * 2002-09-12 2010-08-17 Applied Materials, Inc. Semiconductor thermal process control
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US6911376B2 (en) * 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
US6869892B1 (en) * 2004-01-30 2005-03-22 Tokyo Electron Limited Method of oxidizing work pieces and oxidation system
JP3972126B2 (ja) * 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置
KR100628561B1 (ko) * 2004-06-01 2006-09-26 동부일렉트로닉스 주식회사 온도 균일성을 위한 급속열처리 장치
US7147359B2 (en) * 2004-06-25 2006-12-12 Applied Materials, Inc. Lamp assembly having flexibly positioned rigid plug
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7589336B2 (en) * 2006-03-17 2009-09-15 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6216512A (ja) * 1985-07-15 1987-01-24 Mitsui Toatsu Chem Inc 半導体薄膜の製法
JPS63128725A (ja) * 1986-11-19 1988-06-01 Nec Corp 半導体製造装置
JPH0448720A (ja) * 1990-06-15 1992-02-18 Hitachi Ltd 表面処理方法および装置
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014033148A (ja) * 2012-08-06 2014-02-20 Ulvac Japan Ltd 光照射装置
JP2016039192A (ja) * 2014-08-06 2016-03-22 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP2018148112A (ja) * 2017-03-08 2018-09-20 財団法人國家實驗研究院 紫外線照射によってケイ素系の表面天然酸化物品質を向上できる装置と方法

Also Published As

Publication number Publication date
CN101437626B (zh) 2013-10-16
WO2007130909A3 (en) 2008-06-12
TWI374506B (en) 2012-10-11
CN101437626A (zh) 2009-05-20
WO2007130909B1 (en) 2008-08-07
DE112007001114T5 (de) 2009-04-09
TW200802615A (en) 2008-01-01
KR20090012348A (ko) 2009-02-03
JP5269769B2 (ja) 2013-08-21
US7547633B2 (en) 2009-06-16
KR101046014B1 (ko) 2011-07-01
US20080067416A1 (en) 2008-03-20
WO2007130909A2 (en) 2007-11-15

Similar Documents

Publication Publication Date Title
JP5269769B2 (ja) Uv支援熱処理
JP4934193B2 (ja) 誘電体バリア放電ランプアセンブリを用いた基板処理チャンバ
US20210051771A1 (en) Heat treatment apparatus of light irradiation type and method for cleaning heat treatment apparatus
KR102240492B1 (ko) 열처리 장치 및 열처리 방법
JP2019505988A (ja) ミリ秒アニールシステムのための予熱プロセス
US11251057B2 (en) Thermal processing method and thermal processing device
US20210151328A1 (en) Light irradiation type heat treatment method
US11282708B2 (en) Light irradiation type heat treatment method and heat treatment apparatus
US10777427B2 (en) Light irradiation type heat treatment method
JP2008047588A (ja) 基板処理装置および基板処理方法
JPH1079380A (ja) 改質方法及びその装置
JP5456257B2 (ja) 熱処理装置
US20210296141A1 (en) Heat treatment method and heat treatment apparatus
US20230207348A1 (en) Heat treatment apparatus
US11189506B2 (en) Rod-shaped lamp and heat treatment apparatus
JPH1079377A (ja) 成膜・改質集合装置
JP2006004701A (ja) エキシマランプ
JP3716762B2 (ja) 光処理装置
JP2009302131A (ja) 熱処理装置
JP2022152246A (ja) ウエハ処理装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100428

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100428

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100811

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120904

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121129

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121206

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121225

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130107

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130125

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130430

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130508

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees