DE112004002409T5 - Verfahren zum Verbessern der Transistorleistung durch Reduzieren des Salizidgrenzflächenwiderstandes - Google Patents

Verfahren zum Verbessern der Transistorleistung durch Reduzieren des Salizidgrenzflächenwiderstandes Download PDF

Info

Publication number
DE112004002409T5
DE112004002409T5 DE112004002409T DE112004002409T DE112004002409T5 DE 112004002409 T5 DE112004002409 T5 DE 112004002409T5 DE 112004002409 T DE112004002409 T DE 112004002409T DE 112004002409 T DE112004002409 T DE 112004002409T DE 112004002409 T5 DE112004002409 T5 DE 112004002409T5
Authority
DE
Germany
Prior art keywords
silicon
silicon germanium
region
germanium alloy
germanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112004002409T
Other languages
English (en)
Other versions
DE112004002409B4 (de
Inventor
Anand Portland Murthy
Boyan Portland Boyanov
Glenn Beaverton Glass
Thomas Portland Hoffmann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112004002409T5 publication Critical patent/DE112004002409T5/de
Application granted granted Critical
Publication of DE112004002409B4 publication Critical patent/DE112004002409B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66272Silicon vertical transistors
    • H01L29/6628Inverse transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Abstract

Verfahren, welches umfaßt:
Ätzen einer Source-Region und einer Drain-Region in ein Siliziumsubstrat, wobei das Ätzen ein Unterätzprofil erzeugt;
Abscheiden einer Silizium-Germaniumlegierung in der Source-Region und in der Drain-Region;
Abscheiden von Nickel auf der Silizium-Germaniumlegierung;
Bilden einer Nickel-Silizium-Germanium-Silizidschicht, wobei die Nickel-Silizium-Germanium-Silizidschicht selbstausrichtend ist.

Description

  • Gebiet der Erfindung
  • Die Ausführungsformen der Erfindung beziehen sich auf Halbleitertransistoren mit hoher Geschwindigkeit und spezieller auf das Erhöhen der Transistorleistung durch Verwenden von Silizium-Germanium und auf verbesserte Verfahren der Anwendung davon.
  • Hintergrund
  • Die Komplementär-Metalloxid-Halbleiter („CMOS") Siliziumtechnologie ist eine dominante Technologie in der Mikroelektronik. CMOS bietet hohe Zuverlässigkeit, hohe Integrationsgrade, niedrige Leistungsdissipation und ist sehr kosteneffektiv. Für Anwendungen bei niedrigeren Frequenzen wird CMOS höchstwahrscheinlich die dominante Technologie bleiben. Jedoch limitieren die Elektronen- und Lochbeweglichkeiten in Silizium das Ausmaß, in dem CMOS-Bauelemente für Anwendungen mit höheren Geschwindigkeiten verwendet werden können, wie z.B. beim Radar oder in Vorrichtungen zur mobilen Kommunikation, welche höhere Transistorschalterraten benötigen.
  • Eine im Laufe der Zeit entwickelte Lösung bestand darin, Verbindungshalbleiter anstelle von Elementhalbleitern, wie z.B. Silizium und Germanium aus der Gruppe IV, zu verwenden. Diese Verbindungen können binäre, tertiäre und quaternäre Kombinationen von Elementen der Gruppe II (Zn und Cd), Gruppe III (B, Al, Ga und In), Gruppe IV (C, Si und Ge), Gruppe V (P, As und Sb) und Gruppe VI (S, Se und Te) sein. Übliche III-V Halbleiter umfassen Galliumarsenid (GaAs), Galliumphosphid (GaP) und Indiumphosphid (InP). Speziell Galliumarsenid hat aufgrund seiner Bandlücke von 1,43 Elektronenvolt („eV") weite Verbreitung als Quelle für und als Sensor von Licht im nahen Infrarotbereich und als der primäre Halbleiter für elektronische Bauteile mit hoher Geschwindigkeit gefunden. Trotz der Geschwindigkeitsverbesserungen im Vergleich zu Silizium CMOS-Bauteilen ist Galliumarsenid für die meisten Einsatzgebiete bezüglich der Kosten nicht wettbewerbsfähig. Eine Schätzung zeigt, daß, gerechnet in 1995 Dollar, Silizium CMOS-Technologie pro Quadratmillimeter Kosten von $ 0,01 aufweist, im Vergleich zu Kosten von $ 2,00 für Galliumarsenid Epitaxie-Technologie.
  • Ein neuerer Ansatz, und zudem einer, der sowohl die Geschwindigkeitsvorteile von Galliumarsenid als auch die verbesserte Kosteneffektivität von Silizium CMOS-Technologie bietet, verwendet Silizium-Germanium – verspannt (strained) oder unverspannt (unstrained), üblicherweise präziser als Si1-xGex oder einfach als SiGe bezeichnet – und/oder verspanntes Silizium. Germanium weist eine um 4,2% größere Gitterkonstante (d.h., atomaren Abstand) als Silizium auf. Silizium-Germanium weist auch eine größere Gitterkonstante auf, wobei das Ausmaß davon von dem prozentualen Anteil an Germanium abhängt. Wenn Silizium auf Silizium-Germanium gewachsen wird, weitet sich das Silizium-Gitter unter geeigneten Bedingungen, um sich an der Silizium/Silizium-Germanium-Grenzfläche dem des Silizium-Germaniums anzupassen. Wenn Silizium-Germanium auf Silizium gewachsen wird, wird das Silizium-Germaniumgitter unter geeigneten Bedingungen komprimiert. Für jedes Verfahren gibt es eine kritische Dicke der aufgewachsenen Schicht (sei es Silizium oder Silizium-Germanium), bei deren Überschreiten die aufgewachsenen Schicht unter Ausbreiten von Gitterdefekten relaxiert.
  • Es gibt zwei Gründe dafür, warum verspanntes Silizium und Silizium-Germanium verbesserte Geschwindigkeitseigenschaften für daraus bestehende Transistoren bieten. Im Vergleich zu elementarem Silizium weist Germanium eine geringere effektive Masse für Elektronen und Löcher auf (was zu höheren Elektronen- und Lochbeweglichkeiten führt). Silizium-Germaniumverbindungen profitieren von den verbesserten Beweglichkeiten der Germaniumkomponente. Ferner erzeugt die induzierte Verformung im Silizium oder Silizium-Germanium (Zug oder Druck) eine anisotrope Struktur, welche die Leitungs- und Valenzbänder der Materialien verändert. Wenn diese mit weiteren Halbleiterschichten (z.B. Heteroschichten) mit unterschiedlichen Bandlücken kombiniert werden, können Leitungsband- und Valenzbanddiskontinuitäten erzeugt werden, um Quantentröge oder eingebaute elektrische Felder zur Beschleunigung von Ladungsträgern über die Heteroschichten hinweg zu konstruieren.
  • Das Abscheiden von Silizium-Germanium kann in CMOS-Prozeßabläufe recht einfach aufgenommen werden. Die einzig wesentliche Zunahme der Kosten entsteht z.B. durch das Hinzufügen eines Silizium-Germanium-Epitaxieschrittes. Aufgrund der Leichtigkeit der Integration und des mit Silizium-Germanium (d.h., Bulk-Silizium, Bulk-Silizium-Germanium und jeweilige verspannte Varianten) möglichen „band gap engineerings" besteht die reale Mög lichkeit des Herstellens eines gesamten Systems auf einem Silizium- oder Silizium-auf-Isolator- („SOI") Substrat. Integrierte Systeme können faseroptische Verbindungen, Wellenleiter, optische Detektoren, CMOS, Heteroübergang-Bipolartransistoren und Quantenbauelemente auf dem gleichen Chip umfassen.
  • Das simple Verwenden verspannten Siliziums und/oder Silizium-Germaniums liefert nicht sofort bessere Bauelemente. Wie bei allen Paradigmenwechseln erzeugt das Einbauen verspannten Siliziums und Silizium-Germaniums in gegenwärtige Halbleiterprozeßabläufe neue zu lösende Probleme.
  • Kurze Beschreibung der Zeichnungen
  • 1a: Darstellung eines Substratquerschnitts im Anschluß an das Bilden des Gates und der Nitridspacer;
  • 1b: Darstellung eines Substratquerschnitts im Anschluß an das Abscheiden eines dielektrischen Filmes über der gesamten Substratoberfläche;
  • 1c: Darstellung eines Substratquerschnitts im Anschluß an das Mustern und Ätzen des dielektrischen Filmes, um die Source- und Drainregionen freizulegen;
  • 2: Darstellung eines Substratquerschnitts im Anschluß an das Unterätzen der Source- und Drainregion;
  • 3: Darstellung eines Substratquerschnitts im Anschluß an das Abscheiden von Silizium-Germanium in den untergeätzten Source- und Drainregionen;
  • 4a: Darstellung eines Substratquerschnitts im Anschluß an das Abscheiden eines hochschmelzenden Metalles;
  • 4b: Darstellung eines Substratquerschnitts im Anschluß an ein Annealen zum Bilden einer Silizidkontaktschicht auf der Oberfläche der Source-/Drainregionen aus Silizium-Germanium und der Gateregion;
  • 4c: Darstellung eines Substratquerschnitts im Anschluß an das Entfernen von unreagiertem hochschmelzendem Metall;
  • 5: Darstellung der Bandstruktur von p-Typ Silizium im Vergleich zu Silizium-Germanium;
  • 6: Darstellung der Bandstruktur von p-Typ Silizium im Kontakt mit einem Metall;
  • 7: Darstellung der Bandstruktur von Silizium-Germanium im Kontakt mit einem Metall;
  • 8: Darstellung eines Substratquerschnitts, worin der externe Widerstand (Rext) des Metalloxid-Halbleiter-Transistors angedeutet ist.
  • Detaillierte Beschreibung
  • Beschrieben werden Ausführungsformen eines Verfahrens zum Verbessern der Transistorleistung. Es wird nun detailliert auf eine Beschreibung dieser Ausführungsformen, wie sie in den Zeichnungen dargestellt sind, eingegangen. Während die Ausführungsformen in Verbindung mit diesen Zeichnungen beschrieben werden, besteht keine Absicht, diese auf darin offenbarte Zeichnungen zu beschränken. Im Gegenteil besteht die Absicht, alle Alternativen, Modifikationen und Äquivalente innerhalb des Umfangs der beschriebenen Ausführungsformen abzudecken, wie er durch die angefügten Ansprüchen definiert wird.
  • Eine Ausführungsform der Erfindung reduziert den externen Widerstand eines Transistors durch Verwenden einer Silizium-Germaniumlegierung für die Source- und Drainregionen und einer selbstausrichtenden Nickel-Silizium-Germanium-Silizid- (d.h., Salizid-) Schicht zum Bilden der Kontaktoberfläche der Source- und Drainregionen. Die Grenzfläche des Silizium-Germaniums und des Nickel-Silizium-Germanium-Silizids weist einen geringeren spezifischen Kontaktwiderstand anhand einer verminderten-Metall-Halbleiteraustrittsarbeit zwischen dem Silizium-Germanium und dem Silizid und einer vergrößerten Ladungsträgerbeweglichkeit in Silizium-Germanium im Vergleich zu Silizium auf. Das Silizium-Germanium kann dotiert werden, um dessen elektrische Eigenschaften genauer anzupassen. Ein Reduzieren des externen Widerstandes eines Transistors entspricht einer verbesserten Transistorleistung sowohl bezüglich der Schaltgeschwindigkeit als auch des Leistungsverbrauchs.
  • Der erste 1947 erzeugte Transistor war aus Germanium hergestellt. Jedoch weisen in Rückwärtsrichtung vorgespannte Germanium p-n-Übergänge, aufgrund der geringen Bandlücke von 0,67 Elektronenvolt (verglichen mit 1,11 Elektronenvolt für Silizium), große Leckströme auf. Dies hat die Einsatztemperatur von Germanium auf unter 100°C beschränkt. Es ist ferner schwierig, eine Passivierungsschicht herzustellen, wie sie von Halbleiterprozeßtechniken benötigt wird. Zum Beispiel ist Germaniumoxid wasserlöslich und zersetzt sich bei 80°C. Diese Eigenschaften verbunden damit, daß hochreines (electronic grade) Germanium Kosten verursacht, die eine Größenordnung über denen von Silizium liegen, haben Elementgermanium aus der modernen Halbleitertechnologie im wesentlichen eliminiert.
  • Es gibt jedoch Vorteile beim Verwenden von Germanium im Vergleich zu Silizium. Zum Beispiel weist Germanium eine Elektronenbeweglichkeit von 3600 cm2/Vs im Vergleich zu 1350 cm2/Vs für Silizium auf. Noch auffälliger ist die Lochbeweglichkeit in Germanium von 1800 cm3/Vs im Vergleich zu 480 cm2/Vs in Silizium. Da Germanium eine intrinsische Ladungsträgerkonzentration von 2,5·1013 cm-3 und Silizium eine solche von 1,5·1010 cm3 bei 300 K aufweist, hat Germanium eine deutlich höhere Leitfähigkeit, da die Leitfähigkeit proportional zu dem Produkt der Summe der Beweglichkeiten und der intrinsischen Ladungsträgerkonzentration ist. Wie untenstehend weiter ausgeführt werden wird, steht die Leistung eines Transistors im Bezug zu dem externen Widerstand. Da der spezifische Widerstand invers zur Leitfähigkeit ist, verbessert ein Verwenden eines leitfähigeren Materials die Leistung eines Transistors. Ein Legieren von Silizium und Germanium sorgt für die Fähigkeit, das Material anzupassen, um die Vorteile jedes Halbleiterbestandteils zu nutzen. Wie es unter Bezugnahme auf eine Ausführungsform der Erfindung beschrieben werden wird, bietet eine Halbleiterlegierung aus Silizium und Germanium vielversprechende Verbesserungen für gewisse Halbleiteranwendungen.
  • 1a stellt einen Substratquerschnitt im Anschluß an verschiedene Prozeßschritte zum Vorbereiten des Bildens eines Metalloxid-Halbleiter („MOS") Transistors dar. Der Durchschnittsfachmann auf diesem Gebiet wird erkennen, welche Prozeßschritte bereits vorgenommen worden sind, weshalb deren Erklärung hier ausgelassen ist. In einer Ausführungsform der Erfindung ist der Transistor ein p-Typ MOS- oder PMOS-Transistor. Das Substrat 100 ist Silizium. Eine Isolierbarriere 101 dient als Kanalabschlußvorrichtung (channel stop) zum Vermeiden parasitärer Effekte zwischen dicht gruppierten Transistoren in einer integrierten Schaltkreisanwendung. Die Isolierbarriere 101 kann z.B. eine flache Trenchisolier- („STI-") Region sein, welche durch Ätzen eines Trenches in das Substrat 100 und Füllen des Trenches mit einem aufgebrachten Oxid-Isoliermaterial gebildet wird. Ein Gate 102 ist auf einem Isolator 104 gebildet und strukturiert worden, wobei die Zusammensetzung des Gates 102 z.B. aus polykristallinem Silizium besteht. Das polykristalline Silizium des Gates 102 kann ferner vordotiert sein. Auf jeder Seite des Gates 102 gibt es einen Seitenwandspacer 103, welcher üblicherweise aus Siliziumnitrid gebildet wird. Jeder Seitenwandspacer 103 dient als eine Hartmaske für nachfolgende selbstausrichtende (self-aligned) Prozeßschritte. Einem Durchschnittsfachmann auf diesem Gebiet wird z.B. ersichtlich sein, daß der Seitenwandspacer 103 eine Hartmaske für Implantationen mit hoher Dosis in einem leicht dotierten Drain-Transistordesign oder anderen Designs ist, welche von der Seitenwandbeabstandung profitieren würden, wie es im Stand der Technik bekannt ist.
  • Durch die in 1a dargestellten Prozeßschritte ist der beschriebene Prozeß ein Standard-CMOS-Prozeßablauf, wie er im Stand der Technik wohlbekannt ist. Der in einem CMOS-Ablauf an 1a anschließende Prozeßschritt bestünde darin, die Source- und Drainregionen des MOS-Transistors durch Dotieren der Source- und Drain-Regionen mit Hilfe von Ionenimplantationen zu erzeugen. Jedoch weicht an diese Punkt der Prozeß einer Ausführungsform der Erfindung von einem Standard-CMOS-Prozeßablauf ab. In einer Ausführungsform der Erfindung wird Silizium-Germanium nur für die PMOS-Bauelemente verwendet. Anstelle eines Source- und Drainregion-Implantierens (d.h., des nächsten Schrittes in einem Standard-CMOS-Prozeßablauf) wird die freigelegte Oberfläche des Wafers mit einer dielektrischen Schicht aus z.B. SiO2 oder Si3N4 bedeckt, wie es durch die dielektrische Schicht 104 in 1b dargestellt ist. Die dielektrische Schicht wird mit Hilfe irgendeiner bekannten photolithographischen oder ähnlichen Strukturierungstechnik strukturiert, um die Source- und Drainregionen des vorgesehenen PMOS-Bauelements freizulegen, wie es in 1c dargestellt ist, wodurch das vorgesehene NMOS-Bauelement vollständig bedeckt gelassen wird. Ein SF6-basiertes Plasmaätzen entfernt dann selektiv das Material des freigelegten Siliziumsubstrats 100 in der Source- und Drainregion des PMOS-Bauelements. Das Ätzen ist dahingehend selektiv, daß es das Bulkmaterial des Bausiliziumsubstrats 100 mit einer viel höheren Rate als das Material der dielektrischen Schicht 105 aus SiO2 oder Si3N4 und des Seitenwandspacers 103 entfernt, welcher als Ätzmaske dient. Die geätzten Source- und Drainregionen werden dann selektiv mit Silizium-Germanium gefüllt (in einer Ausführungsform in situ dotiertes Silizium-Germanium). Die als Maske für das Ätzen der Source- und Drainregion und das Ab scheiden von Silizium-Germanium dienende dielektrische Schicht 105 wird dann mit Hilfe von z.B. einem HF-basierten Naßätzen entfernt. Eine Silizidschicht wird gebildet, um Kontakt mit den Source-, Drain- und Gateregionen der PMOS- und NMOS-Bauelemente bereitzustellen. Der Wafer kann dann die verbleibenden CMOS-Prozeßschritte durchlaufen, um Bauelemente zu erzeugen, die von einer Ausführungsform der Erfindung profitieren. Speziellere Prozeßtechniken einer Ausführungsform der Erfindung werden jeweils beschrieben werden.
  • 2 stellt den Substratquerschnitt aus 1c im Anschluß an ein Unterätzen 201 zum Entfernen von Silizium des Substrats 100 aus den Source- und Drainregionen der PMOS-Bauelemente dar, wie obenstehend beschrieben. Das Ätzprofil ist dergestalt, daß Material des Substrats 100 unterhalb der Seitenwandspacer 103 entfernt worden ist. In einer Ausführungsform erstreckt sich die Unterätzung 201 bis unterhalb des Gates 102. Die Unterätzung 201 hat einen wesentlichen Einfluß auf den Leistungsvorteil, der von einer Ausführungsform der Erfindung erzeugt wird.
  • Speziell ist, wie in 2 gezeigt, die Unterätzung 201 in dem Substrat 100 entlang der in Längsrichtung entgegengesetzten Seitenwände des Gates 102 ausgebildet. In einer Ausführungsform wird ein isotroper Ätzprozeß verwendet, um die Unterätzung 201 zu bilden. Eine isotrope Ätzung ätzt nicht nur vertikal in das Substrat, sondern auch in horizontale (laterale) Richtung unterhalb des jeweiligen Seitenwandspacers 103 und, in einer Ausführungsform, unterhalb des Gates 102. Eine solche laterale Unterätzung kann mit Hilfe eines isotropen Trockenätzprozesses erzeugt werden, z.B. in einem HF-Parallelplatten-Plasmaätzsystem mit Hilfe eines Gasgemisches, welches SF6 und Helium umfaßt, und unter Prozeßbedingungen, die Isotropie fördern. Solche Bedingungen umfassen hohen Druck und niedrige HF Leistungsdichte. In einer Ausführungsform umfassen Prozeßparameter einen Druck von etwa 900 mT, einer Lücke von etwa 1,1 cm, eine HF Leistung von etwa 100 W, einen Heliumstrom von etwa 150 sccm, und einen SF6 Strom von etwa 100 sccm. Die HF Leistung kann in einem bestimmten Bereich, z.B. von 50 W bis 200 W variiert werden, und der Prozeßdruck kann variiert werden, sollte aber größer als etwa 500 mT sein. In einer Ausführungsform weist die Unterätzung 201 eine maximale vertikale Tiefe von zwischen 100 und 1500 Angstroms unterhalb der Oberfläche des Substrats 100 auf und erstreckt sich zwischen 25 bis 200 Angstroms unterhalb des Randes des Gates 100 in horizontaler oder lateraler Richtung an der Grenzfläche des Substrats 100/des Isolators 104. Es ist zu beachten, daß alternative Prozeßbe dingungen und Ätzchemien (z.B., Naßätzen) verwendet werden können, um andere geometrische Profile der Unterätzung 201 wie gewünscht zu erzeugen.
  • Ein solcher Ätzprozeß erzeugt nicht nur laterale Unterätzungen unterhalb jedes der Seitenwandspacer 103 und, in einer Ausführungsform, unterhalb des Gates 102, sondern die Ätzchemie ist ebenfalls äußerst selektiv bezüglich des Oxids des Isolators 104 und des Nitridmaterials des Seitenwandspacers 103. Auf diese Weise greift das Unterätzen das Material des Isolators und des Seitenwandspacers 103 nicht an, und die jeweilige Geometrie wird erhalten.
  • Die Ätzchemie, die zum Bilden der Unterätzungen 201 verwendet wird, ist ferner geringfügig oxidierend. Verwenden eines oxidierenden Ätzmittels bewirkt, daß ein während des Unterätzprozesses freiliegender Abschnitt der Schicht des Isolators 104 dicker als die nicht freiliegenden Abschnitte der Schicht des Isolators 104 werden. Durch Vergrößern der Dicke der Schicht des Isolators 104 am Rand des Gates 102, wird der Rand-Leckstrom des Gates in der Spitzen-Überlappregion des Bauelements reduziert. Eine dickere Schicht des Isolators 104 an dem Rand des Gates 102 ist dabei dienlich, die Durchbruchsspannung des Bauelements zu vergrößern.
  • Ein weiterer Vorteil des Prozesses des Unterätzens 201 besteht darin, daß die Ätzrate auf zwischen 5 bis 30 Angstrom pro Sekunde verringert wird, wodurch bewirkt wird, daß das Ätzen des Siliziumsubstrats konkav nach innen fortschreitet. Durch diese Geometrie wird ein großes LMET (metallurgische Kanallänge oder physikalische Kanallänge) während des Aus-Zustandes des MOS-Transistors (geringes Ioff) erzielt, während ein kleineres LMET während des An-Zustandes des MOS-Transistors realisiert wird, wenn der Kanal gebildet wird. Ein kleineres LMET während des An-Zustandes überträgt sich unmittelbar in einen geringeren Kanalwiderstand und ein dementsprechend höheres Ion.
  • 3 stellt den Substratquerschnitt aus 2 im Anschluß an das Abscheiden von Silizium-Germanium 301 in der Unterätzung 201 der Source- und Drainregionen dar. Das Silizium-Germanium kann, wie erwähnt, dargestellt werden als S1-xGex. Der Bereich von x ist [0,1], was von reinem Silizium bis zu reinem Germanium reicht, und kann eingestellt werden, um die Leitfähigkeit und die Bandlücke an die Erfordernisse eines speziellen Bauelements anzupassen. In einer Ausführungsform liegt x etwa zwischen 0,1 und 0,4 (d.h., etwa zwischen 10% und 40% atomaren Germaniums in der Silizium-Germanium-Legierung). Die mit der Legierung des Silizium-Germaniums verbundene Bandlückenenergie kann durch die folgenden Gleichungen angenähert werden: Eg(x) = (1,155 – 0,43x + 0,0206x2)eV für 0<x<0,85 (1) Eg(x) = (2,010 – 1,27x)eV für 0,85<x<1 (2)
  • In einer Ausführungsform liegt daher nach Gleichung (1) die Bandlückenenergie des Silizium-Germaniums 301 zwischen 1,11 eV für 10% atomaren Germaniums und 0,99 eV für 40% atomaren Germaniums.
  • Das Silizium-Germanium 301 wird durch selektives epitaktisches Abscheiden derart aufgebracht, daß das Silizium-Germanium nur auf der Oberfläche des Substrats aus Bulksilizium aufgebracht wird, die durch das Unterätzen 201 freigelegt und von der dielektrischen Schicht 105 nicht bedeckt ist. Der Kristall des Silizium-Germaniums 301 wächst nicht auf der dielektrischen Schicht aus SiO2 oder Si3N4. In einer Ausführungsform ist das Depositionsverfahren ein epitaktisches Dampfphasen- bzw. Gasphasenabscheidungs- („CVD") Depositionsverfahren mit reduziertem Druck. In anderen Ausführungsformen umfaßt das Depositionsverfahren atmosphärische CVD-Epitaxie und Ultrahochvakuum CVD-Epitaxie. Jedes Depositionsverfahren ist eine spezielle Form der Dampf- bzw. Gasphasenepitaxie, da das abgeschiedene Silizium-Germanium 301 ein Einkristall ist.
  • Wie angemerkt, wird in einer Ausführungsform als Silizium-Germanium-Depositionsverfahren CVD-Epitaxie verwendet. Bezüglich der Umgebung geschieht die Epitaxie zwischen 600°C und 800°C bei einem Druck zwischen 10 und 760 Torr. Als Trägergas können entweder H2 oder He verwendet werden. Das als Siliziumquelle dienende Precursorgas kann SiH2Cl, SiH4 oder Si2H6 sein. In einer Ausführungsform ist GeH4 das als Germaniumquelle dienende Precursorgas. Als Ätzmittel können HCl oder Cl2 zugegeben werden, um die Materialselektivität des Abscheidens zu erhöhen. In einer Ausführungsform weist das resultierende Silizium-Germanium 301, welches in der Unterätzung 201 der Source- und Drain-Regionen abgeschieden worden ist, eine Dicke zwischen 500 und 2000 Angström auf. In einer Ausführungsform erstreckt sich die Abscheidung des Silizium-Germaniums 301 bis über die Oberfläche des Substrats 100. Auf diesem Wege wird das Silizium-Germanium 301 sowohl oberhalb als auch unterhalb der Oberfläche des Substrats 100 gebildet. Durch Bilden von Silizi um-Germanium 301 oberhalb der Oberfläche des Substrats 100 wird eine erhabene Spitze gebildet, wobei die Leitfähigkeit der Spitze erhöht wird. Die erhöhte Leitfähigkeit wiederum verbessert die Leistung des Bauelements.
  • Das Silizium-Germanium 301 kann zudem dotiert werden, um dessen elektrische und chemische Eigenschaften anzupassen. Das Dotieren kann mit Hilfe einer Vielzahl von Dotiersubstanzen in einer Vielzahl von Dotierverfahren stattfinden. Zum Beispiel kann das Silizium-Germanium 301 in situ mit p-Typ Störstellen bis zu einem Dotierkonzentrationsniveau von zwischen 1·1018/cm3 und 3·1021/cm3 dotiert werden, wobei eine Konzentration von etwa 1·1020cm3 bevorzugt wird. In einer Ausführungsform und zum Erzeugen eines PMOS-Elements wird das Silizium-Germanium 301 mit Bor in situ während der Epitaxie dotiert, durch Verwenden des obenstehend angemerkten Precusors und eines zusätzlichen B2H6 Precursorgases als Quelle der Bor-Dotieratome während des epitaktischen Abscheidens des Silizium-Germaniums 301. Der Vorteil des in situ Dotierens des Silizium-Germaniums 301 besteht darin, daß aufgrund der Unterätzung 201 es sehr schwierig ist, das Silizium-Germanium 301 nach dem Abscheiden in dem Bereich, der durch die Seitenwandspacer abgeschattet wird, zu dotieren. Ein gewinkeltes Implantieren, als eine mögliche Lösung zum Dotieren des Silizium-Germaniums, welches von den Seitenwandspacern abgeschattet wird, reduziert die Leistung des kurzen Kanals des resultierenden PMOS-Bauelements.
  • In einer Ausführungsform wird ein Bruchteil der Bor-Dotieratome, welche während des Abscheidens des Silizium-Germaniums 301 zugefügt werden, zu dieser Zeit nicht aktiviert. Das heißt, daß sich nach dem Abscheiden Boratome in der Schicht des Silizium-Germaniums 301 befinden, jedoch noch nicht auf Siliziumgitterplätze substituiert worden sind, wo sie ein Loch liefern können (d.h., das Fehlen eines Elektrons). In einer Ausführungsform wird das thermische Aktivieren der Dotieratome verzögert, bis nachfolgende Prozeßschritte durchgeführt worden sind, wodurch das thermische Budget und eine resultierende Dotieratomdiffusion reduziert wird, um einen sehr abrupten Source/Drain-Übergang zu bilden, wodurch die Bauelementleistung verbessert wird.
  • Wie eingeführt, weist das aufgebrachte Silizium-Germanium 301 eine größere Gitterkonstante auf, deren Größe von der atomaren Prozentzahl an Germanium in der Legierung des Silizium-Germaniums 301 abhängt. Beim Abscheiden auf dem Siliziumsubstrat 100 wird das Gitter des Silizium-Germaniums 301 zum Ermöglichen des Kristallwachstums komprimiert. Die Kompression in den Source- und Drain-Regionen des Silizium-Germaniums 301 erzeugt Kompression in der Region des Substrats 100, die zwischen den Source- und Drainregionen des Silizium-Germaniums 301 und unterhalb der Region des Isolators 104 liegt (d.h., der Kanal des MOS-Bauelements). Die Kompression erzeugt eine anisotrope atomare Struktur in der Kanalregion, wodurch die Leitungs- und Valenzbänder des Kanalmaterials verändert werden. Die kompressive Spannung reduziert ferner die effektive Lochmasse in dem Kanalbereich des Substrats 100, wodurch wiederum die Lochbeweglichkeit vergrößert wird. Die vergrößerte Lochbeweglichkeit erhöht den Sättigungskanalstrom des resultierenden MOS-Transistors, wodurch die Bauelementleistung verbessert wird.
  • Die 4a, 4b und 4c stellen den Substratquerschnitt aus 3 während des Bildens einer Silizidschicht dar. Spezieller ist die Schicht eine selbstausrichtende Silizid- oder Salizidschicht. Dem Durchschnittsfachmann auf diesem Gebiet ist erkennbar, daß die Silizidschicht 402 durch Abscheiden einer dünnen Schicht eines hochschmelzenden Metalls, in einer Ausführungsform mit üblichen Sputterverfahren (d.h., physikalische Gasphasen- bzw. Dampfphasenabscheidung oder „PVD"), auf dem Silizium-Germanium 301 gebildet wird, gefolgt von nachfolgenden Prozeßschritten zum Erzeugen der Metall, Silizium und Germanium-Silizidlegierung. Das Silizid 403 unterscheidet sich darin, daß das Halbleiterelement der Silizidlegierung von der Materialzusammensetzung des Gates 102 abhängt.
  • Die hochschmelzenden Metalle umfassen u. a. Kobalt, Titan und Nickel. In einer Ausführungsform ist das hochschmelzende Metall Nickel. Die Auswahl eines hochschmelzenden Metalls erfordert eine Abwägung nicht nur der elektrischen Kompatibilität, sondern auch der mechanischen und chemischen Kompatibilität mit dem darunterliegenden Material des Silizium-Germaniums 301, welches die Unterätzung 201 der Source- und Drainregionen einnimmt, und den freiliegenden Source-, Drain- und Gate-Regionen des entsprechenden NMOS-Bauelements auf dem gleichen Substrat. Zum Beispiel muß die Silizidschicht 402 durchgehend und gleichförmig sein, um dabei behilflich zu sein, den Grenzflächenwiderstand zwischen der Silizidschicht 402 und dem darunterliegenden Silizium-Germanium 301 zu reduzieren. Nickel kann mit sowohl Silizium als auch Germanium gleichförmig reagieren, wodurch eine stabile ternäre Ni(SiGe) Phase gebildet wird, wohingegen Kobalt und Titan bevorzugt mit Silizium reagieren und die Germaniumkomponente der Legierung des Silizium-Germaniums 301 absondern. Ferner weisen die Titan- und Kobalt-basierten Silizium-Germanium-Silizide eine reduzierte thermische Stabilität im Vergleich zu Nickel-Silizium- Germanium-Siliziden auf. Eine ungeeignete Auswahl des hochschmelzenden Metalls erzeugt eine nicht-ideale Grenzfläche zwischen dem Silizid und dem Halbleiter, was den Grenzflächenwiderstand unabhängig von ansonsten elektrisch kompatiblen Materialen erhöht.
  • 4a stellt das Substrat aus 3 im Anschluß an die Überzugsdeposition des hochschmelzenden Metalles 401 dar. Wie angemerkt ist in einer Ausführungsform das hochschmelzende Metall PVD-Nickel. Bezüglich der Umgebung geschieht das PVD-Nickelabscheiden zwischen 20°C und 200°C und bei einem Druck von weniger als 50 Millitorr. Die Dicke des Nickels liegt zwischen 50 und 200 Angström. Die Nickelabscheidung wird gefolgt von einem schnellen Bildungsannealen (formation anneal) bei zwischen 325°C und 450°C für höchstens 60 Sekunden mit Hilfe z.B. eines „RTA"-Gerätes zum schnellen thermischen Annealen (rapid thermal anneal). Während des Bildungsannealens reagiert das hochschmelzende Metall 401 auf dem Silizium-Germanium 301 mit dem Gate 102, um das Silizid 402 und das Silizid 403, jeweils wie in 4b dargestellt, zu bilden. Beim Abscheiden des Nickels über der gesamten freigelegten Oberfläche des Substrats 100 wird das unreagierte Nickel (d.h., das Nickel, welches nicht mit Silizium oder Silizium-Germanium reagiert hat, um ein Silizid mit der darunterliegenden Schicht zu bilden, während es auf das Nitrid der Seitenwandspacer 103 oder der Regionen der Isolierung 101 aufgebracht wird) mit Hilfe einer Naßätzchemie aus z.B. einer Mischung aus heißem H2O2 und heißem H2SO4 entfernt. Das verbleibende reagierte Nickel auf den Source and Drainregionen des Silizium-Germaniums 301 und der Region des Gates 102 durchläuft dann ein abschließendes Annealen zwischen 400°C und 550°C, um das Ausbilden des Nickel-Silizium-Germanium-Silzids 402 und des Silizids 403, wie in 4c dargestellt, zu vervollständigen. Die Silizidschicht(en) kann/können ferner mit z.B. einer Titannitridabdeckung bedeckt werden, um zu vermeiden, daß die Nickel-Silizium-Germanium-Silizidschicht 402 und Silizidschicht 403 während nachfolgender Prozeßschritte oxidieren, wie es im Stand der Technik wohlbekannt ist.
  • Die 5 bis 7 stellen die Physik dazu dar, wie die geeignete Materialauswahl für sowohl das hochschmelzende Metall als auch das Material der Source-Drain Region den einhergehenden spezifischen Kontaktwiderstand reduziert. 5 stellt Engergiebanddiagramme für das Bulkmetall, p-Typ Silizium und Silizium-Germanium dar. Die Fermi-Energie des Metalls ist mit EFm bezeichnet. Die Fermi-Energien des p-Typ Siliziums und Silizium-Germaniums sind jeweils EFSi und EFSiGe. Wie dargestellt, obwohl nicht notwendigerweise skalengerecht, liegt die Leitungsbandkante des Silizium-Germaniums ECSiGe geringfügig unter der Leitungs bandkante des Siliziums ECSi. Ferner liegt die Valenzbandkante des Silizium-Germaniums EVSiGe über der Valenzbandkante des Siliziums EVSi und liegt entsprechend dem prozentualen Anteil des Germaniums in der Silizium-Germanium-Legierung höher. Dementsprechend ist die Energiebandlücke der Silizium-Germanium-Legierung kleiner als die Energiebandlücke des Siliziums, wobei das Ausmaß davon, wie unter Bezugnahme auf die Gleichungen (1) und (2) dargestellt, von dem prozentualen Anteil des Germaniums in der Germaniumlegierung abhängt.
  • 6 stellt die Bandverbiegung in Verbindung mit einem Kontakt zwischen dem hochschmelzenden Metall und dem p-Typ Silizium dar. Von Bedeutung ist die Größe der Energiebarriere. Für den p-Typ Halbleiter erfordert das Ausrichten der Fermi-Niveaus im Gleichgewicht eine positive Ladung auf der Metallseite und eine negative Ladung auf der Halbleiterseite. Der Halbleiter nimmt die negative Ladung durch Erzeugen einer Verarmungszone auf, in welcher ionisierte Akzeptoren von Löchern unkompensiert bleiben.
  • 7 stellt die Energiebandverbiegung in Verbindung mit einem Kontakt zwischen dem hochschmelzenden Metall und der Legierung des Silizium-Germaniums 301 dar. Wiederum ist die Größe der Energiebarriere von Bedeutung, in diesem Falle die relative Differenz in der Höhe der Energiebarriere der Silizium-Germanium-Legierung im Vergleich zu p-Typ Silizium. Mit anderen Worten ist die Metall-Halbleiteraustrittsarbeit geringer für den Kontakt Metall-Silizium-Germanium 301 im Vergleich zu dem Kontakt Metall – p-Typ Silizium. Die Stromleitfähigkeit des Kontakts wird von Tunnelvorgängen dominiert. Das Verhältnis des spezifischen Kontaktwiderstandes ist wie folgt:
    Figure 00130001
  • Die wesentlichen Variablen in der Gleichung (3) sind die Metall-Halbleiteraustrittsarbeit ΦB, die Halbleiterdotierung Nsurf und die effektive Ladungsträgermasse in dem Halbleiter m*. Wie angemerkt ist die effektive Masse für Löcher in einer Silizium-Germaniumschicht 0,34 m0 im Vergleich zu 0,37 m0 für Silizium, wobei m0 die Ruhemasse des Elektrons darstellt.
  • Die Gleichung (3) des spezifischen Kontaktwiderstandes stellt dar, daß der spezifische Kontaktwiderstand für eine Metall-Halbleitergrenzfläche hauptsächlich von der Metall- Halbleiteraustrittsarbeit, der Dotierdichte in dem Halbleiter und der effektiven Masse des Ladungsträgers abhängt. Ein Abändern einer dieser Variablen oder von Kombinationen davon beeinflußt den spezifischen Kontaktwiderstand. Ein Verwenden von Silizium-Germanium 301 verringert, wie angemerkt, die Metall-Halbleiteraustrittsarbeit und verringert die effektive Masse des Ladungsträgers. In einer Ausführungsform wird das Silizium-Germanium ferner, wie unter Bezugnahme auf 3 angemerkt, dotiert.
  • Die Grenzfläche zwischen dem Silizium-Germanium 301 und dem Silizid 403 kann ferner als ein ohmscher Metall-Halbleiterkontakt diskutiert werden. Zuallererst ist die Auswirkung der Energiebarriere an dem Kontakt zwischen dem Silzid und dem Halbleiter aus einer quantenmechanischen Sichtweise zu sehen. Wie es im Stand der Technik wohlbekannt ist, gibt die Welle-Teilchen-Dualität vor, daß ein Elektron sowohl als ein Teilchen als auch als eine Welle zu behandeln ist, um zu bestimmen, wie es sich verhält. Die Energiebarriere, die von der Silizid-Halbleitergrenzfläche erzeugt wird, kann als Potentialbarriere endlicher Dicke und Höhe angesehen werden. Für eine gegebene Barrierehöhe, die größer als die Energie eines auftreffenden Elektrons ist, und eine gegebene Bamerenbreite gibt es eine gewisse Wahrscheinlichkeit, daß das Elektron die Barriere durchdringt und auf der anderen Seite auftaucht. Ein solches Tunnelphänomen ist ein bedeutender Mechanismus bei Leitungsvorgängen von Elektronen in Festkörpern.
  • Spezieller ist der spezifische Kontaktwiderstand ein Maß dafür, wie leicht Strom durch eine Metall-Halbleitergrenzfläche fließen kann. Für einen ohmschen Kontakt besteht per Definition ein ungehinderter Transfer von Majoritätsladungsträgern von einem Material zum anderen – in diesem Falle zwischen dem Silizid 402 und dem Silizium-Germanium 301. Dies kann auch als lineare Strom-Spannungscharakteristik dargestellt werden. Im Falle einer Metall-Halbleitergrenzfläche wird der Leitungsmechanismus teilweise von der Breite der Halbleiterverarmungszone nahe der Kontaktgrenzfläche diktiert. Wenn der Halbleiter leicht dotiert ist (d.h., die Fermi-Energie ist weder nahe der Energie der Leitungsbandkante noch der Energie der Valenzbandkante), wird die Verarmungszone breit genug, daß der einzige Weg, auf dem ein Elektron von einem der beiden Kontaktmaterialien ins andere übergehen kann, darin besteht, die Potentialbarriere durch thermoionische Emission über das Barrierenmaximum zu überspringen. Alternativ dazu wird, wenn der Halbleiter stark dotiert ist (die Fermi-Energie nähert sich der Leitungsbandkantenenergie für n-Typ Halbleiter und nähert sich der Valenzbandkantenenergie für p-Typ Halbleiter), die Verarmungszone ausreichend dünn, daß Feld emission oder Ladungsträgertunneln zum dominanten Leitungsmechanismus wird. Sowohl Feldemission als auch thermoionische Emission tragen zur Leitung über die Grenzfläche hinweg bei und können z.B. durch die Materialauswahl und die Dotierung eingestellt werden.
  • 8 stellt eine Ausführungsform der Erfindung dar, die Source- und Drainregionen aus Silizium-Germanium 301 mit z.B. einer Nickel-Silizium-Germanium-Silizidschicht 402 verwendet. Ein externer Widerstand Rext 801 ist der gesamte Reihenwiderstand zwischen dem Source- (oder Drain-) Kontakt und dem Kanal des intrinsischen Transistors. Der Grenzflächenwiderstand zwischen dem Silizium-Germanium 301 und dem Silizid 402 ist eine signifikante Komponente des Gesamtreihenwiderstandes. Rext 801 ist durch Verwenden von Silizium-Germanium anstelle von p-Typ Silizium für die Source- und Drainregionen reduziert worden. Ferner hat eine geeignete Auswahl des hochschmelzenden Metalls der Silizidschicht 402, in einer Ausführungsform Nickel, eine chemisch und mechanisch kompatible Metall-Halbleitergrenzfläche sichergestellt, welche nicht, wie unter Bezugnahme auf die 4 angemerkt, nachteilig zu Rext 801 beiträgt.
  • Der Durchschnittsfachmann auf diesem Gebiet wird die Eleganz der offenbarten Ausführungsform dahingehend erkennen, daß hierdurch der spezifische Kontaktwiderstand zwischen den Silizium-Germanium-Source- und Drainregionen sowie den jeweiligen Silizidkontakten durch Verwenden neuer Materialauswahl und Bearbeitungstechniken verringert wird. Da der spezifische Kontaktwiderstand zu dem externen spezifischen Gesamtwiderstand des Transistors beiträgt, trägt eine Verringerung des spezifischen Kontaktwiderstandes zu einer Gesamtleistungsverbesserung in dem Transistor bei.
  • Zusammenfassung
  • Eine Ausführungsform der Erfindung reduziert den externen Widerstand eines Transistors durch Verwenden einer Silizium-Germaniumlegierung für die Source- und die Drainregion und einer selbstausrichtenden Nickel-Silizium-Germanium-Silizid- (d.h. Salizid-) Schicht zum Bilden der Kontaktoberfläche der Source- und der Drainregion. Die Grenzfläche des Silizium-Germaniums und des Nickel-Silizium-Germanium-Silizids weist einen geringeren spezifischen Kontaktwiderstand aufgrund einer verminderten Metall-Halbleiter-Austrittsarbeit zwischen dem Silizium-Germanium und dem Silizid und der erhöhten Ladungsträgerbeweglichkeit in Silizium-Germanium im Vergleich zu Silizium auf. Das Silizium-Germanium kann dotiert werden, um dessen elektrische Eigenschaften genauer anzupassen. Ein Verringern des externen Widerstandes eines Transistors entspricht einem Erhöhen der Transistorleistung sowohl bezüglich Schaltgeschwindigkeit als auch Leistungsverbrauch.

Claims (46)

  1. Verfahren, welches umfaßt: Ätzen einer Source-Region und einer Drain-Region in ein Siliziumsubstrat, wobei das Ätzen ein Unterätzprofil erzeugt; Abscheiden einer Silizium-Germaniumlegierung in der Source-Region und in der Drain-Region; Abscheiden von Nickel auf der Silizium-Germaniumlegierung; Bilden einer Nickel-Silizium-Germanium-Silizidschicht, wobei die Nickel-Silizium-Germanium-Silizidschicht selbstausrichtend ist.
  2. Verfahren nach Anspruch 1, wobei sich die Source-Region und die Drain-Region in lateraler Richtung unterhalb einer Isolierschicht erstrecken.
  3. Verfahren nach Anspruch 2, wobei sich die Source-Region und die Drain-Region in lateraler Richtung unterhalb einer Gate-Region erstrecken.
  4. Verfahren nach Anspruch 3, wobei sich die Source-Region und die Drain-Region in lateraler Richtung unterhalb der Gate-Region über zwischen 25 und 200 Å erstrecken.
  5. Verfahren nach Anspruch 1, wobei die Source-Region und die Drain-Region eine vertikale Tiefe zwischen 100 und 1500 Å unterhalb der Oberfläche des Siliziumsubstrats aufweisen.
  6. Verfahren nach Anspruch 1, wobei das Ätzen ein SF6-basiertes Trockenätzen ist.
  7. Verfahren nach Anspruch 1, wobei die Silizium-Germaniumlegierung einen Germaniumanteil von zwischen 5% und 50% aufweist.
  8. Verfahren nach Anspruch 7, wobei die Silizium-Germaniumlegierung einen Germaniumanteil von zwischen 10% und 40% aufweist.
  9. Verfahren nach Anspruch 8, wobei die Silzium-Germaniumlegierung einen Germaniumanteil von zwischen 15% und 30% aufweist.
  10. Verfahren nach Anspruch 1, wobei das Abscheiden der Silizium-Germaniumlegierung durch Dampfphasenepitaxie geschieht.
  11. Verfahren nach Anspruch 1, wobei das Abscheiden der Silizium-Germaniumlegierung durch chemische Dampfphasenabscheidung bei reduziertem Druck geschieht.
  12. Verfahren nach Anspruch 1, wobei das Abscheiden der Silizium-Germaniumlegierung durch chemische Dampfphasenabscheidung bei atmosphärischem Druck geschieht.
  13. Verfahren nach Anspruch 1, wobei das Abscheiden der Silizium-Germaniumlegierung durch chemische Dampfphasenabscheidung im Ultrahochvakuum geschieht.
  14. Verfahren nach Anspruch 1, wobei das Abscheiden der Silizium-Germaniumlegierung ferner ein Dotieren der Legierung umfaßt.
  15. Verfahren nach Anspruch 14, wobei Dotieren in situ während des Abscheidens der Silizium-Germaniumlegierung geschieht.
  16. Verfahren nach Anspruch 15, wobei eine Dotiersubstanz Bor ist.
  17. Verfahren nach Anspruch 16, wobei eine Quelle der Dotiersubstanz B2H6 ist.
  18. Verfahren nach Anspruch 16, wobei das Bor ein Dotierkonzentrationsniveau von zwischen 1·1018/cm3 und 3·1021/cm3 aufweist.
  19. Verfahren nach Anspruch 18, wobei das Dotierkonzentrationsniveau 1·1021/cm3 ist.
  20. Verfahren nach Anspruch 1, wobei das Nickel eine Dicke zwischen 50 und 200 Å aufweist.
  21. Verfahren nach Anspruch 1, wobei das Bilden der Nickel-Silizium-Germanium-Silizidschicht ferner umfaßt: Annealen des Substrats bei einer Temperatur zwischen 325°C und 450°C für höchstens 60 Sekunden; Entfernen überschüssigen Nickels mit Hilfe eines naßchemischen Ätzmittels aus heißem H2O2 und H2SO4; und Annealen des Substrats bei einer Temperatur zwischen 400°C und 550°C.
  22. Transistor, welcher umfaßt: eine Gate-Region; eine Isolatorregion unterhalb der Gate-Region; eine Source-Region neben der Oxidregion; eine Drain-Region neben der Oxidregion; wobei die Source-Region und die Drain-Region eine Silizium-Germaniumlegierung und eine Nickel-Silizium-Germanium-Silizidschicht umfassen.
  23. Verfahren nach Anspruch 22, wobei die Source-Region und die Drain-Region sich in lateraler Richtung unterhalb der Isolierschicht erstrecken.
  24. Verfahren nach Anspruch 23, wobei die Source-Region und die Drain-Region sich in lateraler Richtung unterhalb einer Gate-Region erstrecken.
  25. Verfahren nach Anspruch 24, wobei die Source-Region und die Drain-Region sich in lateraler Richtung unterhalb der Gate-Region über zwischen 25 und 200 Å erstrecken.
  26. Verfahren nach Anspruch 22, wobei die Source-Region und die Drain-Region eine vertikale Tiefe zwischen 100 und 1500 Å unterhalb der Oberfläche des Siliziumsubstrats aufweisen.
  27. Transistor nach Anspruch 22, wobei die Silizium-Germaniumlegierung einen Germaniumanteil von zwischen 5% und 50% aufweist.
  28. Transistor nach Anspruch 27, wobei die Silizium-Germaniumlegierung einen Germaniumanteil von zwischen 10% und 40% aufweist.
  29. Transistor nach Anspruch 28, wobei die Silizium-Germaniumlegierung einen Germaniumanteil von zwischen 15% und 30% aufweist.
  30. Transistor nach Anspruch 22, wobei die Silizium-Germaniumlegierung dotiert ist.
  31. Transistor nach Anspruch 30, wobei das Silizium-Germanium während eines Abscheidens der Silizium-Germaniumlegierung in situ dotiert wird.
  32. Transistor nach Anspruch 31, wobei eine Dotiersubstanz Bor ist.
  33. Transistor nach Anspruch 32, wobei eine Quelle der Dotiersubstanz B2H6 ist.
  34. Transistor nach Anspruch 32, wobei das Bor ein Dotierkonzentrationsniveau zwischen 1·1018/cm3 und 3·1021/cm3 aufweist.
  35. Transistor nach Anspruch 34, wobei das Dotierkonzentrationsniveau 1·1021/cm3 ist.
  36. Transistor nach Anspruch 22, wobei die Nickel-Silizium-Germanium-Silizidschicht selbstausrichtend ist.
  37. Verfahren, welches umfaßt: Ätzen einer Source-Region und einer Drain-Region in ein Siliziumsubstrat, wobei das Ätzen ein Unterätzprofil erzeugt; Abscheiden einer Silizium-Germaniumlegierung in der Source-Region und in der Drain-Region, wobei die Silizium-Germaniumlegierung einen Germaniumanteil von zwischen 15% und 30% aufweist; In-situ Dotieren der Silizium-Germaniumlegierung mit Bor, wobei das Bor ein Dotierkonzentrationsniveau von 1·1021/cm3 aufweist; Abscheiden von Nickel auf der Silizium-Germaniumlegierung; Annealen des Substrats bei einer Temperatur zwischen 325°C und 450°C für höchstens 60 Sekunden; Entfernen überschüssigen Nickels mit Hilfe eines naßchemischen Ätzmittels aus heißem H2O2 und H2SO4; und Annealen des Substrats bei einer Temperatur zwischen 400°C und 550°C.
  38. Verfahren nach Anspruch 37, wobei die Source-Region und die Drain-Region sich in lateraler Richtung unterhalb einer Isolierschicht erstrecken.
  39. Verfahren nach Anspruch 38, wobei die Source-Region und die Drain-Region sich in lateraler Richtung unterhalb einer Gate-Region erstrecken.
  40. Verfahren nach Anspruch 39, wobei die Source-Region und die Drain-Region sich in lateraler Richtung unterhalb der Gate-Region um zwischen 25 und 200 Å erstrecken.
  41. Verfahren nach Anspruch 37, wobei die Source-Region und die Drain-Region eine vertikale Tiefe zwischen 100 und 1500 Å unterhalb der Oberfläche des Siliziumsubstrats aufweisen.
  42. Verfahren nach Anspruch 37, wobei das Ätzen ein SF6-basiertes Trockenätzen ist.
  43. Verfahren nach Anspruch 37, wobei das Abscheiden der Silizium-Germaniumlegierung durch Dampfphasenepitaxie geschieht.
  44. Verfahren nach Anspruch 37, wobei das Abscheiden der Silizium-Germaniumlegierung durch chemische Dampfphasenabscheidung bei reduziertem Druck geschieht.
  45. Verfahren nach Anspruch 37, wobei das Abscheiden der Silizium-Germaniumlegierung durch chemische Dampfphasenabscheidung bei atmosphärischem Druck geschieht.
  46. Verfahren nach Anspruch 37, wobei das Abscheiden der Silizium-Germaniumlegierung durch chemische Dampfphasenabscheidung im Ultrahochvakuum geschieht.
DE112004002409T 2003-12-08 2004-11-19 Verfahren zum Verbessern der Transistorleistung durch Reduzieren des Salizidgrenzflächenwiderstandes und Transistor Active DE112004002409B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/731,269 US6949482B2 (en) 2003-12-08 2003-12-08 Method for improving transistor performance through reducing the salicide interface resistance
US10/731,269 2003-12-08
PCT/US2004/038986 WO2005062366A1 (en) 2003-12-08 2004-11-19 Method for improving transistor performance through reducing the salicide interface resistance

Publications (2)

Publication Number Publication Date
DE112004002409T5 true DE112004002409T5 (de) 2006-10-05
DE112004002409B4 DE112004002409B4 (de) 2010-05-20

Family

ID=34652745

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112004002409T Active DE112004002409B4 (de) 2003-12-08 2004-11-19 Verfahren zum Verbessern der Transistorleistung durch Reduzieren des Salizidgrenzflächenwiderstandes und Transistor

Country Status (6)

Country Link
US (9) US6949482B2 (de)
KR (1) KR100810776B1 (de)
CN (2) CN101677110B (de)
DE (1) DE112004002409B4 (de)
TW (1) TWI257689B (de)
WO (1) WO2005062366A1 (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007004861B4 (de) * 2007-01-31 2010-02-18 Advanced Micro Devices, Inc., Sunnyvale Transistor mit eingebettetem Si/Ge-Material auf einem verspannten Halbleiter-auf-Isolator-Substrat und Verfahren zum Herstellen des Transistors
DE102010030768B4 (de) * 2010-06-30 2012-05-31 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Herstellverfahren für ein Halbleiterbauelement als Transistor mit eingebettetem Si/Ge-Material mit geringerem Abstand und besserer Gleichmäßigkeit und Transistor
DE102015106397A1 (de) * 2015-04-16 2016-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US7473947B2 (en) * 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US6949482B2 (en) * 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
KR100584776B1 (ko) * 2004-03-05 2006-05-29 삼성전자주식회사 반도체 장치의 액티브 구조물 형성 방법, 소자 분리 방법및 트랜지스터 형성 방법
JP4837902B2 (ja) * 2004-06-24 2011-12-14 富士通セミコンダクター株式会社 半導体装置
US6979622B1 (en) * 2004-08-24 2005-12-27 Freescale Semiconductor, Inc. Semiconductor transistor having structural elements of differing materials and method of formation
US7253086B2 (en) * 2004-10-18 2007-08-07 Texas Instruments Incorporated Recessed drain extensions in transistor device
KR100602122B1 (ko) * 2004-12-03 2006-07-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
TWI297928B (en) * 2005-01-20 2008-06-11 Macronix Int Co Ltd Memory cell
US7078285B1 (en) * 2005-01-21 2006-07-18 Sony Corporation SiGe nickel barrier structure employed in a CMOS device to prevent excess diffusion of nickel used in the silicide material
US7446350B2 (en) * 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
US7465976B2 (en) * 2005-05-13 2008-12-16 Intel Corporation Tunneling field effect transistor using angled implants for forming asymmetric source/drain regions
TW200713455A (en) * 2005-09-20 2007-04-01 Applied Materials Inc Method to form a device on a SOI substrate
US7939413B2 (en) * 2005-12-08 2011-05-10 Samsung Electronics Co., Ltd. Embedded stressor structure and process
US7800184B2 (en) 2006-01-09 2010-09-21 International Business Machines Corporation Integrated circuit structures with silicon germanium film incorporated as local interconnect and/or contact
JP4847152B2 (ja) * 2006-02-22 2011-12-28 富士通セミコンダクター株式会社 半導体装置とその製造方法
US20070238236A1 (en) * 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
JP5362187B2 (ja) * 2006-03-30 2013-12-11 日本碍子株式会社 半導体素子
US7566605B2 (en) * 2006-03-31 2009-07-28 Intel Corporation Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
US8035098B1 (en) 2006-04-04 2011-10-11 Globalfoundries Inc. Transistor with asymmetric silicon germanium source region
US8207523B2 (en) * 2006-04-26 2012-06-26 United Microelectronics Corp. Metal oxide semiconductor field effect transistor with strained source/drain extension layer
KR100757654B1 (ko) * 2006-05-26 2007-09-10 매그나칩 반도체 유한회사 시모스 이미지 센서 및 그 제조 방법
US7355254B2 (en) * 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
JP2008071890A (ja) * 2006-09-13 2008-03-27 Toshiba Corp 半導体装置及びその製造方法
KR100798814B1 (ko) * 2006-09-20 2008-01-28 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
KR100764058B1 (ko) * 2006-09-20 2007-10-09 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
CN102664164A (zh) * 2006-11-20 2012-09-12 中芯国际集成电路制造(上海)有限公司 用于应变硅mos晶体管的使用硬掩模的刻蚀方法和结构
US7534689B2 (en) 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
KR20080062764A (ko) * 2006-12-29 2008-07-03 삼성전자주식회사 게르마늄실리사이드 형성방법 및 이를 적용하여 게르마늄실리사이드가 형성된 디바이스
TWI478347B (zh) * 2007-02-09 2015-03-21 Idemitsu Kosan Co A thin film transistor, a thin film transistor substrate, and an image display device, and an image display device, and a semiconductor device
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
TW200910526A (en) * 2007-07-03 2009-03-01 Renesas Tech Corp Method of manufacturing semiconductor device
US7833852B2 (en) * 2007-07-23 2010-11-16 Freescale Semiconductor, Inc. Source/drain stressors formed using in-situ epitaxial growth
KR20090020847A (ko) * 2007-08-24 2009-02-27 삼성전자주식회사 스트레인드 채널을 갖는 모스 트랜지스터의 제조 방법 및그에 의해 제조된 모스 트랜지스터
US20090065804A1 (en) * 2007-09-10 2009-03-12 International Business Machines Corporation Bipolar transistor with low resistance base contact and method of making the same
US7790495B2 (en) * 2007-10-26 2010-09-07 International Business Machines Corporation Optoelectronic device with germanium photodetector
KR20090096885A (ko) * 2008-03-10 2009-09-15 삼성전자주식회사 국부적 매립 절연막을 구비하는 반도체 장치 및 그 제조방법
JP5234333B2 (ja) * 2008-05-28 2013-07-10 Nltテクノロジー株式会社 ゲート線駆動回路、アクティブマトリクス基板及び液晶表示装置
US7693354B2 (en) * 2008-08-29 2010-04-06 Bae Systems Information And Electronic Systems Integration Inc. Salicide structures for heat-influenced semiconductor applications
US7715663B2 (en) * 2008-08-29 2010-05-11 Bae Systems Information And Electronic Systems Integration Inc. Integrated optical latch
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9318571B2 (en) * 2009-02-23 2016-04-19 United Microelectronics Corp. Gate structure and method for trimming spacers
US8592745B2 (en) * 2009-08-19 2013-11-26 Luxtera Inc. Method and system for optoelectronic receivers utilizing waveguide heterojunction phototransistors integrated in a CMOS SOI wafer
US8278196B2 (en) * 2010-07-21 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. High surface dopant concentration semiconductor device and method of fabricating
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
CN102646637A (zh) * 2011-02-17 2012-08-22 中芯国际集成电路制造(上海)有限公司 Cmos器件及其形成方法
US8614134B2 (en) * 2011-03-21 2013-12-24 Globalfoundries Inc. Shallow source and drain architecture in an active region of a semiconductor device having a pronounced surface topography by tilted implantation
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8728930B2 (en) 2011-06-30 2014-05-20 Micron Technology, Inc. Methods of forming metal silicide-comprising material and methods of forming metal silicide-comprising contacts
CN103137451B (zh) * 2011-11-23 2015-12-02 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
TWI556439B (zh) * 2011-12-20 2016-11-01 英特爾股份有限公司 用於pmos整合之第iv族電晶體
US9105571B2 (en) * 2012-02-08 2015-08-11 International Business Machines Corporation Interface engineering to optimize metal-III-V contacts
US9680027B2 (en) * 2012-03-07 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Nickelide source/drain structures for CMOS transistors
US8936977B2 (en) * 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8664072B2 (en) 2012-05-30 2014-03-04 Globalfoundries Inc. Source and drain architecture in an active region of a P-channel transistor by tilted implantation
US20140048888A1 (en) 2012-08-17 2014-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained Structure of a Semiconductor Device
US20140057399A1 (en) * 2012-08-24 2014-02-27 International Business Machines Corporation Using Fast Anneal to Form Uniform Ni(Pt)Si(Ge) Contacts on SiGe Layer
EP2704199B1 (de) 2012-09-03 2020-01-01 IMEC vzw Herstellungsverfahren für ein Halbleiterbauelement
CN103681499B (zh) * 2012-09-12 2017-08-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
KR20140038826A (ko) 2012-09-21 2014-03-31 삼성전자주식회사 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
JP6054738B2 (ja) * 2012-12-25 2016-12-27 京セラ株式会社 カメラモジュール、カメラシステムおよび画像表示方法
US20140264444A1 (en) * 2013-03-13 2014-09-18 International Business Machines Corporation Stress-enhancing selective epitaxial deposition of embedded source and drain regions
US9685509B2 (en) * 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US10147793B2 (en) * 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9401274B2 (en) 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
US9583483B2 (en) 2013-09-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain stressors with recessed top surfaces
FR3011386B1 (fr) * 2013-09-30 2018-04-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Transistor mos a espaceurs d'air
US20150270134A1 (en) * 2014-03-19 2015-09-24 Qualcomm Incorporated Methods of forming a metal-insulator-semiconductor (mis) structure and a dual contact device
CN103871902A (zh) 2014-03-24 2014-06-18 上海华力微电子有限公司 半导体处理工艺及半导体器件的制备方法
US9716160B2 (en) 2014-08-01 2017-07-25 International Business Machines Corporation Extended contact area using undercut silicide extensions
TWI575748B (zh) 2014-09-01 2017-03-21 聯華電子股份有限公司 P型場效電晶體及包含該p型場效電晶體的互補式金屬氧化半導體電晶體
US10164050B2 (en) * 2014-12-24 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
CN105869991B (zh) 2015-01-23 2018-05-11 上海华力微电子有限公司 用于改善SiGe厚度的均匀性的方法和系统
CN105990172B (zh) 2015-01-30 2018-07-31 上海华力微电子有限公司 嵌入式SiGe外延测试块的设计
CN105990342B (zh) 2015-02-13 2019-07-19 上海华力微电子有限公司 具有用于嵌入锗材料的成形腔的半导体器件及其制造工艺
CN104851884A (zh) 2015-04-14 2015-08-19 上海华力微电子有限公司 用于锗硅填充材料的成形腔
CN104821336B (zh) 2015-04-20 2017-12-12 上海华力微电子有限公司 用于使用保形填充层改善器件表面均匀性的方法和系统
US10050147B2 (en) * 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN105097554B (zh) 2015-08-24 2018-12-07 上海华力微电子有限公司 用于减少高浓度外延工艺中的位错缺陷的方法和系统
US20170141228A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and manufacturing method thereof
US9666488B1 (en) * 2016-04-11 2017-05-30 Globalfoundries Inc. Pass-through contact using silicide
CN108933107A (zh) * 2017-05-24 2018-12-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10178294B2 (en) * 2017-05-25 2019-01-08 International Business Machines Corporation Controlling a video capture device based on cognitive personal action and image identification
US11384241B2 (en) 2018-01-26 2022-07-12 Hewlett-Packard Development Company, L.P. Three-dimensional printing

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156994A (en) * 1990-12-21 1992-10-20 Texas Instruments Incorporated Local interconnect method and structure
US5200352A (en) * 1991-11-25 1993-04-06 Motorola Inc. Transistor having a lightly doped region and method of formation
TW425637B (en) * 1993-01-18 2001-03-11 Semiconductor Energy Lab Method of fabricating mis semiconductor device
JP3761918B2 (ja) * 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
US6063675A (en) * 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
US6518155B1 (en) * 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
EP0969516A3 (de) * 1998-06-30 2004-09-15 Sharp Kabushiki Kaisha MOSFET mit strukturierten Source/Drain-Zonen und Herstellungsverfahren
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
JP2000243854A (ja) * 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6440851B1 (en) 1999-10-12 2002-08-27 International Business Machines Corporation Method and structure for controlling the interface roughness of cobalt disilicide
US6214679B1 (en) 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6251726B1 (en) 2000-01-21 2001-06-26 Taiwan Semiconductor Manufacturing Company Method for making an enlarged DRAM capacitor using an additional polysilicon plug as a center pillar
US6664143B2 (en) * 2000-11-22 2003-12-16 North Carolina State University Methods of fabricating vertical field effect transistors by conformal channel layer deposition on sidewalls
JP3547419B2 (ja) 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
US6506637B2 (en) * 2001-03-23 2003-01-14 Sharp Laboratories Of America, Inc. Method to form thermally stable nickel germanosilicide on SiGe
US6952040B2 (en) * 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6787864B2 (en) * 2002-09-30 2004-09-07 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US6949482B2 (en) * 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
US7247535B2 (en) * 2004-09-30 2007-07-24 Texas Instruments Incorporated Source/drain extensions having highly activated and extremely abrupt junctions
JP2006165480A (ja) * 2004-12-10 2006-06-22 Toshiba Corp 半導体装置
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7429775B1 (en) * 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS
US7592213B2 (en) * 2005-12-29 2009-09-22 Intel Corporation Tensile strained NMOS transistor using group III-N source/drain regions
US20070238236A1 (en) * 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US7528072B2 (en) * 2006-04-20 2009-05-05 Texas Instruments Incorporated Crystallographic preferential etch to define a recessed-region for epitaxial growth

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007004861B4 (de) * 2007-01-31 2010-02-18 Advanced Micro Devices, Inc., Sunnyvale Transistor mit eingebettetem Si/Ge-Material auf einem verspannten Halbleiter-auf-Isolator-Substrat und Verfahren zum Herstellen des Transistors
US7763515B2 (en) 2007-01-31 2010-07-27 Globalfoundries Inc. Transistor with embedded silicon/germanium material on a strained semiconductor on insulator substrate
DE102010030768B4 (de) * 2010-06-30 2012-05-31 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Herstellverfahren für ein Halbleiterbauelement als Transistor mit eingebettetem Si/Ge-Material mit geringerem Abstand und besserer Gleichmäßigkeit und Transistor
US8609498B2 (en) 2010-06-30 2013-12-17 Globalfoundries Inc. Transistor with embedded Si/Ge material having reduced offset and superior uniformity
US9006835B2 (en) 2010-06-30 2015-04-14 Globalfoundries Inc. Transistor with embedded Si/Ge material having reduced offset and superior uniformity
DE102015106397A1 (de) * 2015-04-16 2016-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung
DE102015106397B4 (de) 2015-04-16 2019-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung

Also Published As

Publication number Publication date
KR100810776B1 (ko) 2008-03-07
WO2005062366A1 (en) 2005-07-07
US20050130454A1 (en) 2005-06-16
US20080044968A1 (en) 2008-02-21
US9437710B2 (en) 2016-09-06
US9202889B2 (en) 2015-12-01
US20160336447A1 (en) 2016-11-17
US20110101418A1 (en) 2011-05-05
DE112004002409B4 (de) 2010-05-20
US20050253200A1 (en) 2005-11-17
US8482043B2 (en) 2013-07-09
CN101677110A (zh) 2010-03-24
US9680016B2 (en) 2017-06-13
US20110006344A1 (en) 2011-01-13
US20150108546A1 (en) 2015-04-23
KR20060123271A (ko) 2006-12-01
CN101677110B (zh) 2012-09-05
US9876113B2 (en) 2018-01-23
CN100565823C (zh) 2009-12-02
TW200524090A (en) 2005-07-16
US6949482B2 (en) 2005-09-27
TWI257689B (en) 2006-07-01
US20160336446A1 (en) 2016-11-17
US20130302961A1 (en) 2013-11-14
US7274055B2 (en) 2007-09-25
CN1883040A (zh) 2006-12-20

Similar Documents

Publication Publication Date Title
DE112004002409B4 (de) Verfahren zum Verbessern der Transistorleistung durch Reduzieren des Salizidgrenzflächenwiderstandes und Transistor
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE102010037736B4 (de) Tunnel-Feldeffekttransistoren
DE102010000354B4 (de) Halbleitereinrichtungen
DE112012002700B4 (de) Verfahren für niederohmige Source- und Drain-Bereiche in einem Prozessablauf mit Ersatz-Metall-Gate
DE112011101378B4 (de) Epitaxie von Delta-Monoschicht-Dotierstoffen für eingebettetes Source/Drain-Silicid
DE112011103483B4 (de) Verfahren und Struktur für pFET-Übergangs-Profil mit SiGe-Kanal
DE102014204114B4 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt, und Verfahren zu seiner Herstellung
EP0809860B1 (de) Verfahren zur Herstellung einer SCHICHTSTRUKTUR MIT EINER SILICID-SCHICHT
DE112011101433B4 (de) Stressor mit eingebetteter Dotierstoff-Monoschicht für hochentwickelten CMOS-Halbleiter
WO2010078204A2 (en) Quantum well mosfet channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
DE102018100114B4 (de) Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102010016000A1 (de) Halbleitervorrichtungen und Verfahren zum Herstellen einer Halbleitervorrichtung
DE112020005273B4 (de) Verfahren zum Bilden eines Nanosheet-Transistors mit selbstausgerichteter dielektrischer Säule
DE19943390A1 (de) Halbleiterbauelement
DE102019121270A1 (de) Struktur und Bildungsverfahren der Halbleitervorrichtung mit Finnenstrukturen
DE4303598C2 (de) Halbleiterbauelement, insbesondere Feldeffekttransistor mit vergrabenem Gate
DE102021129111A1 (de) Transistor mit Luftspalt unter Source/Drain-Bereich in Bulk-Halbleitersubstrat
DE102019103699A1 (de) Finnen-Feldeffekttransistor-Bauelement und Verfahren zu dessen Herstellung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law

Ref document number: 112004002409

Country of ref document: DE

Date of ref document: 20061005

Kind code of ref document: P

8364 No opposition during term of opposition