DE102015106397A1 - Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung - Google Patents

Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung Download PDF

Info

Publication number
DE102015106397A1
DE102015106397A1 DE102015106397.2A DE102015106397A DE102015106397A1 DE 102015106397 A1 DE102015106397 A1 DE 102015106397A1 DE 102015106397 A DE102015106397 A DE 102015106397A DE 102015106397 A1 DE102015106397 A1 DE 102015106397A1
Authority
DE
Germany
Prior art keywords
semiconductor
semiconductor structure
germanium
boron
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102015106397.2A
Other languages
English (en)
Other versions
DE102015106397B4 (de
Inventor
Chun-Hsiung Tsai
Huai-Tei Yang
Kuo-Feng Yu
Kei-Wei Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/688,002 external-priority patent/US9401274B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015106397A1 publication Critical patent/DE102015106397A1/de
Application granted granted Critical
Publication of DE102015106397B4 publication Critical patent/DE102015106397B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/30Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

Eine Halbleiterstruktur umfasst ein Substrat und einen Source-Drain-Übergang (S/D-Übergang). Der S/D-Übergang ist mit dem Substrat verbunden und umfasst ein Halbleitermaterial. Das Halbleitermaterial umfasst Germanium und weist einen prozentualen Anteil an Germanium zwischen etwa 50% und etwa 95% auf.

Description

  • QUERVERWEIS ZU VERWANDTEN ANMELDUNGEN
  • Diese Anmeldung ist eine Continuation-in-Part der US-Patentanmeldung Nr. 13/963,043, eingereicht am 9. August 2013, auf die in ihrer Gesamtheit Bezug genommen wird.
  • GEBIET DER ERFINDUNG
  • Die in diesem Patentdokument beschriebene Technologie betrifft im Allgemeinen Halbleitermaterialien und insbesondere das Verarbeiten von Halbleitermaterialien.
  • BESCHREIBUNG DES STANDES DER TECHNIK
  • Die Herstellung von Halbleiterbauelementen beinhaltet häufig viele Prozessschritte. Zum Beispiel umfasst der Prozess der Herstellung eines Feldeffekttransistors gewöhnlich das Dotieren eines Halbleitersubstrats (z. B. Einbringen gewünschter Fremdstoffe in das Substrat), um Source-Drain-Übergänge zu bilden. Es können viele verschiedene Verfahren ausgeführt werden, um das Substrat zu dotieren, wie beispielsweise Ionenimplantation, Diffusion und epitaxiales Wachstum. Des Weiteren müssen die in das Substrat eingebrachten Fremdstoffe häufig elektrisch aktiviert werden, bevor auf dem Substrat Halbleiterbauelemente hergestellt werden können. Die Aktivierung der Dotierstoffe beinhaltet häufig das Auflösen von Dotierstoffzusammenballungen und das Überführen der Dotierstoffatome/-moleküle von Zwischengitterpositionen in Gitterstellen der Gitterstruktur des Substrats. Zum Beispiel können die Dotierstoffe mit Hilfe schnellen thermischen Ausheilens (RTA; engl.: Rapid Thermal Annealing) oder des thermischen Ausheilens in Millisekunden (MSA; engl.: Millisecond Thermal Annealing) aktiviert werden.
  • Unter bestimmten Umständen beinhaltet der Herstellungsprozess von Halbleiterbauelementen das Bestrahlen mit Mikrowellen, was typischerweise elektromagnetische Wellen mit Wellenlängen im Bereich von 1 m bis 1 mm umfasst (entspricht Frequenzen zwischen 0,3 und 300 GHz). Wenn auf ein bestimmtes Material (z. B. ein dielektrisches Material), das elektrische Dipole umfasst, eine Mikrowellenbestrahlung angewendet wird, verändern die Dipole in Reaktion auf die Veränderung der elektrischen Felder der Mikrowellenstrahlung ihre Ausrichtungen und somit kann das Material die Mikrowellenstrahlung absorbieren, um Wärme zu erzeugen. Die Reaktion des Materials auf das elektrische Feld der Mikrowellenstrahlung kann mit Hilfe einer komplexen Permittivität, ε(ω)*, gemessen werden, die von der Frequenz des elektrischen Feldes abhängt: ε(ω)* = ε(ω)' – iε(ω)'' = ε0r(ω)' – iεr(ω)'') (1) wobei ω die Frequenz des elektrischen Feldes darstellt, ε(ω)' eine reale Komponenten der komplexen Permittivität (d. h. einer dielektrischen Konstante) darstellt und ε(ω)'' einen dielektrischen Verlustfaktor darstellt. Zusätzlich dazu stellt ε0 die Permittivität eines Vakuums, εr(ω)' die relative Dielektrizitätskonstante und εr(ω)'' den relativen dielektrischen Verlustfaktor dar.
  • Ob ein Material die Mikrowellenstrahlung absorbieren kann, kann mit Hilfe einer Verlusttangenten, tanδ, beschrieben werden: tanδ = ε''μ' – ε'μ'' / ε'μ + ε''μ'' (2) wobei μ' eine reale Komponente der magnetischen Permeabilität des Materials und μ'' einen magnetischen Verlustfaktor darstellt. Einen vernachlässigbaren magnetischen Verlust angenommen (d. h. μ'' = 0), wird die Verlusttangente eines Materials wie folgt ausgedrückt:
    Figure DE102015106397A1_0002
  • Materialien mit einer geringen Verlusttangente (z. B. tanδ < 0,01) gestatten Mikrowellen den Durchtritt mit sehr geringer Absorption. Materialien mit einer extrem hohen Verlusttangente (z. B. tanδ > 10) reflektieren Mikrowellen mit geringer Absorption. Materialien mit einer mittleren Verlusttangenten (z. B. 10 ≥ tanδ ≥ 0,01) können Mikrowellenstrahlung absorbieren.
  • KURZDARSTELLUNG
  • Gemäß den hier beschriebenen Lehren wird eine Halbleiterstruktur bei einer Ausführungsform mit einem Substrat und einem Source-Drain-Übergang (S/D-Übergang) bereitgestellt. Der S/D-Übergang ist dem Substrat zugeordnet und umfasst ein Halbleitermaterial, das Germanium umfasst und einen prozentualen Anteil an Germanium zwischen etwa 50% und etwa 95% aufweist.
  • Bei einer weiteren Ausführungsform wird eine Halbleiterstruktur bereitgestellt, die ein Substrat und einen Source-Drain-Übergang (S/D-Übergang) umfasst. Der S/D-Übergang ist dem Substrat zugeordnet und umfasst ein Halbleitermaterial. Das Halbleitermaterial weist eine untere Schicht auf, die Germanium umfasst, und eine obere Schicht, die mit Bor dotiert ist und eine höhere Borkonzentration als die untere Schicht aufweist.
  • Bei einer weiteren Ausführungsform wird ein Verfahren vorgesehen. Das Verfahren umfasst das Aufnehmen eines aus einer Halbleiterstruktur bestehenden Substrats und das Bilden eines Source-Drain-Übergangs (S/D-Übergang), der dem Substrat zugeordnet ist. Das Bilden des S/D-Übergangs umfasst das Bilden eines Halbleitermaterials, das Germanium enthält, und das Dotieren des Halbleitermaterials mit Bor derart, dass eine obere Schicht des Halbleitermaterials eine höhere Borkonzentration aufweist als eine untere Schicht des Halbleitersubstrats.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • 1 stellt eine beispielhafte Abbildung für die Dotierstoffaktivierung mit Hilfe von Mikrowellenstrahlung dar.
  • 2 stellt eine weitere beispielhafte Abbildung für die Dotierstoffaktivierung mit Hilfe von Mikrowellenstrahlung dar.
  • 3 stellt eine beispielhafte Abbildung dar, die eine Vorrichtung zur Dotierstoffaktivierung mit Hilfe von Mikrowellen zeigt.
  • 4 stellt eine beispielhafte Abbildung für die Dotierstoffaktivierung mit Hilfe von Mikrowellenstrahlung dar.
  • 5 stellt eine weitere beispielhafte Abbildung für die Dotierstoffaktivierung mit Hilfe von Mikrowellenstrahlung dar.
  • 6 stellt ein beispielhaftes Ablaufdiagramm für die Dotierstoffaktivierung mit Hilfe von Mikrowellenstrahlung dar.
  • 7 stellt ein weiteres beispielhaftes Ablaufdiagramm für die Dotierstoffaktivierung mit Hilfe von Mikrowellenstrahlung dar.
  • 8 stellt ein beispielhaftes Ablaufdiagramm für den Vorgang 770 von 7 dar.
  • 9 stellt ein weiteres beispielhaftes Ablaufdiagramm für den Vorgang 770 von 7 dar.
  • 10 stellt ein weiteres beispielhaftes Ablaufdiagramm für den Vorgang 770 von 7 dar.
  • 11 stellt ein weiteres beispielhaftes Ablaufdiagramm für den Vorgang 770 von 7 dar.
  • 12 stellt eine beispielhafte grafische Darstellung dar, welche die Konzentration im Verhältnis zur Tiefe zeigt.
  • 13 stellt eine beispielhafte Abbildung dar, die eine Halbleiterstruktur zeigt.
  • DETAILLIERTE BESCHREIBUNG
  • Die herkömmliche Technologie zur Dotierstoffaktivierung, wie beispielsweise RTA und MSA, beinhaltet häufig hohe Verarbeitungstemperaturen. RTA wird zum Beispiel bei einer Temperatur von mehr als 950°C und MSA bei einer Temperatur von mehr als 1050°C ausgeführt. Derartige hohe Verarbeitungstemperaturen sind für einige moderne Halbleiterbauelemente möglicherweise nicht geeignet. Zum Beispiel weisen bestimmte Materialien (z. B. Germanium, Zinn), die in modernen CMOS-Bauelementen (Complementary Metal-Oxide-Semicondutor) verwendet werden, niedrige Schmelzpunkte auf, welche die Verarbeitungstemperatur bei der Herstellung der Bauelemente begrenzen.
  • 1 stellt eine beispielhafte Abbildung für die Dotierstoffaktivierung mit Hilfe von Mikrowellenstrahlung dar. Wie in 1 gezeigt, ist das Mikrowellen-Absorptionsmaterial 102 in einem Abstand (z. B. d) von einer Halbleiterstruktur 104 angeordnet, die Dotierstoffe umfasst, wobei Mikrowellenstrahlung sowohl auf das Mikrowellen-Absorptionsmaterial 102 als auch auf die Halbleiterstruktur 104 angewendet werden kann, um die Dotierstoffe in der Halbleiterstruktur 104 zu aktivieren.
  • Die Halbleiterstruktur 104, die eine kleine Verlusttangente aufweist, kann Mikrowellenstrahlung möglicherweise nicht effizient absorbieren. Andererseits kann das Mikrowellen-Absorptionsmaterial 102, das eine größere Verlusttangente aufweist (z. B. im Bereich von etwa 0,01 bis etwa 2), Mikrowellenstrahlung ausreichend absorbieren und die elektrische Flussdichte über der Halbleiterstruktur 104 erhöhen. Bei erhöhter elektrische Flussdichte kann die Verlusttangente der Halbleiterstruktur 104 zunehmen und die Halbleiterstruktur 104 kann die Mikrowellenstrahlung effizienter absorbieren, so dass die Dotierstoffe in der Halbleiterstruktur 104 aktiviert werden können, um Halbleiterbauelementen herzustellen.
  • Zum Beispiel kann die Halbleiterstruktur 104 eine Verbindung mit einer Anzahl von Dotierstoffen umfassen. Die Dotierstoff umfassende Verbindung kann bei einer erhöhten Temperatur (z. B. im Bereich von etwa 300°C bis etwa 600°C) durch epitaxiales Wachstum, zum Beispiel durch chemische Gasphasenabscheidung (CVD; engl.: Chemical Vapor Deposition), auf einem Substrat gebildet werden. In Reaktion auf die angelegte Mikrowellenstrahlung intensiviert das Mikrowellen-Absorptionsmaterial 102 die elektrische Flussdichte in der Halbleiterstruktur 104. In der Halbleiterstruktur 104 können mehr und mehr zu den Dotierstoffen gehörige Dipole gebildet werden und diese Dipole können in Reaktion auf die angelegte Mikrowellenstrahlung vibrieren und/oder rotieren. Die Halbleiterstruktur 104 kann unter der erhöhten elektrischen Flussdichte Mikrowellenstrahlung stärker absorbieren. Sobald die elektrische Flussdichte in der Halbleiterstruktur 104 einen Grenzwert übersteigt, können die Dipolbildung und die Dipolbewegungen (z. B. Vibration und/oder Rotation) schließlich die Bindungen zwischen den Dotierstoffen und den Zwischengitterstellen in der Halbleiterstruktur 104 zerstören, so dass die Dotierstoffe aktiviert werden können. Der Abstand zwischen dem Mikrowellen-Absorptionsmaterial 102 und der Halbleiterstruktur 104 kann justiert werden, um die Dotierstoffaktivierung zu verbessern.
  • Die Dotierstoffe können zum Beispiel Phosphor, Moleküle auf Phosphorbasis, Germanium, Helium, Bor, Moleküle auf Borbasis oder eine Kombination daraus umfassen.
  • Bei einer Ausführungsform kann die auf das Mikrowellen-Absorptionsmaterial 102 angelegte Mikrowellenstrahlung eine Frequenz im Bereich von etwa 2 bis etwa 10 GHz aufweisen. Das Mikrowellen-Absorptionsmaterial 102 kann zum Beispiel bordotiertes Siliciumgermanium, Siliciumphosphid, Titan, Nickel, Siliciumnitrid, Siliciumdioxid, Siliciumcarbid oder eine Kombination daraus umfassen. Das Mikrowellen-Absorptionsmaterial 102 kann viel größer sein als die Halbleiterstruktur 104, so dass die elektrische Flussdichte in der Halbleiterstruktur 104 in etwa gleichmäßig sein kann. Beispielsweise kann die Halbleiterstruktur 104 ein Halbleitersubstrat, eine Halbleiter-auf-Isolator-Struktur oder eine Halbleiterdünnfilmstruktur umfassen.
  • Bei einer weiteren Ausführungsform kann die Temperatur der Halbleiterstruktur 104 in einem Bereich von etwa 500 bis etwa 600°C gehalten werden, um die Dotierstoffdiffusion zu steuern. Die Mikrowellenstrahlung kann auf das Mikrowellen-Absorptionsmaterial 102 und die Halbleiterstruktur 104 zum Beispiel für eine Zeitspanne von etwa 40 bis etwa 300 Sekunden angelegt werden.
  • 2 stellt eine weitere beispielhafte Abbildung für die Dotierstoffaktivierung mit Hilfe von Mikrowellenstrahlung dar. Wie in 2 gezeigt, ist eine Halbleiterstruktur 202, die Dotierstoffe umfasst, zwischen zwei Mikrowellen-Absorptionsmaterialien 204 und 206 angeordnet, wobei auf die Halbleiterstruktur 02 und die Mikrowellen-Absorptionsmaterialien 204 und 206 Mikrowellenstrahlung angewendet werden kann, um die Dotierstoffe in der Halbleiterstruktur 202 zu aktivieren. Die Mikrowellen-Absorptionsmaterialien 204 und 206 können zum Beispiel die gleiche Verlusttangente oder verschiedene Verlusttangenten aufweisen. Beispielsweise kann der Abstand (z. B. d1) zwischen dem Mikrowellen-Absorptionsmaterial 204 und der Halbleiterstruktur 202 gleich dem oder anders als der Abstand (z. B. d2) zwischen dem Mikrowellen-Absorptionsmaterial 206 und der Halbleiterstruktur 202 sein. Die Abstände d1 und d2 können eingestellt werden, um die Dotierstoffaktivierung zu verbessern. Bei einer Ausführungsform können das Mikrowellen-Absorptionsmaterial 204 über einer Oberseite der Halbleiterstruktur 202 und das Mikrowellen-Absorptionsmaterial 206 unter einer Unterseite der Halbleiterstruktur 02 angeordnet sein. Bei einer weiteren Ausführungsform können das Mikrowellen-Absorptionsmaterial 204 über einer Seitenfläche der Halbleiterstruktur 202 und das Mikrowellen-Absorptionsmaterial 206 über einer anderen Seitenfläche der Halbleiterstruktur 202 angeordnet sein. Bei noch einer weiteren Ausführungsform können mehrere Mikrowellen-Absorptionsmaterialien über der Oberseite, unter der Unterseite und über einer oder mehreren Seitenflächen der Halbleiterstruktur 202 angeordnet sein.
  • 3 stellt eine beispielhafte Abbildung dar, die eine Vorrichtung zur Dotierstoffaktivierung mit Hilfe von Mikrowellen zeigt. Wie in 3 gezeigt, ist eine Halbleiterstruktur 302, die Dotierstoffe umfasst, zwischen zwei Mikrowellen-Absorptionsmaterialien 304 und 306 in einer Ummantelung 308 angeordnet. Die Ummantelung 308 umfasst eine oder mehrere Mikrowellenöffnungen 310, durch die Mikrowellenstrahlung eingeführt werden kann. Die Ummantelung 308 kann zum Beispiel aus einem Metallmaterial bestehen. Die Mikrowellen-Absorptionsmaterialien 304 und 306 können durch Wärmequellen 312 bzw. 314 auf festgelegte Temperaturen vorgewärmt werden (z. B. in einem Bereich von etwa 500 bis etwa 600°C), so dass die Absorption von Mikrowellenstrahlung durch die Absorptionsmaterialien 304, 306 verstärkt wird. Die Wärmequellen 312 und 314 können beispielsweise eine Ar-Lampe, eine Xenon-Lampe oder eine Wolfram-Halogenlampe umfassen. Bei einem weiteren Beispiel können die Wärmequellen 312 und 314 eine oder mehrere Elektroenergiequellen umfassen (z. B. siliciumgesteuerte Gleichrichter).
  • 4 stellt eine beispielhafte Abbildung für die Dotierstoffaktivierung mit Hilfe von Mikrowellenstrahlung dar. Wie in 4 gezeigt, kann eine Mikrowellen-Absorptionsschicht 402 auf einer Halbleiterstruktur 404 gebildet sein, die Dotierstoff umfasst, wobei die Mikrowellenstrahlung auf die Mikrowellen-Absorptionsschicht 402 und die Halbleiterstruktur 404 angewendet werden kann. Die Mikrowellen-Absorptionsschicht 402 kann zum Beispiel durch epitaxiales Wachstum (z. B. CVD) auf der Halbleiterstruktur 404 gebildet sein. Die Dicke der Mikrowellen-Absorptionsschicht 402 kann justiert werden, z. B. auf etwa 30 bis etwa 250 nm, um die Dotierstoffaktivierung zu verbessern. Die Mikrowellen-Absorptionsschicht 402 kann zum Beispiel durch epitaxiales Wachstum (z. B. CVD) auf der Halbleiterstruktur 404 gebildet sein. Nach der Dotierstoffaktivierung kann die Mikrowellen-Absorptionsschicht 402 im Wesentlichen entfernt werden, zum Beispiel durch Ätzen (z. B. durch Nassätzen, Trockenätzen) oder durch chemisch-mechanisches Polieren.
  • 5 stellt eine weitere beispielhafte Abbildung für die Dotierstoffaktivierung mit Hilfe von Mikrowellenstrahlung dar. Wie in 5 gezeigt, kann eine Mikrowellen-Absorptionsschicht 502 auf einer Oberseite einer Halbleiterstruktur 504 gebildet sein, die Dotierstoffe umfasst, und eine weitere Mikrowellen-Absorptionsschicht 506 kann auf einer Unterseite der Halbleiterstruktur 504 gebildet sein. Auf die Halbleiterstruktur 504 und die Mikrowellen-Absorptionsschichten 502 und 506 kann zur Dotierstoffaktivierung Mikrowellenstrahlung angewendet werden. Bei einer Ausführungsform kann die Mikrowellen-Absorptionsschicht 502 an einer Seitenfläche der Halbleiterstruktur 504 und die Mikrowellen-Absorptionsschicht 506 an einer anderen Seitenfläche der Halbleiterstruktur 504 gebildet sein. Bei einer weiteren Ausführungsform können auf der Oberseite, der Unterseite und einer oder mehreren Seitenflächen der Halbleiterstruktur 504 mehrere Mikrowellen-Absorptionsschichten gebildet sein.
  • 6 stellt ein beispielhaftes Ablaufdiagramm für die Dotierstoffaktivierung mit Hilfe von Mikrowellenstrahlung dar. Wie in 6 gezeigt, wird bei 602 eine Halbleiterstruktur bereitgestellt, wobei die Halbleiterstruktur mehrere Fremdstoffe umfasst, wie beispielsweise Dotierstoffe. Bei 604 wird/werden ein oder mehrere Mikrowellen-Absorptionsmaterialien bereitgestellt. Die Mikrowellen-Absorptionsmaterialien sind in der Lage, eine mit der Halbleiterstruktur in Zusammenhang stehende elektrische Flussdichte zu erhöhen. Bei 606 wird auf die Mikrowellen-Absorptionsmaterialien und die Halbleiterstruktur Mikrowellenstrahlung angewendet, um die mehreren Dotierstoffe für das Herstellen von Halbleiterbauelementen zu aktivieren. Die Mikrowellen-Absorptionsmaterialien sind dazu ausgelegt, in Reaktion auf die Mikrowellenstrahlung die elektrische Flussdichte zu erhöhen, so dass die Absorption der Mikrowellenstrahlung durch die Halbleiterstruktur für das Aktivieren der Dotierstoffe verstärkt wird.
  • 13 stellt eine beispielhafte Abbildung dar, die eine Halbleiterstruktur zeigt, z. B. einen Fin-Feldeffekttransistor (FinFET). Bei einer Ausführungsform ist mindestens eine der Halbleiterstrukturen 104, 202, 404, 504 ein FinFET, z. B. der FinFET von 13. Bei einer weiteren Ausführungsform ist die mindestens eine der Halbleiterstrukturen 104, 202, 404, 504 ein planarer Feldeffekttransistor.
  • 7 stellt ein weiteres beispielhaftes Ablaufdiagramm für die Dotierstoffaktivierung mit Hilfe von Mikrowellenstrahlung dar, d. h. Grenzflächenpolarisationserwärmung. Wie in 7 gezeigt, wird bei 710 ein Substrat, z. B. das Substrat 1310 von 13, einer Halbleiterstruktur, z. B. der Halbleiterstruktur 104 von 1, aufgenommen. Wie in 13 gezeigt, umfasst das Substrat 1310 eine Fläche 1310a und eine Finne 1310b, die sich von der Fläche 1310a aufwärts erstreckt. Bei einer Ausführungsform umfasst das Substrat Silicium, Germanium eine III-V-Verbindung oder eine Kombination daraus. Das Substrat 1310 umfasst zum Beispiel etwa 95% Silicium.
  • Bei 720 wird über das Substrat 1310 hinweg eine Gate-Elektrode gebildet, z. B. die Gate-Elektrode 1320 der Halbleiterstruktur von 13. Bei einer Ausführungsform ist die Gate-Elektrode 1320 eine Dummy-Gate-Elektrode. Bei einer weiteren Ausführungsform ist die Gate-Elektrode 1320 eine funktionsfähige Gate-Elektrode eines FinFET. Wie in 13 gezeigt, umfasst die Gate-Elektrode 1320 ein Gate 1320a, das sich im Allgemeinen quer zur Finne 1310B erstreckt, und einen Abstandshalter 1320b, der an jeder Seite des Gates 1320a bereitgestellt ist. Bei einer Ausführungsform besteht das Gate 1320a aus Polysilicium oder einem beliebigen geeigneten Metallmaterial. Beispiele für Metallmaterialien sind insbesondere Zinn, TaN, ZrSi2, MoSi2, TaSi2, NiSi2, Wn und andere geeignete p-Typ-Arbeitsfunktions-Metallmaterialien.
  • Bei 730 wird ein Graben gebildet, der sich in die Finne 1310b hinein erstreckt und der durch eine grabendefinierende Wandung definiert ist, z. B. die grabendefinierende Wandung 1330 der Halbleiterstruktur von 13. Bei einer Ausführungsform weist der Graben eine Tiefe zwischen etwa 30 nm und etwa 70 nm von einer Oberfläche der Finne 1310b aus auf.
  • Bei 740 wird auf der grabendefinierenden Wandung 1330 eine Halbleiterschicht, z. B. die Halbleiterschicht 1340 der Halbleiterstruktur von 13 gebildet, um den Graben teilweise zu füllen. Die Halbleiterschicht 1340 weist zum Beispiel eine Dicke zwischen etwa 5 nm und etwa 15 nm auf. Bei einer Ausführungsform umfasst die Halbleiterschicht 1340 Germanium. Die Halbleiterschicht 1340 kann ferner Silicium, Bor oder eine Kombination daraus umfassen. Die Halbleiterschicht 1340 besteht zum Beispiel aus Siliciumgermanium oder aus mit Bor dotiertem Siliciumgermanium. Bei einigen Ausführungsformen beträgt ein prozentualer Anteil an Germanium weniger als etwa 50%, z. B. etwa 35%. Bei einigen Ausführungsformen liegt eine Borkonzentration der Halbleiterschicht 1340 zwischen etwa 1E21 Atome/cm3 und etwa 5E21 Atome/cm3, z. B. etwa 3,7E21 Atome/cm3.
  • Bei einer Ausführungsform umfasst der Vorgang 740 das Bilden von zwei oder mehr Teilschichten der Halbleiterschicht 1340 derart, dass der prozentuale Anteil an Germanium von einer ganz außen liegenden Teilschicht der zwei oder mehr Teilschichten zu einer ganz innen liegenden Teilschicht der zwei oder mehr Teilschichten schrittweise ansteigt. Bei einer weiteren Ausführungsform umfasst der Vorgang 740 das Bilden von zwei oder mehr Teilschichten der Halbleiterschicht 1340 derart, dass die Borkonzentration von einer ganz außen liegenden Teilschicht der zwei oder mehr Teilschichten zu einer ganz innen liegenden Teilschicht der zwei oder mehr Teilschichten schrittweise abnimmt.
  • Bei 750 wird ein Halbeitermaterial, z. B. das Halbeitermaterial 1350 der Halbleiterstruktur von 13, auf der Halbleiterschicht 1340 gebildet, um den Graben im Wesentlichen zu füllen. Bei einer Ausführungsform umfasst das Halbeitermaterial 1350 Germanium. Das Halbeitermaterial 1350 kann ferner Silicium, Bor oder eine Kombination daraus umfassen. Zum Beispiel besteht das Halbeitermaterial 1350 aus Siliciumgermanium oder aus mit Bor dotiertem Siliciumgermanium. Bei einigen Ausführungsformen ist ein prozentualer Anteil an Germanium im Halbeitermaterial 1350 größer als ein prozentualer Anteil an Germanium in der Halbleiterschicht 1340. Der prozentuale Anteil an Germanium im Halbeitermaterial 1350 beträgt zum Beispiel etwa 50% bis etwa 95%. Bei einigen Ausführungsformen ist eine Borkonzentration im Halbeitermaterial 1350 geringer als eine Borkonzentration in der Halbleiterschicht 1340. Die Borkonzentration im Halbeitermaterial 1350 liegt zum Beispiel zwischen etwa 2E20 Atome/cm3 und etwa 1E21 Atome/cm3.
  • Bei 760 wird ein Halbeitermaterial 1350 derart mit Bor dotiert, dass eine obere Schicht 1360 des Halbeitermaterials 1350 eine höhere Borkonzentration als eine untere Schicht des Halbeitermaterials 1350 aufweist. Zum Beispiel liegt die Borkonzentration der oberen Schicht 1360 zwischen etwa 1E21 Atome/cm3 und etwa 5E21 Atome/cm3. Bei einer Ausführungsform weist das Bor der oberen Schicht 1360 von der Oberfläche der Finne 1310b eine Tiefe zwischen etwa 5 nm und etwa 15 nm auf.
  • Es sei angemerkt, dass die grabendefinierende Wandung 1330 und/oder die Halbleiterschicht 1340 und/oder das Halbeitermaterial 1350 einen Source/Drain-Übergang (S/D-Übergang) 1370 der Halbleiterstruktur 104 bilden. Bei einer Ausführungsform definieren der S/D-Übergang 1370 und das Gate 1320a zwischen sich einen Abstand von etwa 1 nm bis etwa 9 nm.
  • Bei einigen Ausführungsformen wird der S/D-Übergang 1370 über ein Substrat hinweg gebildet, z. B. ein Bulksubstrat oder ein Silicium-auf-Isolator-Substrat (Silicon-on-Insulator – SOI) gebildet. Bei weiteren Ausführungsformen erstreckt sich der S/D-Übergang 1370 von oben in das Substrat hinein.
  • Bei 770 werden die Dotierstoffe, d. h. das Germanium und das Bor des Halbeitermaterials 1350, in einer Weise aktiviert, die im Weiteren beschrieben wird.
  • 8 stellt ein beispielhaftes Ablaufdiagramm für den Vorgang 770 von 7 dar. Wie in 8 gezeigt, wird bei 810 ein Mikrowellen-Absorptionsmaterial aufgenommen, z. B. das Mikrowellen-Absorptionsmaterial 102 von 1. Bei 820 wird das Mikrowellen-Absorptionsmaterial 102 auf einen Abstand von der Halbleiterstruktur 104 justiert, z. B. einen Abstand d, wie er in 1 gezeigt ist, so dass die Dotierstoffaktivierung verbessert wird. Bei einer Ausführungsform beträgt der Abstand d etwa 2 nm bis etwa 10 mm. Bei 830 wird auf das Mikrowellen-Absorptionsmaterial 102 und die Halbleiterstruktur 104 Mikrowellenstrahlung angewendet, um die Dotierstoffe zu aktivieren.
  • Während des Vorganges 830 erhöht sich die Absorption der Mikrowellenstrahlung des Mikrowellen-Absorptionsmaterials 102 durch das Bor der oberen Schicht 1360 derart, dass das Bor der oberen Schicht 1360 Wärme mit einer Temperatur von z. B. mehr als 1100°C erzeugt, wodurch das Bor der oberen Schicht 1360 aktiviert wird. Im Ergebnis wird für die obere Schicht 1360 des Halbeitermaterials 1350 des S/D-Übergangs 1370 der Halbleiterstruktur 104 der vorliegenden Offenbarung eine relativ hohe Konzentration an aktiviertem Bor erzielt, d. h. im Wesentlichen die gleiche Konzentration wie die des Bors in der oberen Schicht 1360 vor dem Vorgang 770. 12 stellt eine beispielhafte grafische Darstellung dar, welche die Konzentration im Verhältnis zur Tiefe zeigt. Bei einer Ausführungsform, wie sie in 12 gezeigt ist, liegt die Konzentration des aktivierten Bors der oberen Schicht 1360 des Halbeitermaterials 1350 des S/D-Übergangs 1370 der Halbleiterstruktur 104 zwischen etwa 1E21 Atome/cm3 und etwa 5E21 Atome/cm3. Bei einer weiteren Ausführungsform weist das aktivierte Bor der unteren Schicht des Halbeitermaterials 1350 im Wesentlichen die gleiche Konzentration wie das Bor der unteren Schicht des Halbeitermaterials 1350 vor dem Vorgang 770 auf. Die Konzentration des aktivierten Bors der unteren Schicht des Halbeitermaterials 1350 liegt zum Beispiel zwischen etwa 2E20 Atome/cm3 und etwa 1E21 Atome/cm3. Bei noch einer weiteren Ausführungsform weist das aktivierte Bor der unteren Schicht der Halbleiterschicht 1340 im Wesentlichen die gleiche Konzentration wie das Bor der Halbleiterschicht 1340 vor dem Schritt 770 auf. Die Konzentration des aktivierten Bors der Halbleiterschicht 1340 liegt zum Beispiel zwischen etwa 1E21 Atome/cm3 und etwa 5E21 Atome/cm3.
  • Des Weiteren werden während des Vorganges 830, d. h. des Anwendens von Mikrowellenstrahlung auf das Mikrowellen-Absorptionsmaterial 102 und die Halbleiterstruktur 104, Kristalldefekte verringert, die in früheren Vorgängen entstanden sind, und es wird für das aktivierte Germanium und das aktivierte Bor des Halbeitermaterials 1350 des S/D-Übergangs 1370 der Halbleiterstruktur 104 der vorliegenden Offenbarung eine relativ geringe Kristalldefektdichte erreicht. Bei einer Ausführungsform ist die Kristalldefektdichte des aktivierten Germaniums des Halbeitermaterials 1350 des S/D-Übergangs 1370 der Halbleiterstruktur 104 geringer als etwa 1E12 Atome/cm3. Zum Beispiel beträgt die Kristalldefektdichte des aktivierten Germaniums des Halbeitermaterials 1350 des S/D-Übergangs 1370 der Halbleiterstruktur 104 etwa 1E7 Atome/cm3. Bei einer weiteren Ausführungsform liegt die Kristalldefektdichte des aktivierten Bors der oberen Schicht 1360 des Halbeitermaterials 1350 des S/D-Übergangs 1370 der Halbleiterstruktur 104 zwischen etwa 1E5 Atome/cm3 und etwa 1E7 Atome/cm3.
  • Bei einigen Ausführungsformen ist der prozentuale Anteil aktivierten Germaniums in der Halbleiterschicht 1340 im Wesentlichen gleich dem prozentualen Anteil des Germaniums der Halbleiterschicht 1340 vor dem Vorgang 770, z. B. weniger als 50%. Bei weiteren Ausführungsformen ist der prozentuale Anteil aktivierten Germaniums im Halbeitermaterial 1350 im Wesentlichen gleich dem prozentualen Anteil des Germaniums des Halbeitermaterials 1350 vor dem Vorgang 770, er liegt z. B. zwischen etwa 50% und 95%.
  • Darüber hinaus wird das Substrat 1310 während des Vorganges 830, d. h. dem Anwenden von Mikrowellenstrahlung auf das Mikrowellen-Absorptionsmaterial 102 und die Halbleiterstruktur 104, bei einer Temperatur zwischen etwa 500 und etwa 600°C gehalten. Somit wird, anders als bei der herkömmlichen Technologie für die Dotierstoffaktivierung, z. B. RTA, bei der die gesamte Halbleiterstruktur auf eine Temperatur von mehr als z. B. 950°C erwärmt wird, das Bor der oberen Schicht 1360 des S/D-Übergangs 1370 der Halbleiterstruktur 104 wahlweise auf eine höhere Temperatur erwärmt, wohingegen das Substrat 1310 der Halbleiterstruktur auf eine geringere Temperatur erwärmt wird. Das Substrat 1310 dient somit als ein Wärmeableiter und erlaubt es, eine Temperatur der Halbleiterstruktur 104 schneller zu senken. Im Ergebnis weist das aktivierte Bor in der oberen Schicht 1360 des Halbeitermaterials 1350 des S/D-Übergangs 1370 der Halbleiterstruktur 104 der vorliegenden Offenbarung eine relativ geringe Tiefe auf, d. h. im Wesentlichen die gleiche Tiefe wie das Bor in der oberen Schicht 1360 vor dem Vorgang 770. Bei einer Ausführungsform, wie sie in 12 gezeigt ist, weist das aktivierte Bor in der oberen Schicht 1360 des Halbeitermaterials 1350 des S/D-Übergangs 1370 der Halbleiterstruktur 104 von der Oberfläche des S/D-Übergangs 1370 aus eine Tiefe zwischen etwa 5 nm und etwa 15 nm auf.
  • Bei einer Ausführungsform weist der S/D-Übergang 1370 nach Vorgang 770, d. h. der Dotierstoffaktivierung, eine Tiefe zwischen etwa 30 nm und etwa 70 nm auf. Des Weiteren ist die Dicke der Halbleiterschicht 1340 nach dem Vorgang 770 im Wesentlichen gleich geblieben, sie liegt z. B. zwischen etwa 5 nm und etwa 15 nm. Darüber hinaus definieren der S/D-Übergang 1370 und das Gate 1320a nach dem Vorgang 770, wie in 13 gezeigt, zwischen sich einen Abstand von etwa 1 nm bis etwa 9 nm.
  • Wieder bezugnehmend auf 7 wird bei 780 auf dem S/D-Übergang 1370 ein S/D-Kontakt gebildet, z. B. der S/D-Kontakt 1380 der Halbleiterstruktur von 13. Beispiele für Materialien für den S/D-Kontakt 1380 sind insbesondere Wolfram, Aluminium, Titan, Nickel, Cobalt und dergleichen.
  • Es sei angemerkt, dass der S/D-Kontakt 1380 und der S/D-Übergang 1370 der Halbleiterstruktur 104 der vorliegenden Offenbarung zwischen sich einen relativ geringen Kontaktwiderstand aufweisen, da das Halbeitermaterial 1350 des S/D-Übergangs 1370 einen hohen prozentualen Anteil an Germanium aufweist und das Bor der oberen Schicht 1360 des Halbeitermaterials 1350 des S/D-Übergangs 1370 eine geringe Tiefe aufweist und in hoher Konzentration vorliegt. Bei einer Ausführungsform ist der Kontaktwiderstand zwischen dem S/D-Kontakt 1380 und des S/D-Übergangs 1370 der Halbleiterstruktur 104 geringer als etwa 5E–9 Ohm·cm2. Zum Beispiel beträgt der Kontaktwiderstand zwischen dem S/D-Kontakt 1380 und dem S/D-Übergang 1370 der Halbleiterstruktur 104 8E–10 Ohm·cm2.
  • 9 stellt ein weiteres beispielhaftes Ablaufdiagramm für den Vorgang 770 von 7 dar. Wie in 9 gezeigt, wird bei 910 ein Paar aus Mikrowellen-Absorptionsmaterialien aufgenommen, z. B. die Mikrowellen-Absorptionsmaterialien 204, 206 von 2, zwischen denen die Halbleiterstruktur, z. B. die Halbleiterstruktur 202 von 2 angeordnet ist. Bei 920 wird das Mikrowellen-Absorptionsmaterial 204 auf einen Abstand zur Oberfläche der Halbleiterstruktur 02 justiert, z. B. den Abstand d1, wie er in 2 gezeigt ist, um die Dotierstoffaktivierung zu verbessern. Bei einer Ausführungsform beträgt der Abstand d1 etwa 2 nm bis etwa 10 mm. Bei 930 wird das Mikrowellen-Absorptionsmaterial 206 auf einen Abstand zur anderen Oberfläche der Halbleiterstruktur 02 justiert, z. B. den Abstand d2, wie er in 2 gezeigt ist, ebenfalls um die Dotierstoffaktivierung zu verbessern. Bei einer Ausführungsform beträgt der Abstand d2 etwa 2 nm bis etwa 10 mm. Bei 940 wird auf die Mikrowellen-Absorptionsmaterialien 204, 206 und die Halbleiterstruktur 202 eine Mikrowellenstrahlung angewendet, um die Dotierstoffe zu aktivieren.
  • 10 stellt ein weiteres beispielhaftes Ablaufdiagramm für den Vorgang 770 von 7 dar. Wie in 10 gezeigt, wird bei 1010 ein Mikrowellen-Absorptionsmaterial, z. B. das Mikrowellen-Absorptionsmaterial 402 von 4, auf, z. B. in Übereinstimmung mit, einer Oberfläche der Halbleiterstruktur, z. B. der Halbleiterstruktur 404 von 4, gebildet. Bei 1020 wird die Dicke des Mikrowellen-Absorptionsmaterials 402 justiert, z. B. auf etwa 30 nm bis etwa 250 nm, so dass die Dotierstoffaktivierung verbessert wird. Bei 1030 wird auf das Mikrowellen-Absorptionsmaterial 402 und die Halbleiterstruktur 404 Mikrowellenstrahlung angewendet, so dass die Dotierstoffe aktiviert werden. Bei 1040 wird das Mikrowellen-Absorptionsmaterial 404 von der Halbleiterstruktur 404 entfernt, z. B. durch Nassätzen, Trockenätzen, chemisch-mechanisches Polieren oder eine Kombination daraus.
  • 11 stellt ein weiteres beispielhaftes Ablaufdiagramm für den Vorgang 770 von 7 dar. Wie in 11 gezeigt, wird bei 1110 ein erstes Mikrowellen-Absorptionsmaterial, z. B. das Mikrowellen-Absorptionsmaterial 502 von 5, auf, z. B. in Übereinstimmung mit, einer Oberfläche der Halbleiterstruktur, z. B. der Halbleiterstruktur 504 von 5 gebildet. Bei 1120 wird die Dicke des Mikrowellen-Absorptionsmaterials 502 eingestellt, z. B. auf etwa 30 nm bis etwa 250 nm, so dass die Dotierstoffaktivierung verbessert wird. Bei 1130 wird ein zweites Mikrowellen-Absorptionsmaterial, z. B. das Mikrowellen-Absorptionsmaterial 506 von 5, auf, z. B. in Übereinstimmung mit, einer anderen Oberfläche der Halbleiterstruktur 504 gebildet. Bei 1140 wird die Dicke des Mikrowellen-Absorptionsmaterials 506 justiert, z. B. etwa 30 nm bis etwa 250 nm, ebenfalls um die Dotierstoffaktivierung zu verbessern. Bei 1150 wird auf die Mikrowellen-Absorptionsmaterialien 502, 506 und die Halbleiterstruktur 504 Mikrowellenstrahlung angewendet, so dass die Dotierstoffe aktiviert werden. Bei 1160 werden die Mikrowellen-Absorptionsmaterialien 502, 506 von der Halbleiterstruktur 504 entfernt.
  • Bei einer Ausführungsform wird eine leicht dotierte, mit einem Substrat einer Halbleiterstruktur verbundene S/D (LDD; engl.: Lightly-Doped Drain) gebildet. Das Bilden eines LDD umfasst Folgendes: Dotieren eines Bereiches der Halbleiterstruktur mit mehreren Dotierstoffen, Aufnehmen eines Mikrowellen-Absorptionsmaterials oder Bilden des Mikrowellen-Absorptionsmaterials auf der Halbleiterstruktur, Justieren des Mikrowellen-Absorptionsmaterials auf einen Abstand zur Halbleiterstruktur oder Justieren der Dicke des Mikrowellen-Absorptionsmaterials und Anwenden von Mikrowellenstrahlung auf das Mikrowellen-Absorptionsmaterial und die Halbleiterstruktur.
  • Diese schriftliche Beschreibung verwendet Beispiele, um die Erfindung zu offenbaren, den besten Modus aufzuzeigen und außerdem Fachleute in die Lage zu versetzen, die Erfindung herzustellen und zu benutzen. Der patentierbare Umfang der Erfindung kann andere Beispiele umfassen, die Fachleuten ersichtlich werden. Fachleute werden erkennen, dass die verschiedenen Ausführungsformen ohne eine oder mehrere der speziellen Einzelheiten oder mit anderen Ersatz- und/oder zusätzlichen Verfahren, Materialien oder Komponenten umgesetzt werden können. Allgemein bekannte Strukturen, Materialien oder Vorgänge sind möglicherweise nicht detailliert gezeigt oder beschrieben, um das Verdecken von Aspekten verschiedener Ausführungsformen der Erfindung zu vermeiden. Verschiedene, in den Figuren gezeigte Ausführungsformen sind veranschaulichende Beispieldarstellungen und nicht notwendigerweise maßstabsgerecht gezeichnet. Bestimmte Merkmale, Strukturen, Materialien oder Eigenschaften können in jeder geeigneten Weise in einer oder mehreren Ausführungsformen kombiniert werden. Bei anderen Ausführungsformen können verschiedene zusätzliche Schichten und/oder Strukturen aufgenommen und/oder beschriebene Merkmale ausgelassen werden. Verschiedene Vorgänge können wiederum als mehrere einzelne Vorgänge in einer Weise beschrieben sein, die für das Verstehen der Erfindung am hilfreichsten ist. Die Reihenfolge der Beschreibung soll nicht nahelegen, dass diese Vorgänge notwendigerweise reihenfolgenabhängig sind. Insbesondere müssen diese Vorgänge nicht in der Reihenfolge der Darstellung ausgeführt werden. Hier beschriebene Vorgänge können in einer anderen Reihenfolge als der der beschriebenen Ausführungsform ausgeführt werden, z. B. nacheinander oder gleichzeitig. Es können verschiedene weitere Vorgänge ausgeführt und/oder beschrieben werden. Bei weiteren Ausführungsformen können Vorgänge ausgelassen werden.
  • Diese schriftliche Beschreibung und die folgenden Ansprüche können Ausdrücke, wie beispielsweise links, rechts, oben, unten, über, unter, obere, untere, erste, zweite usw. beinhalten, die ausschließlich zu Beschreibungszwecken verwendet werden und nicht als Einschränkung betrachtet werden sollen. Zum Beispiel können Ausdrücke, die eine relative vertikale Position bezeichnen, eine Situation betreffen, in der eine Bauelementseite (oder aktive Fläche) eines Substrats oder einer integrierten Schaltung die „Oberseite” des Substrats ist. Tatsächlich kann das Substrat in jeder beliebigen Ausrichtung vorliegen, so dass eine „Oberseite” des Substrats nach einem standardmäßigen auf die Erde bezogenen Referenzrahmen tiefer liegen kann als die „Unterseite”, und immer noch unter die Bedeutung des Ausdrucks „oben” fallen kann. Der Ausdruck „auf” gibt, wenn hier verwendet (einschließlich der Ansprüche), möglicherweise nicht an, dass eine erste Schicht direkt „auf” einer zweiten Schicht liegt und in unmittelbarem Kontakt mit der zweiten Schicht steht, es sei denn, dies ist ausdrücklich angegeben. Zwischen der ersten Schicht und der zweiten Schicht auf der ersten Schicht kann eine dritte Schicht oder eine andere Struktur liegen. Der Ausdruck „unter” gibt, wenn hier verwendet (einschließlich der Ansprüche), möglicherweise nicht an, dass eine erste Schicht direkt „unter” einer zweiten Schicht liegt und in unmittelbarem Kontakt mit der zweiten Schicht steht, es sei denn, dies ist ausdrücklich angegeben. Zwischen der ersten Schicht und der zweiten Schicht unter der ersten Schicht kann eine dritte Schicht oder eine andere Struktur liegen. Die Ausführungsformen eines hier beschriebenen Bauelements oder Gegenstandes können in einer Anzahl von Positionen und Ausrichtungen gefertigt, verwendet oder versandt werden. Fachleute werden verschiedene äquivalente Kombinationen und Substitutionen für verschiedene in den Figuren gezeigte Komponenten erkennen.

Claims (20)

  1. Halbleiterstruktur, Folgendes umfassend: ein Substrat und ein Source-Drain-Übergang (S/D-Übergang), der mit dem Substrat verbunden ist und ein Halbleitermaterial umfasst, das Germanium und einen prozentualen Anteil an Germanium zwischen etwa 50% und etwa 95% umfasst.
  2. Halbleiterstruktur nach Anspruch 1, wobei das Germanium des Halbleitermaterials eine Kristalldefektdichte von weniger als etwa 1E12 Atome/cm3 aufweist.
  3. Halbleiterstruktur nach Anspruch 1 oder 2, wobei das Germanium des Halbleitermaterials eine Kristalldefektdichte von weniger als etwa 1E7 Atome/cm3 aufweist.
  4. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei: der S/D-Übergang ferner eine Halbleiterschicht umfasst, die Germanium umfasst, das Halbleitermaterial auf der Halbleiterschicht gebildet ist und der prozentuale Anteil des Germaniums im Halbleitermaterial größer als ein prozentualer Anteil des Germaniums in der Halbleiterschicht ist.
  5. Halbleiterstruktur, Folgendes umfassend: ein Substrat und ein Source-Drain-Übergang (S/D-Übergang), der dem Substrat zugeordnet ist und ein Halbleitermaterial umfasst, das Folgendes aufweist: eine untere Schicht, die Germanium umfasst, und eine obere Schicht, die mit Bor dotiert ist und die eine höhere Borkonzentration aufweist als die untere Schicht.
  6. Halbleiterstruktur nach Anspruch 5, wobei die Borkonzentration der oberen Schicht mehr als etwa 1E21 Atome/cm3 beträgt.
  7. Halbleiterstruktur nach Anspruch 5 oder 6, wobei die Borkonzentration der oberen Schicht mehr als etwa 5E21 Atome/cm3 beträgt.
  8. Halbleiterstruktur nach einem der Ansprüche 5 bis 7, ferner einen S/D-Kontakt umfassend, der auf dem S/D-Übergang gebildet ist, wobei der S/D-Kontakt und der S/D-Übergang einen Kontaktwiderstand von weniger als etwa 5E–9 Ohm·cm2 aufweisen.
  9. Halbleiterstruktur nach einem der Ansprüche 5 bis 7, ferner einen S/D-Kontakt umfassend, der auf dem S/D-Übergang gebildet ist, wobei der S/D-Kontakt und der S/D-Übergang einen Kontaktwiderstand von weniger als etwa 8E–10 Ohm·cm2 aufweisen.
  10. Halbleiterstruktur nach einem der Ansprüche 5 bis 9, wobei das Bor der oberen Schicht von einer Oberfläche des S/D-Übergangs aus eine Tiefe von etwa 5 nm bis etwa 15 nm aufweist.
  11. Halbleiterstruktur nach einem der Ansprüche 5 bis 10, wobei das Bor der oberen Schicht eine Kristalldefektdichte zwischen etwa 1E5 Atome/cm3 und etwa 1E7 Atome/cm3 aufweist.
  12. Verfahren, Folgendes umfassend: Aufnehmen eines Substrats einer Halbleiterstruktur und Bilden eines Source-Drain-Übergangs (S/D-Übergang), der dem Substrat zugeordnet ist, wobei das Bilden des S/D-Übergangs Folgendes umfasst: Bilden eines Halbleitermaterials, das Germanium umfasst, und Dotieren des Halbleitermaterials mit Bor derart, dass eine obere Schicht des Halbleitermaterials eine höhere Borkonzentration aufweist als eine untere Schicht des Halbleitermaterials.
  13. Verfahren nach Anspruch 12, wobei die Borkonzentration der oberen Schicht mehr als etwa 1E21/Atome/cm 3 beträgt.
  14. Verfahren nach Anspruch 12, wobei die Borkonzentration der oberen Schicht mehr als etwa 5E21/Atome/cm3 beträgt.
  15. Verfahren nach einem der Ansprüche 12 bis 14, wobei: das Bilden eines S/D-Übergangs ferner das Bilden einer Halbleiterschicht umfasst, die Germanium umfasst und einen prozentualen Anteil an Germanium aufweist, der geringer als ein prozentualer Anteil an Germanium im Halbleitermaterial ist, und das Halbleitermaterial auf der Halbleiterstruktur gebildet wird.
  16. Verfahren nach einem der Ansprüche 12 bis 15, wobei das Germanium im Halbleitermaterial zu einem prozentualen Anteil von mehr als etwa 50% vorhanden ist.
  17. Verfahren nach einem der Ansprüche 12 bis 16, wobei das Germanium im Halbleitermaterial zu einem prozentualen Anteil von mehr als etwa 95% vorhanden ist.
  18. Verfahren nach einem der Ansprüche 12 bis 17, umfassend ferner das Aktivieren des Germaniums und des Bors im Halbleitermaterial durch Anwenden von Mikrowellenstrahlung auf ein Mikrowellen-Absorptionsmaterial und die Halbleiterstruktur umfassend, wobei das Mikrowellen-Absorptionsmaterial dazu ausgelegt ist, die Absorption der Mikrowellenstrahlung durch das Germanium und das Bor des Halbleitermaterials zu erhöhen.
  19. Verfahren nach Anspruch 18, wobei das Aktivieren des Germaniums und des Bors des Halbleitermaterials ferner Folgendes umfasst: Bilden des Mikrowellen-Absorptionsmaterials auf der Halbleiterstruktur und Justieren der Dicke des Mikrowellen-Absorptionsmaterials.
  20. Verfahren nach Anspruch 18, wobei das Aktivieren des Germaniums und des Bors des Halbleitermaterials ferner Folgendes umfasst: Aufnehmen des Mikrowellen-Absorptionsmaterials und Justieren des Mikrowellen-Absorptionsmaterials in einem Abstand zur Halbleiterstruktur.
DE102015106397.2A 2015-04-16 2015-04-26 Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung Active DE102015106397B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/688,002 US9401274B2 (en) 2013-08-09 2015-04-16 Methods and systems for dopant activation using microwave radiation
US14/688,002 2015-04-16

Publications (2)

Publication Number Publication Date
DE102015106397A1 true DE102015106397A1 (de) 2016-10-20
DE102015106397B4 DE102015106397B4 (de) 2019-08-22

Family

ID=57043799

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015106397.2A Active DE102015106397B4 (de) 2015-04-16 2015-04-26 Verfahren und Systeme zur Dotierstoffaktivierung mithilfe von Mikrowellenbestrahlung

Country Status (4)

Country Link
KR (1) KR101676574B1 (de)
CN (2) CN106057885A (de)
DE (1) DE102015106397B4 (de)
TW (1) TWI574409B (de)

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE68928396T2 (de) * 1988-08-30 1998-02-19 At & T Corp CMOS-integrierte Schaltung mit modifizierter Isolation
DE19983188T1 (de) * 1998-05-01 2001-05-10 Nippon Steel Corp Siliziumhalbleitersubstrat und Verfahren zu dessen Herstellung
JP2002280304A (ja) * 2001-03-22 2002-09-27 Sanyo Electric Co Ltd 半導体装置の製造方法
DE112004002409T5 (de) * 2003-12-08 2006-10-05 Intel Corporation, Santa Clara Verfahren zum Verbessern der Transistorleistung durch Reduzieren des Salizidgrenzflächenwiderstandes
DE112008000094T5 (de) * 2007-03-15 2010-01-21 Intel Corporation, Santa Clara CMOS-Vorrichtung mit Dual-Epi-Kanälen und selbstausgerichteten Kontakten
DE112011101433T5 (de) * 2010-04-21 2013-03-28 International Business Machines Corporation Stressor mit eingebetteter Dotierstoff-Monoschicht für hochentwickelten CMOS-Halbleiter
DE102012214072B3 (de) * 2012-05-07 2013-09-05 Globalfoundries Inc. Halbleitervorrichtung mit erhöhten Source- und Drainbereichen
DE102013013514A1 (de) * 2012-08-27 2014-02-27 Ultratech, Inc. Thermische laserausheil- bzw. -annealingverfahren ohne schmelzen für dünne wafer
US20140287561A1 (en) * 2013-03-21 2014-09-25 National Chiao Tung University Method for fabricating semiconductor device
US20150041966A1 (en) * 2013-08-09 2015-02-12 Taiwan Semiconductor Manufacturing Company Limited Methods and Systems for Dopant Activation Using Microwave Radiation

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
AU2003228925A1 (en) * 2002-05-10 2003-11-11 Varian Semiconductor Equipment Associates, Inc. Methods and systems for dopant profiling
JP2011035371A (ja) * 2009-07-07 2011-02-17 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
JP2013069977A (ja) * 2011-09-26 2013-04-18 Toshiba Corp 半導体装置の製造方法
US20130270560A1 (en) * 2012-04-17 2013-10-17 International Business Machines Corporation Method for forming semiconductor device with epitaxy source and drain regions independent of patterning and loading
US9601619B2 (en) * 2013-07-16 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with non-uniform P-type impurity profile

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE68928396T2 (de) * 1988-08-30 1998-02-19 At & T Corp CMOS-integrierte Schaltung mit modifizierter Isolation
DE19983188T1 (de) * 1998-05-01 2001-05-10 Nippon Steel Corp Siliziumhalbleitersubstrat und Verfahren zu dessen Herstellung
JP2002280304A (ja) * 2001-03-22 2002-09-27 Sanyo Electric Co Ltd 半導体装置の製造方法
DE112004002409T5 (de) * 2003-12-08 2006-10-05 Intel Corporation, Santa Clara Verfahren zum Verbessern der Transistorleistung durch Reduzieren des Salizidgrenzflächenwiderstandes
DE112008000094T5 (de) * 2007-03-15 2010-01-21 Intel Corporation, Santa Clara CMOS-Vorrichtung mit Dual-Epi-Kanälen und selbstausgerichteten Kontakten
DE112011101433T5 (de) * 2010-04-21 2013-03-28 International Business Machines Corporation Stressor mit eingebetteter Dotierstoff-Monoschicht für hochentwickelten CMOS-Halbleiter
DE102012214072B3 (de) * 2012-05-07 2013-09-05 Globalfoundries Inc. Halbleitervorrichtung mit erhöhten Source- und Drainbereichen
DE102013013514A1 (de) * 2012-08-27 2014-02-27 Ultratech, Inc. Thermische laserausheil- bzw. -annealingverfahren ohne schmelzen für dünne wafer
US20140287561A1 (en) * 2013-03-21 2014-09-25 National Chiao Tung University Method for fabricating semiconductor device
US20150041966A1 (en) * 2013-08-09 2015-02-12 Taiwan Semiconductor Manufacturing Company Limited Methods and Systems for Dopant Activation Using Microwave Radiation

Also Published As

Publication number Publication date
CN106057885A (zh) 2016-10-26
TW201639166A (zh) 2016-11-01
DE102015106397B4 (de) 2019-08-22
KR101676574B1 (ko) 2016-11-15
KR20160123939A (ko) 2016-10-26
CN113594253A (zh) 2021-11-02
TWI574409B (zh) 2017-03-11

Similar Documents

Publication Publication Date Title
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE102008030854B4 (de) MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
DE102009006884B4 (de) Verfahren zur Herstellung eines Transistorbauelementes mit In-Situ erzeugten Drain- und Source-Gebieten mit einer verformungsinduzierenden Legierung und einem graduell variierenden Dotierstoffprofil und entsprechendes Transistorbauelement
DE102006019937B4 (de) Verfahren zur Herstellung eines SOI-Transistors mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers
DE112011103730B4 (de) Isolationsstrukturen mit anstossendem SOI-Übergang und Einheiten sowie Verfahren zur Herstellung
DE102009010882B4 (de) Transistor mit einer eingebetteten Halbleiterlegierung in Drain- und Sourcegebieten, die sich unter die Gateelektrode erstreckt und Verfahren zum Herstellen des Transistors
DE112011101433B4 (de) Stressor mit eingebetteter Dotierstoff-Monoschicht für hochentwickelten CMOS-Halbleiter
DE102016104327B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE112012002700T5 (de) Verfahren und Struktur für niederohmige Source- und Drain-Bereiche in einem Prozessablauf mit Ersatz-Metall-Gate
DE102006025408B4 (de) Verfahren zur Steigerung des Transistorsleitungsvermögens durch Dotierstoffaktivierung nach der Silizidierung
DE102009047313B4 (de) Leistungssteigerung in Transistoren mit einem Metallgatestapel mit großem ε durch eine frühe Implantation der Erweiterungsgebiete
DE102014019262B4 (de) Systeme und Verfahren zum Tempern durch Mikrowellenstrahlung
DE112020000212B4 (de) Verfahren zur herstellung eines transistorkanals mit vertikal gestapelten nanoschichten, die durch finnenförmige brückenzonen verbunden sind
DE112011100975B4 (de) Verfahren zur Herstellung biaxial verspannter Feldeffekttransistor-Bauelemente
DE102010016000A1 (de) Halbleitervorrichtungen und Verfahren zum Herstellen einer Halbleitervorrichtung
DE102014210406A1 (de) Vorrichtung, die einen Transistor mit einem verspannten Kanalgebiet umfasst, und Verfahren zu ihrer Herstellung
DE102014113115B4 (de) Halbleitervorrichtung und verfahren zu deren herstellung
DE102012223653B4 (de) Herstellung von Transistoreinheiten mit V-Nut-Source/Drain-Zone
DE112021003504T5 (de) Gate-implantation für eine reduzierte variabilität eineswiderstandstemperaturkoeffizienten
DE102011080438B3 (de) Herstellverfahren für einen N-Kanaltransistor mit einer Metallgateelektrodenstruktur mit großem ε und einem reduzierten Reihenwiderstand durch epitaktisch hergestelltes Halbleitermaterial in den Drain- und Sourcebereichen und N-Kanaltransistor
DE102010064287B4 (de) Verfahren zum zuverlässigen Einbetten von Metallsilizidkontaktgebieten in stark dotierte Drain- und Sourcegebiete durch eine Silizidstoppschicht und entsprechendes Halbleiterbauelement
DE102015107977B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements und Halbleiterbauelement
DE112006001520T5 (de) Prozess für die Herstellung erhabener Source- und Drain-Gebiete mit zu entfernenden Abstandshaltern
DE102009047314B4 (de) Leistungssteigerung in Transistoren mit einem Metallgatestapel mit großem ε durch Reduzieren einer Breite von Versatzabstandshaltern
DE102018203747A1 (de) Feldeffekttransistoren mit einer T förmigen Gateelektrode

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final