CN1875325A - 含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物 - Google Patents

含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物 Download PDF

Info

Publication number
CN1875325A
CN1875325A CNA2004800321755A CN200480032175A CN1875325A CN 1875325 A CN1875325 A CN 1875325A CN A2004800321755 A CNA2004800321755 A CN A2004800321755A CN 200480032175 A CN200480032175 A CN 200480032175A CN 1875325 A CN1875325 A CN 1875325A
Authority
CN
China
Prior art keywords
composition
compositions
metal
sif
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800321755A
Other languages
English (en)
Other versions
CN1875325B (zh
Inventor
戴维·C·斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CN1875325A publication Critical patent/CN1875325A/zh
Application granted granted Critical
Publication of CN1875325B publication Critical patent/CN1875325B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D11/00Special methods for preparing compositions containing mixtures of detergents ; Methods for using cleaning compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/02Etching, surface-brightening or pickling compositions containing an alkali metal hydroxide
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/16Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions using inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • C11D2111/22

Abstract

本发明提供了用于微电子产业剥离或清洁半导体晶片基板的碱性组合物,其除去光致抗蚀剂残余物和其它不必要杂质。该组合物包含:(a)一种或多种碱和(b)一种或多种具有以下通式的防止金属腐蚀的金属卤化物:WzMXy,其中M是选自Si、Ge、Sn、Pt、P、B、Au、Ir、Os、Cr、Ti、Zr、Rh、Ru和Sb的金属;X是选自F、CI、Br和I的卤素;W选自H、碱金属或碱土金属,和不含金属离子的氢氧化物碱部分;y是数4-6,取决于金属卤化物;z是数1、2或3。

Description

含有金属卤化物腐蚀抑制剂的碱性后等离 子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物
技术领域
本发明涉及用于微电子产业清洁半导体晶片基板的组合物。具体地,本发明涉及含有金属氯化物腐蚀抑制剂的碱性的剥离或清洁组合物,其通过除去后等离子体蚀刻(post plasma etch)和灰化残余物以及金属杂质和有机杂质而清洁具有金属线(metal line)和通孔(via)的晶片,而金属腐蚀性降低且对集成电路没有任何明显损害。该组合物也适用于从晶片基板剥离光致抗蚀剂(photoresist)。本发明还涉及所述组合物在以下方面的用途:除去后等离子体蚀刻和灰化残余物以及金属杂质和有机杂质,而金属腐蚀性降低且对集成电路没有任何明显损害,以及从晶片基板剥离光致抗蚀剂。
背景技术
微电子制造的集成部分是使用光致抗蚀剂将图像从掩模或者中间掩模(reticle)转印到所需的电路层的。在所需图像转印完成之后,使用蚀刻工艺形成所需的结构。以该方式形成的最常见的结构是金属线和通孔。
使用金属线在位于相同制造层内的集成电路的各种部件之间形成电连接。通孔是蚀刻通过介电层,之后用导电金属填充的洞。这些都用于在集成电路不同垂直层之间产生电连接。在形成金属线和通孔的工艺中通常使用含有卤素的气体。
在完成蚀刻过程之后,大部分光致抗蚀剂可通过化学剥离剂溶液或通过氧等离子体灰化处理除去。问题是这些蚀刻过程产生了高度不溶的含金属的残余物,它们不能由普通的化学剥离剂溶液除去。同样,在灰化处理期间,含金属的残余物被氧化,使得去除更加困难,特别是在铝基集成电路的情况下。参见,“Managing Etch and Implant Residue”,SemiconductorInternational,August 1997,56-63页。
这种蚀刻过程的实例是在集成电路上图案化金属线。在该过程中,将光致抗蚀剂涂层施加在金属膜上,然后通过掩模或中间掩模成像,以选择性地曝光光致抗蚀剂涂层中的图案。根据所用光致抗蚀剂的调性(tone),显影涂层,以除去曝光的或未曝光的光致抗蚀剂,并且在金属上生成光致抗蚀剂图案。通常在高温下硬烤焙(hard-baked)余下的光致抗蚀剂,以除去溶剂以及任选交联聚合物基体(polymer matrix)。然后进行实际的金属蚀刻步骤。该蚀刻步骤通过气相等离子体的作用除去未被光致抗蚀剂覆盖的金属。这些金属的去除将图案从光致抗蚀剂层转印至金属层。然后用有机剥离剂溶液或用氧等离子体灰化程序除去(“剥离”)余下的光致抗蚀剂。灰化程序之后常常是清洗步骤,清洗步骤使用液态有机剥离剂溶液。然而,目前可得到的剥离剂溶液通常是碱性的剥离剂溶液,这些剥离剂溶液在集成电路上留下不溶性金属氧化物和其它含金属的残余物。
这种蚀刻过程的另一个实例是在集成电路上图案化通孔(互连孔)。在该过程中,将光致抗蚀剂涂层施加在介电膜上,然后通过掩模或中间掩模成像,以选择性地曝光光致抗蚀剂涂层中的图案。根据所用光致抗蚀剂的调性,显影涂层,以除去曝光的或未曝光的光致抗蚀剂,并且在金属上得到光致抗蚀剂图案。通常在高温下硬烤焙余下的光致抗蚀剂,以除去溶剂以及任选交联聚合物基体。然后进行实际的电介质蚀刻步骤。该蚀刻步骤通过气相等离子体的作用除去未被光致抗蚀剂覆盖的电介质。这些电介质的去除将图案从光致抗蚀剂层转印至电介质层。然后用有机剥离剂溶液或用氧等离子体灰化程序除去(“剥离”)余下的光致抗蚀剂。通常,将电介质蚀刻到金属底层(underlying metal layer)被暴露出的程度。钛或氮化钛抗反射层或扩散阻挡层通常存在于金属/电介质边界。通常蚀刻通过该边界层,以暴露底下的金属。已经发现蚀刻通过钛或氮化钛层的作用使得钛加入通孔内部形成的蚀刻残余物中。氧等离子体灰化氧化这些通孔残余物,使它们更加难以除去。
在包含金属膜的微电路上使用碱性剥离剂常常不能得到优质的电路,尤其在使用含有铝或者例如铝或钛与正电性更强的金属如铜或钨的活性金属的各种组合或合金的金属膜时。至少部分由于金属和碱性剥离剂的反应,已经观察到各种类型的金属腐蚀,例如金属线的腐蚀须(corrosion whisker)、点腐蚀(pitching)、凹口腐蚀(notching)。另外,Lee等人在Proc.Interface′89,pp.137-149中显示在水清洗步骤之前发生非常小的腐蚀作用,该水清洗步骤被要求从晶片去除有机剥离剂。显然,所述腐蚀是金属和清洁时存在的强碱性水溶液接触的结果。从Ambat等人的Corrosion Science,Vol.33(5),p.684.1992中,已经知道铝金属在这样的条件下会快速腐蚀。
用于避免这种腐蚀问题的现有方法采用中间清洗,利用非碱性有机溶剂例如异丙醇进行清洗。但是,这些方法花费高且有不希望的安全问题、化学卫生问题和不良环境后果。
现有技术披露了使用几种有机剥离剂在蚀刻工艺之后除去大多数光致抗蚀剂。美国专利4,765,844、5,102,777和5,308,745披露的光致抗蚀剂剥离剂包括各种有机溶剂的组合。但是,这些剥离剂对上述已经用氧等离子体“灰化”的晶片并不十分有效。一些光致抗蚀剂剥离剂试图通过添加额外的水和有机腐蚀抑制剂(例如儿茶酚)来解决该问题。在美国专利5,482,566、5,279,771、5,381,807、5,334,332、5,709,756、5,707,947和5,419,779及在WO 9800244中披露了这些组合物。在一些情况中,还添加肼衍生物、羟胺。在剥离组合物中使用儿茶酚或羟胺引起了各种环境、安全和健康问题。
在一些公开物例如JP 1120552(1989年5月12日公开)和美国专利4,628,023中,已经使用含有氢氧化季铵(quaternary ammonium hydroxide)和硅酸或烷基硅酸盐的季铵盐水溶液作为正性(positive tone)光致抗蚀剂用的光致抗蚀剂显影剂。在暴露至增溶辐射源(solubilizing radiation source)之后,使用正性光致抗蚀剂显影剂除去图案化的大部分光致抗蚀剂。在蚀刻之前,使用显影剂,以将图像转印至金属残余物产生的曝光的金属或电介质基板。在上述公开物中使用硅酸季铵盐的目的是防止金属基板腐蚀,同时除去可溶的大部分有机光致抗蚀剂,但不能除去存在于金属基板上的富含金属后蚀刻残余物(metal-rich psot etch residue)而不引起腐蚀。
在美国专利6,599,370;6,585,825;6,465,403;6,020,292和5,817,610以及EP 829,768中披露了使用季铵硅酸盐、氢氧化季铵和水用于去除等离子体蚀刻残余物的用途。在美国专利5,759,973和EP 828,197中披露了季铵硅酸盐、胺化合物、水和任选有机极性溶剂用作剥离和清洁组合物的用途。在WO 9960448中,描述了许多含有硅酸盐的组合物有效除去含金属的灰化残余物而不引起腐蚀。
在美国专利4,776,892、5,563,119和4,744,834以及在JP 09319098 A2;EP 578507 A2;WO 9117484 A1中披露了在光致抗蚀剂剥离剂中使用氢氧化季铵。在WO9705228、US 5,466,389、US 5,498,293、EP812011、US 5,561,105、JP06216098、JP 0641773、JP 06250400和GB 1,573,206中还报道了在各种清洁剂中使用螯合剂和络合剂螯合金属。
在美国专利6,057,240中披露了在微电子设备的制造过程中使用含有表面活性剂的烷基氢氧化铵溶液作为后蚀刻残余物去除剂(post etch residueremover),所述表面活性剂能够形成吸附在图案化的金属层的侧壁上的单层。
在美国专利5,412,868和US 5,597,983及EP 540261B1中也披露了包含四甲基氢氧化铵的光致抗蚀剂显影剂用于除去后通孔蚀刻聚合物。
美国专利5,466,389披露了用于微电子基板的含有碱的水性清洁溶液,该溶液包含氢氧化季铵和任选的金属螯合剂并可用于约8-10的pH范围。
美国专利5,498,293披露了使用含有氢氧化季铵和任选金属螯合剂的含水碱性清洁溶液用于清洁硅晶片的方法。该清洁方法的公开内容是用于在形成集成金属电路之前处理基板并用来获得基本上不含二氧化硅的晶片表面,可以在将光致抗蚀剂用于集成电路制造之前使用该方法。相反,本发明集中在存在集成电路的晶片的清洁上,该晶片已经被光致抗蚀剂覆盖、蚀刻和氧等离子体灰化处理过。
另外,仍需要能够更有效地从半导体晶片清除等离子体蚀刻和/或灰化残余物的组合物,而对暴露的金属不引起显著腐蚀,以及对这些半导体晶片已有的特征不产生明显损害。
发明内容
因此,本发明的特征在于提供可用于微电子产业清洁半导体晶片基板的组合物。本发明的另一个特征在于提供能够从半导体晶片基板清除金属杂质和有机杂质而不损坏集成电路的组合物。本发明的另一个特征在于提供能够从晶片基板清除光致抗蚀剂的组合物。本发明的另一个特征在于提供清洁半导体晶片基板的方法,该方法从所述基板除去金属杂质和有机杂质而不损害集成电路并避免了因中间清洁产生的费用和不利后果。使用新型碱性组合物(通常是含水的碱性组合物)剥离或清洁半导体晶片基板可以实现这些和其它的特征,其中碱性组合物含有一种或多种金属卤化物腐蚀抑制剂。使组合物和半导体晶片基板在一定温度接触一段时间,以足以从所述基板表面清除不必要的杂质和/或残余物,而降低或消除了对电介质的金属腐蚀和损害。
所述碱性组合物包含:一种或多种碱,优选为不含金属离子的碱,通常以足以产生碱性pH(优选pH为9或更大,更优选pH为约9至约13)的量而溶解于水中;以及至少一种金属卤化物腐蚀抑制剂,其具有抑制金属腐蚀有效量,通常为约0.5重量%至约10重量%,所述金属卤化物腐蚀抑制剂包括至少一种选自通式WzMXy的金属卤化物的金属卤化物防腐蚀化合物:
其中M是选自Si、Ge、Sn、Pt、P、B、Au、Ir、Os、Cr、Ti、Zr、Rh、Ru和Sb的金属,优选Si、Ge、Zr和Sb;X是选自F、CI、Br和I的卤素,优选F;W选自H、碱金属或碱土金属,和不含金属离子的氢氧化物碱部分(base moiety),特别是铵基或四烷基(C1-C4)铵基;y是数4-6,取决于金属卤化物;以及z是数1、2或3。任何合适的碱都可用于本发明的组合物。优选地,该碱选自氢氧化物和有机胺,最优选氢氧化季铵、氢氧化铵和二胺。该组合物可以且优选确实包含一种或多种其它任选的成分,包括但不限于以下成分,例如水、有机溶剂和共溶剂、金属螯合剂或络合剂、氟化物、硅酸盐、其它金属腐蚀抑制剂、表面活性剂、钛残留物去除增强剂(titaniumresidue removal enhancing agent)、浴稳定剂(bath stabilizing agent)等等。
本发明清洁半导体晶片基板的方法要求将本发明的组合物和半导体晶片基板在一定温度接触一段时间,以足以从基板表面清除不必要的杂质和/或残余物。该方法包括浸泡施用(batch application)和喷洒施用。通常,在适当的温度下将基板和组合物接触适当的时间,使用高纯度去离子水清洗并干燥。
本发明组合物通过去除金属杂质和有机杂质而清洁晶片基板。重要的是,该清洁过程不损坏晶片基板上的集成电路,并且避免现有技术方法中所要求的中间清洗所带来的费用和不利后果。
具体实施方式
本发明提供了用于剥离或清洁半导体晶片基板的新型碱性组合物,其包括一种或多种碱,优选为溶解于水中的不含金属离子的碱,以及一种或多种具有以下通式的防止金属腐蚀的金属卤化物
                          WzMXy
其中M是选自Si、Ge、Sn、Pt、P、B、Au、Ir、Os、Cr、Ti、Zr、Rh、Ru和Sb的金属,优选Si、Ge、Zr和Sb;X是选自F、CI、Br和I的卤素,优选为F;W选自H、碱金属或碱土金属,和不合金属离子的氢氧化物碱部分,特别是铵基或四烷基(C1-C4)铵基;y是数4-6,取决于金属卤化物;以及z是数1、2或3。该组合物可以且优选确实包含其它任选的成分,包括但不限于以下成分,例如水、有机溶剂和共溶剂、金属螯合剂或络合剂、氟化物、硅酸盐、其它金属腐蚀抑制剂、表面活性剂、钛残留物去除增强剂、浴稳定剂等等。
任何合适的碱都可以用于本发明的组合物中,通常含量为基于组合物的重量为约0.1%-约30%,优选为约0.15%-约10%,最优选为约0.1-约5%。该碱优选为氢氧化季铵,例如四烷基氢氧化铵(包括含有羟基和烷氧基的烷基,通常为1-4个碳原子的烷基或烷氧基)和二胺。这些碱性材料最优选为四甲基氢氧化铵和三甲基-2-羟乙基氢氧化铵(胆碱)。其它有用的氢氧化季铵的实例包括:三甲基-3-羟丙基氢氧化铵、三甲基-3-羟丁基氢氧化铵、三甲基-4-羟丁基氢氧化铵、三乙基-2-羟乙基氢氧化铵、三丙基-2-羟乙基氢氧化铵、三丁基-2-羟乙基氢氧化铵、二甲基乙基-2-羟乙基氢氧化铵、二甲基二(2-羟乙基)氢氧化铵、一甲基三羟乙基氢氧化铵(monoemthyltriethanolammonium hydroxide)、四乙基氢氧化铵、四丙基氢氧化铵、四丁基氢氧化铵、四羟乙基氢氧化铵、一甲基三乙基氢氧化铵、一甲基三丙基氢氧化铵、一甲基三丁基氢氧化铵、一乙基三甲基氢氧化铵、一乙基三丁基氢氧化铵、二甲基二乙基氢氧化铵、二甲基二丁基氢氧化铵等及其混合物。
在本发明中起作用的其它碱包括氢氧化铵、有机胺,特别是链烷醇胺,例如2-氨基乙醇、1-氨基-2-丙醇、1-氨基-3-丙醇、2-(2-氨基乙氧基)乙醇、2-(2-氨基乙氨基)乙醇、2-(2-氨基乙氨基)乙胺等,以及其它有机强碱,例如胍、1,2-乙二胺、1,3-丙二胺、1,4-丁二胺、1,5-戊二胺、1,6-己二胺、1,7-庚二胺、1,8-辛二胺、1,9-壬二胺、1,10-癸二胺、1,12-十二烷基二胺、1,3-戊二胺、4-氨基甲基-1,8-辛二胺、氨基乙基哌嗪、4-(3-氨基丙基)吗啉、1,2-二氨基环己烷、三(2-氨基乙基)胺、2-甲基-1,5-戊二胺和羟胺。包含金属离子如钠或钾离子的碱性溶液也是有效的,但不优选,因为会产生可能存在的残余金属杂质。这些其它碱性成分的混合物,尤其是氢氧化铵和上述的四烷基氢氧化铵也是有用的。
本发明的组合物含有一种或多种具有以下通式的防止金属腐蚀的金属卤化物
                          WzMXy
其中M是选自Si、Ge、Sn、Pt、P、B、Au、Ir、Os、Cr、Ti、Zr、Rh、Ru和Sb的金属,优选为Si、Ge、Zr和Sb;X是选自F、CI、Br和I的卤素,优选为F;W选自H、碱金属或碱土金属,和不含金属离子的氢氧化物碱部分,特别是铵基或四烷基(C1-C4)铵基;y是数4-6,取决于金属卤化物;以及z是数1、2或3。这些金属卤化物防腐蚀化合物可以为但不限于,例如以下的化合物:H2SiF6、H2GeF6、(NH4)2GeF6、HSbF6、HPF6、LiSnF6、H2PtCl6、H2TiF6、(NH4)2OsBr6、(NH4)2PtBr6、(NH4)3IrCl6、(NH4)2IrCl6、(NH4)2OsCl6、(NH4)2PdCl6、(NH4)3RhC16、(NH4)2RuC16、(NH4)2SnCl6、(NH4)PF6、(NH4)2SiF6、(NH4)2TiF6、H2IrBr6、H2OsBr6、H2PtBr6、H2IrBr6、H2IrCl6、H2PtCl6、HAuBr4、HAuC4、(CH3)4NPF8、HBF4、NH4BF4、H2ZrF6、H2OsCl6、(NH4)2ZrF6、((CH3)4N)2SiF6、((CH3)4N)2ZrF6、((CH3)4N)2GeF6、(CH3)4NSbF6、H3CrF6、(NH4)3CrF6、H2CrF6、(NH4)2CrF6和(CH3)4NBF4。优选的金属卤化物腐蚀抑制剂是H2SiF6。金属卤化物防腐蚀化合物在组合物中存在的量为组合物总重量的约0.5%至约10%,优选为约0.5%至约6%,更优选为约0.5%至约5%。
组合物优选为含有碱、金属卤化物防腐蚀化合物和水(优选高纯度去离子水),以及任选组分(如果有的话)的水溶液。在组合物中存在的水量可以为组合物重量的约0%至约99%,优选约1%至约99%,更优选约25%至约98%。
本发明的组合物还可以包含一种或多种合适的水溶性有机溶剂或共溶剂。该溶剂和共溶剂在组合物中存在的量基于组合物的总重量可以为0至约90%,优选约0.1%至约80%,更优选为约1%至约30%。在各种有机溶剂和共溶剂中,合适的为醇,多羟基醇,二醇,二醇醚,烷基吡咯烷酮,例如N-甲基吡咯烷酮(NMP)、1-羟烷基-2-吡咯烷酮如1-(2-羟乙基)-2-吡咯烷酮(HEP)、二甲基甲酰胺(DMF)、二甲基乙酰胺(DMAc)、环丁砜、二甲基-2-哌啶酮(DMPD)或二甲基亚砜(DMSO)。在需要进一步防止铝和/或铝-铜合金和/或铜腐蚀时,可以添加这些溶剂来降低铝和/或铝-铜合金和/或铜的腐蚀速率。优选的水溶性有机溶剂为多羟基醇,例如甘油和/或1-羟烷基-2-吡咯烷酮,例如1-(2-羟乙基)-2-吡咯烷酮(HEP)。
组合物还可以包含水溶性不含金属离子的硅酸盐。在组合物中使用的硅酸盐的量为组合物重量的约0至约5%,优选约0.01%至5%。金属螯合剂或络合剂的重量浓度为组合物重量的约0.01%至约10%,通常为约0.01%至约2%。
在本发明的组合物中可以使用任意合适的不含金属离子的硅酸盐。该硅酸盐优选为季铵硅酸盐,例如四烷基铵硅酸盐(包括含有羟基和烷氧基的烷基,通常为1-4个碳原子的烷基或烷氧基)。最优选的不含金属离子的硅酸盐成分是四甲基铵硅酸盐。通过将任何一种或多种下述材料溶解在强碱性来源的清洁剂中,可以原位生成用于本发明的其它合适的不含金属离子的硅酸盐。在清洁剂中产生硅酸盐的合适的不含金属离子的材料为固体硅晶片、硅酸、胶态二氧化硅、热解硅石或硅或任意其它合适形式的硅或二氧化硅。可以使用金属硅酸盐例如原硅酸钠但不推荐使用,因为集成电路上的金属杂质会产生有害影响。
本发明的组合物还可以用合适的金属螯合剂或络合剂配制,以提高制剂在溶液中保留金属的能力及增强晶片基板上的金属残余物的溶解。可用于该目的的螯合剂的典型实例是以下有机酸和它们的异构体和盐:乙二胺四乙酸((ethylenedinitrilo)tetraacetic acid,EDTA)、丁二胺四乙酸、(1,2-环己二胺)四乙酸((1,2-cyclohexylenedinitrilo)tetraacetic acid,CyDTA)、二亚乙基三胺五乙酸、乙二胺四丙酸、(羟乙基)乙二胺三乙酸(HEDTA)、N,N,N′,N′-乙二胺四(亚甲基膦酸)(EDTMP)、三亚乙基四胺六乙酸(TTHA)、1,3-二氨基-2-羟丙烷-N,N,N′,N′-四乙酸(DHPTA)、甲基亚氨基二乙酸、丙二胺四乙酸、1,5,9-三氮杂环十二烷-N,N′,N″-三(亚甲基膦酸)(DOTRP)、1,4,7,10-四氮杂环十二烷-N,N′,N″,N-四(亚甲基膦酸)(DOTP)、次氨基三(亚甲基)三膦酸、二亚乙基三胺五(亚甲基膦酸)(DETAP)、氨基三(亚甲基膦酸)、1-羟基亚乙基-1,1-二膦酸(1-hydroxyethylene-1,1-diphosphonic acid)、双(六亚甲基)三胺膦酸、1,4,7-三氮杂环壬烷-N,N′,N″-三(亚甲基膦酸)(NOTP)、2-膦酸基丁烷-1,2,4-三羧酸(2-phosphonobutane-1,2,4-tricarboxyluc acid)、次氨基三乙酸(nitrolotriacetic acid,NTA)、柠檬酸、酒石酸、葡糖酸(gluconic acid)、糖质酸(saccharic acid)、甘油酸(glyceric acid)、草酸、邻苯二甲酸、马来酸、扁桃酸、丙二酸、乳酸、水杨酸、5-磺基水杨酸、儿茶酚、没食子酸、没食子酸丙酯、焦酚(pyrogallol)、8-羟基喹啉和半胱氨酸(cysteine)。络合剂的实例为磷酸、硝酸、硫酸、盐酸和氢氟酸。当组合物包含氧化浴稳定剂(oxidizing bathstabilizing bath)例如过氧化氢时,膦酸或CyDTA螯合剂是优选使用的。螯合剂例如EDTA的抗氧化性与CyDTA和膦酸螯合剂基本上不同。
优选的螯合剂是氨基羧酸类,例如EDTA或CyDTA和膦酸。许多氨基羧酸和膦酸螯合剂具有至少一个在10-13范围内的pKa。该类螯合剂对含铝残余物具有高的亲和力,所述含铝残余物通常于等离子体“灰化”之后发现在金属线和通孔上。另外,该类螯合剂的pKa通常包括一个约为12的pKa,这改进了本发明组合物的性能。
本发明的组合物还可以包含任何合适的水溶性两性、非离子、阳离子或阴离子表面活性剂。表面活性剂的添加将降低制剂的表面张力并改善欲清洁表面的湿润性,从而提高组合物的清洁作用。如果需要进一步抑制铝腐蚀,还可以添加表面活性剂来降低铝腐蚀速率。组合物中存在的表面活性剂的量通常为组合物重量的约0至约5%,优选约0.1-3%。
用于本发明组合物的两性表面活性剂包括甜菜碱(betaines)和磺基甜菜碱,例如烷基甜菜碱、酰氨基烷基甜菜碱、烷基磺基甜菜碱和酰氨基烷基磺基甜菜碱;氨基羧酸衍生物,例如两性甘氨酸盐,两性丙酸盐,两性二甘氨酸盐和两性二丙酸盐;亚氨基二酸(iminodiacid),例如,烷氧基烷基亚氨基二酸或烷氧基烷基亚氨基二酸;胺氧化物(amineoxide),例如烷基胺氧化物和烷基酰氨基烷基胺氧化物;氟烷基磺酸盐和氟代烷基两性表面活性剂(amphoterics);及其混合物。
优选地,两性表面活性剂为椰油酰氨基丙基甜菜碱,椰油酰氨基丙基二甲基甜菜碱,椰油酰氨基丙基羟基磺内酯(cocoamidopropyl hydroxy sultaine),辛酰基两性二丙酸盐(capryloamphodipropionate),椰油酰胺二丙酸盐,椰油两性丙酸盐,椰油两性羟乙基丙酸盐,异癸氧基丙基亚氨基二丙酸,月桂基亚氨基二丙酸盐,椰油酰氨基丙基胺氧化物和椰油胺氧化物以及氟代烷基两性表面活性剂。
在本发明的组合物中可以使用的非离子表面活性剂包括炔二醇(acetylenic diol),乙氧基化炔二醇,氟代烷基烷氧基化物,氟化烷基酯,氟化聚氧乙烯烷醇,多羟基醇的脂肪酸酯,聚氧乙烯单烷基酯,聚氧乙烯二醇,硅氧烷型表面活性剂和亚烃二醇单烷基酯(alkylen glycol monoalkyl ethers)。优选地,非离子表面活性剂是炔二醇或乙氧基化炔二醇。
在本发明的组合物中可以使用的阴离子表面活性剂包括羧酸盐,N-酰肌氨酸盐,磺酸盐,硫酸盐和正磷酸一酯或二酯,例如磷酸癸基酯。优选地,阴离子表面活性剂为不含金属的表面活性剂。
在本发明的组合物中可以使用的阳离子表面活性剂包括胺乙氧基化物,二烷基二甲基铵盐,二烷基吗啉盐(dialkylmorpholinum salts),烷基苄基二甲基铵盐,烷基三甲基铵盐和烷基吡啶盐。优选地,阳离子表面活性剂是不含卤素的表面活性剂。
优选的表面活性剂的实例包括二甲基己炔醇(Surfynol-61),乙氧基化四甲基癸炔二醇(Surfynol-465),聚四氟乙烯十六烷氧基丙基甜菜碱(polytetrafluoroethylene cetoxypropylbetaine)(Zonyl FSK),Zonyl FSH,等等。这些表面活性剂通常存在的量为组合物的0-约5wt%,优选0.1-约3wt%。
在本发明的组合物中可以使用任何合适的在10-13范围内具有至少一个pKa的浴稳定剂。该浴稳定剂优选具有至少一个在11-12.5范围内的pKa的化合物。最优选的这些化合物为丙酮肟,过氧化氢,水杨酸,5-磺基水杨酸,磷酸,2-羟基嘧啶,4-羟基嘧啶,间苯二酚,2-甲基间苯二酚,水杨醛肟,2-甲基-1,5-戊二胺,1,2-乙二胺,1,3-丙二胺,1,4-丁二胺,1,5-戊二胺,1,6-己二胺,1,7-庚二胺,1,8-辛二胺,1,9-壬二胺,1,10-癸二胺,1,11-十一烷基二胺,1,12-十二烷基二胺,1,3-二氨基戊烷和苔黑酚(orcinol)。在11-12.5的优选范围内具有pKa的浴稳定剂的其它实例为:锗酸(hydrogen germanate),腺苷,胞核嘧啶,精氨酸,苯甲酰-α-二肟(benzal-α-dioxime),苯并咪唑,苯甲酰肼,苯甲酰基丙酮酸,黄连素,双胍,1-甲基-2-丁基-2-吡咯啉,钙镁指示剂,铬天青S,铬深蓝,氢过氧化枯烯,1,2-亚环己基二次氨基乙酸,胞啶,二乙基双胍,缩二胍(diguanide),2,4-二羟基-1-苯基偶氮苯,2,6-二羟基嘌呤,二甲基双胍,乙基双胍,亚乙基双胍,甲基乙基酮肟,2-甲基-1-乙基-2-吡咯啉,4-甲酰基-3-甲氧基嘧啶,鸟嘌呤,鸟苷,2-羟基苯甲醛肟,N-(羟乙基)双胍,2-羟基喹啉,次黄嘌呤(hypoxanthine),次黄嘌呤核苷(inosine),5-碘代组胺,2,2′-亚甲基双(4-氯酚),2-甲基-8-羟基喹啉,4甲基-8-羟基喹啉,1-甲基黄嘌呤,苯丙氨酰基精氨酸,硅酸,鹰爪豆碱(sparteine),钍试剂(thorin),鹿啼草素(toluhydroquinone),酪氨酰基精氨酸,黄嘌呤核苷,乙脒,三氟乙醇,三氯乙醇,嘧啶-4-醛,次黄嘌呤,尿酸,吡咯烷,二乙胺,哌啶,3-氨基-3-甲基戊烷,二异丙胺,糖精,2,2,4-三甲基哌啶,二丁胺,L-3,4-二羟基苯丙氨酸,2,2,6,6-四甲基哌啶,5-羟基色胺,丁基环己基胺,2-苯基苯并咪唑,2-甲基-2-丁硫醇,2-甲基-2-丙硫醇,二己胺,甲氧基嘧啶,1,4-二羟基-2,3,5,6-四甲基苯,戊二酰亚胺,2-羟基丁二腈(malanonitrile),苄脒,4-羟基喹啉,4,4,9,9-四甲基-5,8-二偶氮十二烷-2,11-二胺,1,6-己二胺,1,7-庚二胺,1,8-辛二胺,1,9-壬二胺1,10-癸二胺,1,12-十二烷二胺,连二次硝酸(hydrogen hyponitrite),羟胺-N,N-二磺酸,(1,2-亚环己基二次氨基)四乙酸(CyDTA)和二亚乙基三胺五(亚甲基膦酸)(DETPA)。
在10-11范围内具有至少一个pKa的其它浴稳定剂的其它实例为:赖氨酸,酪氨酸,3-氨基-N,N-双(2-氨乙基)丙胺,三(2-氨乙基)胺,3-(环己基氨基)-1-丙磺酸,甲胺,二甲胺,乙胺,巯乙胺,1,2-乙二胺,α-丙氨酸,β-丙氨酸,吖丁啶,甲基甘氨酸,半胱氨酸,丙胺,1,3-丙二胺,4-氨基丁酸,2-甲基丙氨酸,高半胱氨酸,2,4-二氨基丁酸,丁胺,1,4-丁二胺,2,3-丁二胺,1,2-二甲基氨基乙烷,脯氨酸,N-甲基吡咯烷,5-氨基戊酸,N-丙基甘氨酸,鸟氨酸,1-氨基-2,2-二甲基丙烷,二乙基甲基胺,3-甲基-1-丁胺,2-甲基-2-丁胺,3-戊胺,戊胺,1,5-戊二胺,2-吡啶甲醛肟(2-pyridinecarboxadehyde oxime),氢醌,六氢哌啶羧酸,环己胺,1,2-二甲基吡咯烷,1-甲基哌啶,6-氨基己酸,己胺,三乙胺,甲酚,2-二甲基氨基嘌呤,1,2-二甲基哌啶,1-乙基哌啶,2-庚胺,庚胺,酪胺,多巴胺,N-甲基-2-庚胺,辛胺,1-丁基哌啶,壬胺,色胺,d-麻黄素,冰片基胺,降冰片胺,癸胺,十一烷基胺,十二烷基胺,十三烷基胺,十四烷基胺,L-甲状腺素,十五烷基胺,十六烷基胺,十八烷基胺,4-氨基丁酸,2-氨基-2-甲基丙酸,3-氨基丙酸,乙二胺四乙酸(EDTA),N,N’-二甲基亚乙基胺-N,N’-乙酰乙酸,甲氨基乙酰乙酸,2-甲基-2-丙胺,次氨基三乙酸,1,2,4-三唑,三氯乙醛,乙酰乙酸乙酯(ethtyl acetoacetate),苯酚,β-苯乙基硼酸,硝基甲烷,巯乙酸,乙硫醇(ethyl mercaptan),氰胺(cyanamide),二十二烷基胺,叔丁基胺,三甲胺,2-巯乙胺,5-氨基戊酸,4-氨基苯酚,次碘酸(hydrogenhypoiodite),氨基丙基吗啉,乙硫醇(ethanethiol),碳酸,碳酸四甲基铵,碳酸氢铵,碳酸铵,胆碱碳酸氢盐(choline bicarbonate),二氧化碳+水,苏氨酸,硫代磷酸(hydrogen thiophosphate),肌氨酸,4-甲氧基苯酚,4-乙氧基苯酚,4-丙氧基苯酚,4-丁氧基苯酚和4-戊氧基苯酚。
在12.5-13的范围内具有至少一个pKa的浴稳定剂的其它实例为:5-羟基甲基胞嘧啶,草酰乙酸,氢过氧化枯烯,缩二胍,硫化氢和过氧磷酸(hydrogen peroxophosphate)。
在10-13范围内具有至少一个pKa的其它合适的浴稳定剂可以在以下文献中找到:J.Dean的“Lange′s Handbook of Chemistry”,15th Edition,Section8,页码:8-24至8-79;A.Albert and E.Serjeant的“The Determination ofIonization Constants,A Laboratory Manual”,2nd Edition,6-9章,页码:72-107;以及D.Lide的“CRC Handbook of Chemistry and Physics”,78th Edition,页码:7-1至7-3,7-6和8-43至8-55。具有在10-13范围内至少一个pKa的其它化合物还可以在NIST的计算机软件程序“NIST Standard Reference Database 46:NIST Critically Selected Stability Constants of metal Complexes Database”和Advanced Chemistry Development,Inc.of Toronto,Canada的程序“ACD/pKaDB”中找到。ACD/pKa DB还可以从结构中预测pKa。用作本发明浴稳定剂的具有在10-13范围内至少一个pKa的化合物是这样一些化合物,即在加入剥离或清洁集成电路基板的组合物时,与不含浴稳定剂的相同组合物相比,当陈化组合物时,提供证据表明pH下降较少的组合物。可以使用合适的浴稳定剂的混合物。
在组合物中使用的浴稳定剂的量通常可以为组合物重量的约0%至约50%,优选约0.1%至约35%。
本发明的组合物还可以含有其它金属腐蚀抑制剂,例如苯并三唑,取代的苯并三唑如5-甲基苯并三唑,焦儿茶酚(pyrocatechol),3,4-二羟基苯甲酸,4-叔丁基儿茶酚,芳族酯例如3,4-二羟基苯甲酸烷基酯,2,4-二羟基苯甲酸烷基酯,3,5-二羟基苯甲酸烷基酯,2,6-二羟基苯甲酸烷基酯和2,5-二羟基苯甲酸烷基酯,没食子酸烷基酯(aklyl gallate)例如没食子酸甲酯,没食子酸乙酯,没食子酸丙酯和没食子酸丁酯,焦棓酚和没食子酸,可以使用的量为0-约5wt%,优选0.1-2wt%。
清洁组合物还可以任选在清洁组合物中包含氟化物,例如,四甲基氟化铵,四丁基氟化铵和氟化铵。其它合适的氟化物包括,例如氟硼酸盐、四丁基氟硼酸铵、六氟化铵、氟化锑等等。氟化物成分存在的量可以为组合物重量的0-10%,优选氟化物成分的量为约0.1%至5%。
本发明的组合物还可以任选包含一种或多种钛残余物去除增强剂,例如,四烷基氟化铵,氟化铵,HF,过氧化物例如过氧化氢(H2O2),臭氧和羟胺。在组合物中可以使用的钛残余物去除增强剂的量为组合物重量的约0至约30%,优选约0.1%至约20%,最优选约1%至约18%。
本发明的清洁组合物还任选包含氧化剂以进一步提供对金属(尤其铝)腐蚀的保护。在本发明的清洁组合物中可以使用任何合适的氧化剂,包括但不限于过氧化氢,过硫化物,过二磷酸盐,连二亚硫酸盐,次氯酸盐等。优选的氧化剂是过氧化氢和次氯酸盐。使用的氧化剂的量通常为组合物重量的约0%至高达约9%,优选约0.25%至约8%,更优选约0.5%至3%,最优选约0.6%至约1.6%。
本发明的方法通过使污染的基板和本发明的组合物在足以清洁基板表面不必要的杂质的温度下接触一段时间而清洁半导体晶片基板。任选地,清洗基板除去组合物和杂质,并干燥除去任何多余的溶剂和清洗剂。然后将基板用于其预定用途。
优选地,所述方法使用浸泡施用或喷洒施用以使基板和组合物接触。浸泡或喷洒清洁时间通常为1分钟至30分钟,优选5分钟至20分钟。浸泡或喷洒清洁温度通常为10℃至85℃,优选20℃至65℃。
在需要时,在室温下清洁时间通常为10秒至5分钟,优选在室温下为30秒至2分钟。优选使用去离子水清洗基板。
在需要时,可以使用空气蒸发、加热、旋转(spinning)或高压气体的任意组合来完成基板的干燥。优选的干燥方法为在滤过的惰性气体(例如氮气)流下旋转一段时间直到晶片基板被干燥。
本发明的方法对于清洁预先已经进行氧等离子体灰化除去大部分光致抗蚀剂的半导体晶片基板非常有效,特别为含有硅、氧化硅、氮化硅、钨、钨合金、钛、钛合金、钽、钽合金、铜、铜合金、铝或铝合金膜的半导体晶片基板。所述方法除去不必要的的金属杂质和有机杂质,但是没有对硅、氧化硅、氮化硅、钨、钨合金、钛、钛合金、钽、钽合金、铜、铜合金、铝或铝合金膜产生不可接受的腐蚀。
以下实施例说明在本申请文件中描述的本发明的具体实施方案。显然对于本领域普通技术人员来说,在本发明描述的范围内各种变化和修改都是可行的并且是预料中的。
实施例
实施例的测试程序如下。
铝金属蚀刻速率测定:将铝箔带(99.8%纯度,0.05mm厚,购自Sigma-Aldrich,Inc.)切成50mm×13mm的条,然后清洁如下:用去离子水、异丙醇,然后是丙酮清洗,接着在烘箱中干燥。使用5位小数精度(5-decimalprecision)的分析天平记录初始重量。然后,将箔片加入包含Teflon帽盖的100ml Teflon PFA瓶的装置中,该瓶充有测试溶液并配置了Teflon搅拌棒,帽盖上有用于Teflon的测温探针的孔。将瓶放置在数字化热板搅拌器上。将铝箔放入瓶内的溶液中60分钟,在45℃用Teflon-涂覆的机械搅拌棒以150rpm的速度进行搅拌。处理完成之后,移出箔片,用去离子水、异丙醇,然后是丙酮清洗,接着在烘箱中干燥。使用所述精度的分析天平记录最终的重量。采用以下方程,用重量损失(单位克)计算金属蚀刻速率(埃/分钟):{[[(重量损失的克数)/(初始重量的克数)]*(薄片厚度mm/2)]/60分钟}*(1×107埃/mm)=蚀刻速率(埃/分钟)。
钛金属蚀刻速率测定:将钛箔片(99.94%纯度,0.025mm厚,购自AlfaAesar,Inc.)切成30mm×25mm的条,再对半弯曲成90度的角。然后如下清洁箔片:用去离子水、异丙醇和丙酮清洗,接着在烘箱中干燥。使用5位小数精度的分析天平记录初始重量。在加入箔片之前将含有待测试溶液的125ml聚乙烯广口瓶在烘箱中预热大约1小时至45℃。加入箔片使得箔片直立放置,降帽盖松散地装在瓶上,并放置在45℃的烘箱中24小时(1,440分钟)。在处理期间不搅拌溶液。处理完成之后,移出箔片,用去离子水、异丙醇,然后是丙酮清洗,接着在烘箱中干燥。使用所述精度的分析天平记录最终的重量。采用以下方程用重量损失(单位克)计算金属蚀刻速率(埃/分钟):{[[(重量损失的克数)/(初始重量的克数)]*(薄片厚度mm/2)]/1,440分钟}*(1×107埃/mm)=蚀刻速率(埃/分钟)。
FE-SEM实施例:使用下述晶片试样评价溶液的性能,所述晶片试样具有1微米宽的特征(feature)和覆盖有氮化钛的铝-铜凸线(raised line),所述晶片预先如下制备:(a)用铝-铜合金金属化,接着用氮化钛金属化,(b)使用光致抗蚀剂材料进行光刻图案化(lithographic patterning),(c)使用反应性离子蚀刻进行图案转印,(d)氧等离子体灰化以除去有机光致抗蚀剂残余物,但主要留下无机残余物。将晶片样品置于45℃的溶液中20分钟,移出,用去离子水清洗并用高压氮气干燥。干燥之后,在场发射扫描电子显微镜(FE-SEM)上检查样品,测定铝-铜金属特征的清洁和/或腐蚀的程度。
铝或铝-铜合金金属腐蚀的量用金属损失百分数和通用腐蚀等级(general corrosion remark)两者来表示。给出的通用腐蚀等级为非常轻微、轻微、轻、中等和严重。认为在可接受限度内的少量铝腐蚀指定为非常轻微或轻微。轻、中等或严重腐蚀认为是不可接受的。使用场发射扫描电子显微镜(FE-SEM)得到的所有清洁和腐蚀数据条目是基于来自相同晶片的未处理样品和处理样品之间差异的目测。实施例1和3表明使用本发明的腐蚀抑制剂降低了铝和铝-铜合金腐蚀速率。实施例2表明使用本发明的腐蚀抑制剂降低了钛的腐蚀速率。
实施例中使用的制剂
水溶液“A1”用5.35重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA)和1.64重量%过氧化氢制备,该溶液余下部分为去离子水,pH约为13.3。水溶液“A2”用2.05重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA)和1.64重量%过氧化氢制备,该溶液余下部分为去离子水,pH约为11.4。水溶液“B1”用3.35重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA),1.64重量%过氧化氢和0.23重量%氟硅氢酸(dihydrogen hexafluorosilicate)(Alfa Aesar,Inc.的产品)制备,该溶液余下部分为去离子水,pH约为11.5。水溶液“B2”用4.83重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA),1.64重量过氧化氢和0.46重量%氟硅氢酸(Alfa Aesar,Inc.的产品)制备,该溶液余下部分为去离子水,pH约为11.5。水溶液“B3”用5.04重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA),1.64重量%过氧化氢和0.60重量%氟硅氢酸(Alfa Aesar,Inc.的产品)制备,该溶液余下部分为去离子水,pH约为11.5。水溶液“B4”用5.35重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA),1.64重量%过氧化氢和0.69重量%氟硅氢酸(Alfa Aesar,Inc.的产品)制备,该溶液余下部分为去离子水,pH约为11.5。溶液“B5”用9.61重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA),1.64重量%过氧化氢,0.69重量%氟硅氢酸(Alfa Aesar,Inc.的产品)和2.04重量%氟化铵制备水,该溶液余下部分为去离子水,pH约为11.5。水溶液“C1”用4.09重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA),1.64重量%过氧化氢和1.00重量%六氟锗酸(dihydrogenhexafluorogermanate)制备,该溶液余下部分为去离子水,pH约为11.5。水溶液“C2”用6.24重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA),1.64重量%过氧化氢和3.75重量%六氟锗酸铵(99.99%,Alfa Aesar,Inc.的产品)制备,该溶液余下部分为去离子水,pH约为11.5。水溶液“D1”用3.80重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA),1.64重量%过氧化氢和0.65重量%六氟锑酸(V)(dihydrogen hexafluoroantimonate)(Alfa Aesar,Inc.的产品)制备,该溶液余下部分为去离子水,pH约为11.6。水溶液“D2”用8.73重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA),1.64重量%过氧化氢和2.58重量%六氟锑酸(V)(Alfa Aesar,Inc.的产品)制备,该溶液余下部分为去离子水,pH约为11.6。用4.50重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA),1.64重量%过氧化氢和0.75重量%六氟锆酸(Sigma-Aldrich,Inc.的产品)制备水溶液“E1”,该溶液余下部分为去离子水,pH约为11.5。水溶液“E2”用6.38重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA),1.64重量%过氧化氢和1.51重量%六氟锆酸(dihydrogen hexafluorozirconate)(Sigma-Aldrich,Inc.的产品)制备,该溶液余下部分为去离子水,pH约为11.5。水溶液“F1”用3.17重量%四甲基氢氧化铵(TMAH),0.11重量%反式-(1,2-亚环己基二次氨基)四乙酸(CyDTA),1.61重量%过氧化氢和0.67重量%四氟硼酸(Sigma-Aldrich,Inc.的产品)制备,该溶液余下部分为去离子水,pH约为11.6。
用以下方式制备制剂C1和C2中使用的六氟锗酸。通过在Teflon 125ml瓶中加入以下物质(在搅拌时有一些热产生)制备21.0重量%的六氟锗酸水溶液:50.00克去离子水,9.51克二氧化锗(99.999%Puratronic Grade,AlfaAesar,Inc.的产品)和22.23克的49.1%氢氟酸(FintyteGrade,MallinckrodtBaker,Inc.的产品)。
                                    实施例1
                        表1:铝金属箔片蚀刻速率比较(蚀刻速率的平均值)
  溶液   pH   Al腐蚀抑制剂   腐蚀抑制剂的浓度(wt.%)   阴离子腐蚀抑制剂浓度(wt.%)   铝金属蚀刻速率(/min.)
  A1(B4负防腐蚀剂)   13.3   无   0   0   >4,170
  A2(B4负防腐蚀剂,pH向下调节)   11.4   无   0   0   >4,170
  B1   11.5   H2SiF6   0.23   0.23   4,110
  B2   11.5   H2SiF6   0.46   0.45   >4,170
  B3   11.5   H2SiF6   0.60   0.59   31.7
  B4   11.5   H2SiF6   0.69   0.68   25.0
  B5(B4,添加2.04%NH4F并调节pH)   11.5   H2SiF6   0.69   0.68   2.2
  C1   11.5   H2GeF6   1.00   0.99   67.6
  C2   11.5   (NH4)2GeF6   3.75   3.14   611
  D1   11.6   HSbF6   0.65   0.65   3,950
  D2   11.6   HSbF6   2.58   2.56   2,895
                                    实施例2
  溶液   pH   Ti腐蚀抑制剂 腐蚀抑制剂的浓度(wt.%) 阴离子腐蚀抑制剂浓度(wt.%) 钛金属蚀刻速率(/min.)
  A3(A2,pH略高)   11.5   无   0   0   9.8
  B4   11.5   H2SiF6   0.69   0.68   5.4
  D1   11.6   HSbF6   0.65   0.65   7.4
  E1   11.5   H2ZrF6   0.75   0.74   0.4
  E2   11.5   H2ZrF6   1.51   1.50   0.2
  F1   11.6   HBF4   0.67   0.66   5.9
                                  实施例3
                               表2:FE-SEM评估结果
溶液   pH   Al腐蚀抑制剂 腐蚀抑制剂的浓度(wt.%)   阴离子腐蚀抑制剂的浓度(wt.%) 除去的后灰化残余物(%)   铝金属的腐蚀(%金属损失)
  A1(B4负防腐蚀剂)   13.3   无   0   0   100   100(严重)
  B3   11.5   H2SiF6   0.60   0.59   100   4(略有)
  B4   11.5   H2SiF6   0.69   0.68   96   0
根据本发明示范性实施方案描述和说明了本发明。应该认识到,这些实施方案表示限制性的,在不偏离本发明的精神和范围下,可以进行各种修改和变化。

Claims (48)

1.用于剥离或清洁集成电路基板的碱性组合物,其包括:
(a)一种或多种碱;和
(b)一种或多种具有以下通式的金属卤化物:
                           WzMXy’
其中M是选自Si、Ge、Sn、Pt、P、B、Au、Ir、Os、Cr、Ti、Zr、Rh、Ru和Sb的金属;X是选自F、CI、Br和I的卤素;W选自H、碱金属或碱土金属,和不含金属离子的氢氧化物碱部分;y是数4-6,取决于金属卤化物;以及z是数1、2或3。
2.权利要求1的组合物,其中该组合物是含水的碱性组合物。
3.权利要求2的组合物,其中所述碱成分(a)是不含金属离子的碱,且该碱在组合物中存在的量足以使组合物的pH为约10至约13。
4.权利要求2的组合物。其中在组合物中存在的一种或多种金属卤化物的量为组合物重量的约0.5%至约10%。
5.权利要求1的组合物,其中所述碱成分(a)选自氢氧化铵、氢氧化季铵和二胺。
6.权利要求5的组合物,其中碱成分(a)是包含烷基具有1-4个碳原子的四烷基氢氧化铵。
7.权利要求2的组合物,其中M选自Si、Ge、Zr和Sb。
8.权利要求4的组合物,其中M选自Si、Ge、Zr和Sb。
9.权利要求6的组合物,其中M选自Si、Ge、Zr和Sb。
10.权利要求7的组合物,其中金属卤化物选自H2SiF6、H2GeF6、((CH3)4N)2GeF6、((CH3)4N)2SiF6、(NH4)2SiF6和(NH4)2GeF6
11.权利要求8的组合物,其中金属卤化物选自H2SiF6、H2GeF6、((CH3)4N)2GeF6、((CH3)4N)2SiF6、(NH4)2SiF6和(NH4)2GeF6
12.权利要求9的组合物,其中金属卤化物选自H2SiF6、H2GeF6、((CH3)4N)2GeF6、((CH3)4N)2SiF6、(NH4)2SiF6和(NH4)2GeF6
13.权利要求10的组合物,其中金属卤化物为H2SiF6
14.权利要求11的组合物,其中金属卤化物为H2SiF6
15.权利要求12的组合物,其中金属卤化物为H2SiF6
16.权利要求1的组合物,其还包括一种或多种其它成分,该成分选自有机溶剂和共溶剂、金属螯合剂或络合剂、硅酸盐、氟化物、其它金属腐蚀抑制剂、表面活性剂、钛残留物去除增强剂、氧化剂和浴稳定剂。
17.权利要求2的组合物,其还包括一种或多种其它成分,该成分选自有机溶剂和共溶剂、金属螯合剂或络合剂、硅酸盐、氟化物、其它金属腐蚀抑制剂、表面活性剂、钛残留物去除增强剂、氧化剂和浴稳定剂。
18.权利要求4的组合物,其还包括一种或多种其它成分,该成分选自有机溶剂和共溶剂、金属螯合剂或络合剂、硅酸盐、氟化物、其它金属腐蚀抑制剂、表面活性剂、钛残留物去除增强剂、氧化剂和浴稳定剂。
19.权利要求16的组合物,其包括四甲基氢氧化铵、反式-(1,2-亚环己基二次氨基)四乙酸、过氧化氢、氟硅氢酸和水。
20.权利要求19的组合物,其pH为约11.5。
21.权利要求16的组合物,其包括四甲基氢氧化铵、反式-(1,2-亚环己基二次氨基)四乙酸、过氧化氢、六氟锗酸和水。
22.权利要求21的组合物,其pH为约11.5。
23.权利要求16的组合物,其包括四甲基氢氧化铵、反式-(1,2-亚环己基二次氨基)四乙酸、过氧化氢、六氟锗酸铵和水。
24.权利要求23的组合物,其pH为约11.5。
25.清洁半导体晶片基板的方法,包括:
使具有基板表面的半导体晶片基板与包含权利要求1的组合物的组合物在一定温度下接触一段时间,以足以从所述基板表面清除不必要的杂质和残余物。
26.权利要求25的方法,其中所述组合物是权利要求2的组合物。
27.权利要求25的方法,其中所述组合物是权利要求3的组合物。
28.权利要求25的方法,其中所述组合物是权利要求4的组合物。
29.权利要求25的方法,其中所述组合物是权利要求5的组合物。
30.权利要求25的方法,其中所述组合物是权利要求6的组合物。
31.权利要求25的方法,其中所述组合物是权利要求7的组合物。
32.权利要求25的方法,其中所述组合物是权利要求8的组合物。
33.权利要求25的方法,其中所述组合物是权利要求9的组合物。
34.权利要求25的方法,其中所述组合物是权利要求10的组合物。
35.权利要求25的方法,其中所述组合物是权利要求11的组合物。
36.权利要求25的方法,其中所述组合物是权利要求12的组合物。
37.权利要求25的方法,其中所述组合物是权利要求13的组合物。
38.权利要求25的方法,其中所述组合物是权利要求14的组合物。
39.权利要求25的方法,其中所述组合物是权利要求15的组合物。
40.权利要求25的方法,其中所述组合物是权利要求16的组合物。
41.权利要求25的方法,其中所述组合物是权利要求17的组合物。
42.权利要求25的方法,其中所述组合物是权利要求18的组合物。
43.权利要求25的方法,其中所述组合物是权利要求19的组合物。
44.权利要求25的方法,其中所述组合物是权利要求20的组合物。
45.权利要求25的方法,其中所述组合物是权利要求21的组合物。
46.权利要求25的方法,其中所述组合物是权利要求22的组合物。
47.权利要求25的方法,其中所述组合物是权利要求23的组合物。
48.权利要求25的方法,其中所述组合物是权利要求24的组合物。
CN2004800321755A 2003-10-29 2004-10-20 含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物 Expired - Fee Related CN1875325B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US51523403P 2003-10-29 2003-10-29
US60/515,234 2003-10-29
PCT/US2004/034541 WO2005043245A2 (en) 2003-10-29 2004-10-20 Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors

Publications (2)

Publication Number Publication Date
CN1875325A true CN1875325A (zh) 2006-12-06
CN1875325B CN1875325B (zh) 2011-01-26

Family

ID=34549382

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800321755A Expired - Fee Related CN1875325B (zh) 2003-10-29 2004-10-20 含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物

Country Status (13)

Country Link
US (1) US7671001B2 (zh)
EP (1) EP1692572A2 (zh)
JP (1) JP4620680B2 (zh)
KR (1) KR101132533B1 (zh)
CN (1) CN1875325B (zh)
BR (1) BRPI0416067A (zh)
CA (1) CA2544198C (zh)
IL (1) IL175146A (zh)
NO (1) NO20062438L (zh)
SG (1) SG147482A1 (zh)
TW (1) TWI350431B (zh)
WO (1) WO2005043245A2 (zh)
ZA (1) ZA200603387B (zh)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102289159A (zh) * 2010-06-18 2011-12-21 拉姆科技有限公司 用于除去光致抗蚀剂的组合物及利用其形成半导体图案的方法
CN102317995A (zh) * 2009-03-18 2012-01-11 夏普株式会社 有源矩阵基板和显示装置
CN102893218A (zh) * 2010-05-12 2013-01-23 易安爱富科技有限公司 光阻剥离剂组成物
US8518865B2 (en) 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
CN102197124B (zh) * 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
CN103809394A (zh) * 2012-11-12 2014-05-21 安集微电子科技(上海)有限公司 一种去除光阻蚀刻残留物的清洗液
CN104804903A (zh) * 2010-01-29 2015-07-29 安格斯公司 附有金属布线的半导体用清洗剂
CN105873691A (zh) * 2013-12-06 2016-08-17 富士胶片电子材料美国有限公司 用于去除表面上的残余物的清洗调配物
CN106773563A (zh) * 2016-12-27 2017-05-31 昆山欣谷微电子材料有限公司 四丙基溴化胺无水剥离液
CN107026120A (zh) * 2017-03-30 2017-08-08 深圳市华星光电技术有限公司 一种阵列基板的制作方法
CN107346095A (zh) * 2017-09-14 2017-11-14 江阴江化微电子材料股份有限公司 一种半导体制程正性光刻胶去胶液及应用
US9834746B2 (en) 2013-10-21 2017-12-05 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on surfaces
CN109790028A (zh) * 2016-10-06 2019-05-21 富士胶片电子材料美国有限公司 用于移除半导体基材上残余物的清洁制剂
CN110177903A (zh) * 2017-01-17 2019-08-27 恩特格里斯公司 高阶节点工艺后端处理的蚀刻后残留物去除
CN112424327A (zh) * 2018-07-20 2021-02-26 恩特格里斯公司 含腐蚀抑制剂的清洗组合物
CN112805630A (zh) * 2019-11-20 2021-05-14 松下知识产权经营株式会社 抗蚀剂剥离液
CN112805629A (zh) * 2019-11-20 2021-05-14 松下知识产权经营株式会社 抗蚀剂剥离液
US11407966B2 (en) 2018-03-28 2022-08-09 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
CN115323383A (zh) * 2022-08-09 2022-11-11 河南大学 离子液体缓蚀剂改性的水滑石复合涂层的制备方法及其在镁合金防腐中的应用

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
WO2005001016A1 (en) * 2003-06-27 2005-01-06 Interuniversitair Microelektronica Centrum (Imec) Semiconductor cleaning solution
ATE376201T1 (de) * 2003-08-19 2007-11-15 Mallinckrodt Baker Inc Ablös- und reinigungszusammensetzungen für die mikroelektronik
KR101190907B1 (ko) * 2004-12-07 2012-10-12 가오 가부시키가이샤 박리제 조성물
US7247579B2 (en) * 2004-12-23 2007-07-24 Lam Research Corporation Cleaning methods for silicon electrode assembly surface contamination removal
KR100675284B1 (ko) * 2005-02-01 2007-01-26 삼성전자주식회사 마이크로일렉트로닉 세정제 및 이것을 사용하여반도체소자를 제조하는 방법
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
JP4988165B2 (ja) * 2005-03-11 2012-08-01 関東化学株式会社 フォトレジスト剥離液組成物及びフォトレジストの剥離方法
BRPI0609587A2 (pt) * 2005-04-04 2010-04-20 Mallinckrodt Baker Inc processo de decapagem e limpeza de feol, para remover o fotoresistor implantado com ìons, não-decapado de um substrato de láminas de silìcio
KR100655647B1 (ko) * 2005-07-04 2006-12-08 삼성전자주식회사 반도체 기판용 세정액 조성물, 이의 제조 방법, 이를이용한 반도체 기판의 세정 방법 및 반도체 장치의 제조방법
DE102005041533B3 (de) * 2005-08-31 2007-02-08 Atotech Deutschland Gmbh Lösung und Verfahren zum Entfernen von ionischen Verunreinigungen von einem Werkstück
JP2009512194A (ja) * 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
US8772214B2 (en) * 2005-10-14 2014-07-08 Air Products And Chemicals, Inc. Aqueous cleaning composition for removing residues and method using same
TWI417683B (zh) * 2006-02-15 2013-12-01 Avantor Performance Mat Inc 用於微電子基板之穩定化,非水性清潔組合物
US7732393B2 (en) * 2006-03-20 2010-06-08 Cabot Microelectronics Corporation Oxidation-stabilized CMP compositions and methods
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
US9058975B2 (en) * 2006-06-09 2015-06-16 Lam Research Corporation Cleaning solution formulations for substrates
JP4912794B2 (ja) * 2006-08-29 2012-04-11 花王株式会社 液体洗浄剤組成物
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US8026201B2 (en) * 2007-01-03 2011-09-27 Az Electronic Materials Usa Corp. Stripper for coating layer
DE602008002819D1 (de) * 2007-02-14 2010-11-11 Mallinckrodt Baker Inc Peroxid-aktivierte formulierungen auf oxometalat-basis zur entfernung von ätzungsresten
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
SG166102A1 (en) * 2007-03-31 2010-11-29 Advanced Tech Materials Methods for stripping material for wafer reclamation
WO2008138881A1 (en) * 2007-05-14 2008-11-20 Basf Se Method for removing etching residues from semiconductor components
KR101622862B1 (ko) * 2007-05-17 2016-05-19 엔테그리스, 아이엔씨. Cmp후 세정 제제용 신규한 항산화제
US7976723B2 (en) * 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
US8802608B2 (en) * 2007-07-26 2014-08-12 Mitsubishi Gas Chemical Comany, Inc. Composition for cleaning and rust prevention and process for producing semiconductor element or display element
BRPI0908905A2 (pt) * 2008-02-29 2015-09-22 Mallinckrodt Baker Inc composições para limpeza de substrato microeletrônico
KR101495683B1 (ko) * 2008-09-26 2015-02-26 솔브레인 주식회사 액정표시장치의 구리 및 구리/몰리브데늄 또는 구리/몰리브데늄합금 전극용 식각조성물
BRPI1008034A2 (pt) * 2009-02-25 2016-03-15 Avantor Performance Mat Inc composições removedoras para limpeza de fotorresistor implantado por íons de discos de silício de dispositivos semicondutores
RU2011139104A (ru) * 2009-02-25 2013-04-10 Авантор Перформанс Матириалз, Инк. Чистящая композиция для микроэлектроники на основе многоцелевого кислотного органического растворителя
WO2010119753A1 (ja) * 2009-04-17 2010-10-21 ナガセケムテックス株式会社 フォトレジスト剥離剤組成物及びフォトレジスト剥離方法
WO2010146543A2 (en) * 2009-06-15 2010-12-23 Ecolab Usa Inc. High alkaline cleaners, cleaning systems and methods of use for cleaning zero trans fat soils
TW201128328A (en) * 2009-09-02 2011-08-16 Wako Pure Chem Ind Ltd Composition for surface treatment of a semiconductor and method for surface treatment of a semiconductor using such composition
IN2012DN02167A (zh) 2009-09-11 2015-08-21 First Solar Inc
TWI444788B (zh) * 2010-01-28 2014-07-11 Everlight Chem Ind Corp 顯影液之組成物
KR101829399B1 (ko) * 2010-03-04 2018-03-30 삼성전자주식회사 감광성 수지 제거제 조성물 및 이를 이용하는 반도체 제조 공정
US8058221B2 (en) * 2010-04-06 2011-11-15 Samsung Electronics Co., Ltd. Composition for removing a photoresist and method of manufacturing semiconductor device using the composition
US20110253171A1 (en) * 2010-04-15 2011-10-20 John Moore Chemical Composition and Methods for Removing Epoxy-Based Photoimageable Coatings Utilized In Microelectronic Fabrication
WO2012009639A2 (en) * 2010-07-16 2012-01-19 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
US8889609B2 (en) * 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
EP2697826A4 (en) * 2011-04-12 2014-10-22 Asia Union Electronic Chemical Corp APPLICATION OF SILICON OXIDE FILMS AT LOW TEMPERATURES
EP2715783A4 (en) * 2011-06-01 2015-01-07 Avantor Performance Mat Inc SEMI-AQUEOUS POLYMER REMOVAL COMPOSITIONS HAVING IMPROVED COPPER, TUNGSTEN AND DIELECTRIC COMPATIBILITY WITH LOW K-POROUS CONSTANT
US20130200040A1 (en) * 2012-01-04 2013-08-08 International Business Machines Corporation Titanium nitride removal
US9070625B2 (en) 2012-01-04 2015-06-30 International Business Machines Corporation Selective etch chemistry for gate electrode materials
US10176979B2 (en) * 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
WO2013192534A1 (en) * 2012-06-22 2013-12-27 Avantor Performance Materials, Inc. Rinsing solution to prevent tin pattern collapse
JP2014170927A (ja) * 2013-02-06 2014-09-18 Mitsubishi Chemicals Corp 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
TWI471457B (zh) * 2013-02-22 2015-02-01 Uwin Nanotech Co Ltd 金屬剝除添加劑、含其之組合物、及使用該組合物以剝除金屬的方法
KR102091543B1 (ko) * 2013-08-01 2020-03-23 동우 화인켐 주식회사 망상형 고분자 용해용 조성물
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
EP3080240A4 (en) * 2013-12-11 2017-07-19 FujiFilm Electronic Materials USA, Inc. Cleaning formulation for removing residues on surfaces
WO2016076031A1 (ja) * 2014-11-13 2016-05-19 三菱瓦斯化学株式会社 タングステンを含む材料のダメージを抑制した半導体素子の洗浄液、およびこれを用いた半導体素子の洗浄方法
JP6589883B2 (ja) 2014-11-13 2019-10-16 三菱瓦斯化学株式会社 半導体素子を洗浄するためのアルカリ土類金属を含む洗浄液、およびそれを用いた半導体素子の洗浄方法
WO2016076032A1 (ja) 2014-11-13 2016-05-19 三菱瓦斯化学株式会社 タンタルを含む材料のダメージを抑制した半導体素子の洗浄液、およびこれを用いた洗浄方法
US10073351B2 (en) * 2014-12-23 2018-09-11 Versum Materials Us, Llc Semi-aqueous photoresist or semiconductor manufacturing residue stripping and cleaning composition with improved silicon passivation
CN109075035B (zh) * 2016-04-28 2023-06-13 富士胶片株式会社 处理液及处理液收容体
KR102051346B1 (ko) * 2016-06-03 2019-12-03 후지필름 가부시키가이샤 처리액, 기판 세정 방법 및 레지스트의 제거 방법
TWI725220B (zh) * 2016-08-12 2021-04-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
EP3580321A4 (en) * 2017-02-10 2020-12-02 Fujifilm Electronic Materials USA, Inc. CLEANING COMPOSITIONS
JP7077505B2 (ja) 2017-03-24 2022-05-31 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 半導体基板上の残渣を除去するための洗浄組成物
US11193094B2 (en) * 2017-07-31 2021-12-07 Mitsubishi Gas Chemical Company, Inc. Liquid composition for reducing damage of cobalt, alumina, interlayer insulating film and silicon nitride, and washing method using same
KR102471495B1 (ko) * 2017-12-08 2022-11-28 헨켈 아게 운트 코. 카게아아 포토레지스트 스트리퍼 조성물
US11390943B2 (en) 2017-12-18 2022-07-19 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
US11353794B2 (en) * 2017-12-22 2022-06-07 Versum Materials Us, Llc Photoresist stripper
EP3767666B1 (en) * 2018-03-14 2024-03-27 Mitsubishi Gas Chemical Company, Inc. Cleaning solution for removing dry etching residue and method for manufacturing semiconductor substrate using same
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
WO2020195343A1 (ja) * 2019-03-26 2020-10-01 富士フイルムエレクトロニクスマテリアルズ株式会社 洗浄液
CN114772944B (zh) * 2022-04-22 2023-06-23 福州大学 一种耐溶剂的粘附性可调控光响应表面及其制备方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2149313A1 (de) * 1971-10-02 1973-04-05 Bayer Ag Verfahren zur kontinuierlichen durchfuehrung chemischer reaktionen sowie eine dafuer geeignete vorrichtung
GB1573206A (en) * 1975-11-26 1980-08-20 Tokyo Shibaura Electric Co Method of trating surfaces of intermediate products obtained in the manufacture of semiconductor devices
US4628023A (en) * 1981-04-10 1986-12-09 Shipley Company Inc. Metal ion free photoresist developer composition with lower alkyl quaternary ammonium hydrozide as alkalai agent and a quaternary ammonium compound as surfactant
US4374920A (en) * 1981-07-27 1983-02-22 American Hoechst Corporation Positive developer containing non-ionic surfactants
DE3444068A1 (de) * 1984-12-03 1986-06-05 Henkel KGaA, 4000 Düsseldorf Mittel und verfahren zum nachbehandeln gewaschener waesche
DE3444055A1 (de) * 1984-12-03 1986-06-19 Henkel KGaA, 4000 Düsseldorf Stabilisierte desinfektionsmittelkonzentrate
DE3530282A1 (de) * 1985-08-24 1987-03-05 Hoechst Ag Verfahren zum entschichten von lichtgehaerteten photoresistschichten
DE3537441A1 (de) 1985-10-22 1987-04-23 Hoechst Ag Loesemittel zum entfernen von photoresists
US4744834A (en) * 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
JPH01120552A (ja) 1987-11-02 1989-05-12 Tama Kagaku Kogyo Kk ポジ型フォトレジスト用現像液
JPH01243528A (ja) 1988-03-25 1989-09-28 Toshiba Corp 表面処理方法
SU1641773A1 (ru) * 1988-07-26 1991-04-15 Белорусский технологический институт им.С.М.Кирова Способ получени высокодисперсного диоксида кремни
US5102777A (en) 1990-02-01 1992-04-07 Ardrox Inc. Resist stripping
US5091103A (en) 1990-05-01 1992-02-25 Alicia Dean Photoresist stripper
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
EP0540261B1 (en) 1991-10-31 1997-05-28 STMicroelectronics, Inc. Process of removing polymers in semiconductor vias
JPH0641773A (ja) 1992-05-18 1994-02-15 Toshiba Corp 半導体ウェーハ処理液
EP0578507B1 (en) 1992-07-09 2005-09-28 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US5308745A (en) * 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
JP3174823B2 (ja) 1992-12-01 2001-06-11 株式会社ピュアレックス シリコンウェーハの洗浄方法
JP3315749B2 (ja) 1993-02-24 2002-08-19 日立化成工業株式会社 水溶性レジストの剥離方法及び剥離液
DE69421193T2 (de) * 1993-11-29 2000-08-24 Henkel Corp Mittel und Verfahren zur Metallbehandlung
US5419779A (en) * 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5597983A (en) * 1994-02-03 1997-01-28 Sgs-Thomson Microelectronics, Inc. Process of removing polymers in semiconductor vias
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5498293A (en) * 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5563119A (en) * 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
US5561105A (en) * 1995-05-08 1996-10-01 Ocg Microelectronic Materials, Inc. Chelating reagent containing photoresist stripper composition
US5885362A (en) 1995-07-27 1999-03-23 Mitsubishi Chemical Corporation Method for treating surface of substrate
JPH09319098A (ja) 1996-05-27 1997-12-12 Rohm Co Ltd レジスト膜用剥離液
TW416987B (en) * 1996-06-05 2001-01-01 Wako Pure Chem Ind Ltd A composition for cleaning the semiconductor substrate surface
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5817610A (en) * 1996-09-06 1998-10-06 Olin Microelectronic Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US5759973A (en) * 1996-09-06 1998-06-02 Olin Microelectronic Chemicals, Inc. Photoresist stripping and cleaning compositions
US5709756A (en) * 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
US5868916A (en) * 1997-02-12 1999-02-09 Sachem, Inc. Process for recovering organic hydroxides from waste solutions
US5907947A (en) * 1997-11-26 1999-06-01 Poole; James Terry Safety lawn mower blade
US6057240A (en) * 1998-04-06 2000-05-02 Chartered Semiconductor Manufacturing, Ltd. Aqueous surfactant solution method for stripping metal plasma etch deposited oxidized metal impregnated polymer residue layers from patterned metal layers
US6465403B1 (en) 1998-05-18 2002-10-15 David C. Skee Silicate-containing alkaline compositions for cleaning microelectronic substrates
JP2000089466A (ja) * 1998-09-08 2000-03-31 Fuji Photo Film Co Ltd 感光性平版印刷版用支持体の製造方法及び平版印刷版用支持体並びに感光性平版印刷版
JP2001144044A (ja) * 1999-11-11 2001-05-25 Hitachi Chem Co Ltd 金属用研磨液及びそれを用いた研磨方法
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
JP2003059866A (ja) * 2001-08-21 2003-02-28 Hitachi Chem Co Ltd Cmp研磨剤及び基板の研磨方法
US6793738B2 (en) * 2002-03-28 2004-09-21 General Electric Company Method for processing acid treatment solution, solution processed thereby, and method for treating articles therewith
JP3754986B2 (ja) * 2002-03-29 2006-03-15 日本化学工業株式会社 研磨剤用組成物およびその調製方法
KR20060014388A (ko) 2003-05-02 2006-02-15 이케이씨 테크놀로지, 인코포레이티드 반도체 공정에서의 에칭후 잔류물의 제거 방법

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102197124B (zh) * 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
CN102317995A (zh) * 2009-03-18 2012-01-11 夏普株式会社 有源矩阵基板和显示装置
US9280025B2 (en) 2009-03-18 2016-03-08 Unified Innovative Technology, Llc Active matrix substrate and display device
US9201308B2 (en) 2009-08-31 2015-12-01 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
US8518865B2 (en) 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
CN104804903B (zh) * 2010-01-29 2018-10-30 恩特格里斯公司 附有金属布线的半导体用清洗剂
CN104804903A (zh) * 2010-01-29 2015-07-29 安格斯公司 附有金属布线的半导体用清洗剂
CN102893218B (zh) * 2010-05-12 2015-08-19 易安爱富科技有限公司 光阻剥离剂组成物
CN102893218A (zh) * 2010-05-12 2013-01-23 易安爱富科技有限公司 光阻剥离剂组成物
CN102289159A (zh) * 2010-06-18 2011-12-21 拉姆科技有限公司 用于除去光致抗蚀剂的组合物及利用其形成半导体图案的方法
CN103809394A (zh) * 2012-11-12 2014-05-21 安集微电子科技(上海)有限公司 一种去除光阻蚀刻残留物的清洗液
CN103809394B (zh) * 2012-11-12 2019-12-31 安集微电子科技(上海)股份有限公司 一种去除光阻蚀刻残留物的清洗液
US9834746B2 (en) 2013-10-21 2017-12-05 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on surfaces
CN105873691A (zh) * 2013-12-06 2016-08-17 富士胶片电子材料美国有限公司 用于去除表面上的残余物的清洗调配物
US11401487B2 (en) 2013-12-06 2022-08-02 Fujifilm Electronics Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
CN105873691B (zh) * 2013-12-06 2018-04-20 富士胶片电子材料美国有限公司 用于去除表面上的残余物的清洗调配物
CN108485840A (zh) * 2013-12-06 2018-09-04 富士胶片电子材料美国有限公司 用于去除表面上的残余物的清洗调配物
US11286444B2 (en) 2013-12-06 2022-03-29 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US10696933B2 (en) 2013-12-06 2020-06-30 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US10253282B2 (en) 2013-12-06 2019-04-09 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US11618867B2 (en) 2013-12-06 2023-04-04 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US10927329B2 (en) 2013-12-06 2021-02-23 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US10415005B2 (en) 2013-12-06 2019-09-17 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
CN108485840B (zh) * 2013-12-06 2020-12-29 富士胶片电子材料美国有限公司 用于去除表面上的残余物的清洗调配物
US11639487B2 (en) 2013-12-06 2023-05-02 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
CN109790028A (zh) * 2016-10-06 2019-05-21 富士胶片电子材料美国有限公司 用于移除半导体基材上残余物的清洁制剂
CN106773563A (zh) * 2016-12-27 2017-05-31 昆山欣谷微电子材料有限公司 四丙基溴化胺无水剥离液
CN110177903A (zh) * 2017-01-17 2019-08-27 恩特格里斯公司 高阶节点工艺后端处理的蚀刻后残留物去除
WO2018176880A1 (zh) * 2017-03-30 2018-10-04 深圳市华星光电半导体显示技术有限公司 一种阵列基板的制作方法
CN107026120A (zh) * 2017-03-30 2017-08-08 深圳市华星光电技术有限公司 一种阵列基板的制作方法
US10453874B2 (en) 2017-03-30 2019-10-22 Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Manufacturing method for array substrate
CN107346095A (zh) * 2017-09-14 2017-11-14 江阴江化微电子材料股份有限公司 一种半导体制程正性光刻胶去胶液及应用
US11407966B2 (en) 2018-03-28 2022-08-09 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
CN112424327A (zh) * 2018-07-20 2021-02-26 恩特格里斯公司 含腐蚀抑制剂的清洗组合物
CN112805630B (zh) * 2019-11-20 2022-04-05 松下知识产权经营株式会社 抗蚀剂剥离液
CN112805629B (zh) * 2019-11-20 2022-02-15 松下知识产权经营株式会社 抗蚀剂剥离液
CN112805629A (zh) * 2019-11-20 2021-05-14 松下知识产权经营株式会社 抗蚀剂剥离液
CN112805630A (zh) * 2019-11-20 2021-05-14 松下知识产权经营株式会社 抗蚀剂剥离液
CN115323383A (zh) * 2022-08-09 2022-11-11 河南大学 离子液体缓蚀剂改性的水滑石复合涂层的制备方法及其在镁合金防腐中的应用
CN115323383B (zh) * 2022-08-09 2023-10-27 河南大学 离子液体缓蚀剂改性的水滑石复合涂层的制备方法及其在镁合金防腐中的应用

Also Published As

Publication number Publication date
CA2544198C (en) 2011-07-26
TWI350431B (en) 2011-10-11
TW200523690A (en) 2005-07-16
IL175146A0 (en) 2006-09-05
KR101132533B1 (ko) 2012-04-03
WO2005043245A2 (en) 2005-05-12
CN1875325B (zh) 2011-01-26
US20070060490A1 (en) 2007-03-15
JP4620680B2 (ja) 2011-01-26
US7671001B2 (en) 2010-03-02
ZA200603387B (en) 2007-10-31
NO20062438L (no) 2006-07-26
KR20060113906A (ko) 2006-11-03
BRPI0416067A (pt) 2007-01-02
EP1692572A2 (en) 2006-08-23
WO2005043245A3 (en) 2005-08-25
SG147482A1 (en) 2008-11-28
JP2007510307A (ja) 2007-04-19
IL175146A (en) 2011-03-31
CA2544198A1 (en) 2005-05-12

Similar Documents

Publication Publication Date Title
CN1875325B (zh) 含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物
JP4758055B2 (ja) マイクロエレクトロニクス基板洗浄用の安定化アルカリ性組成物
US7534753B2 (en) pH buffered aqueous cleaning composition and method for removing photoresist residue
US20070179072A1 (en) Cleaning formulations
EP1488286A1 (en) Ph buffered compositions for cleaning semiconductor substrates
TWI611276B (zh) 光微影用剝離液及圖型形成方法
WO2009021400A1 (fr) Composition de nettoyage pour retirer une réserve
JP2013511063A (ja) 非水系レジスト剥離液組成物
JP2005532423A (ja) エッチング残留物を除去するための非腐食性洗浄組成物
JP6231423B2 (ja) フォトリソグラフィ用剥離液及びパターン形成方法
JP2006343604A (ja) ホトリソグラフィ用洗浄液およびこれを用いた基板の処理方法
WO2014071689A1 (zh) 一种去除光阻残留物的清洗液
WO2014079145A1 (zh) 一种去除光刻胶的清洗液
JP5871562B2 (ja) フォトリソグラフィ用剥離液及びパターン形成方法
KR20080098310A (ko) 레지스트 박리액 조성물 및 이를 이용한 레지스트의박리방법
KR20140044482A (ko) 전자소자용 세정액 조성물
JP4165209B2 (ja) レジスト剥離剤
JP2001330970A (ja) 微細パターン用ポリマー剥離液組成物
KR20030082767A (ko) 수용액에서의 전해질의 전기전도도가 높은 물질을 이용한레지스트 박리액 조성물
KR20080098311A (ko) 레지스트 박리액 조성물 및 이를 이용한 레지스트의박리방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110126

Termination date: 20131020