CN1673410B - 适应热膨胀的喷头装备 - Google Patents

适应热膨胀的喷头装备 Download PDF

Info

Publication number
CN1673410B
CN1673410B CN2005100716031A CN200510071603A CN1673410B CN 1673410 B CN1673410 B CN 1673410B CN 2005100716031 A CN2005100716031 A CN 2005100716031A CN 200510071603 A CN200510071603 A CN 200510071603A CN 1673410 B CN1673410 B CN 1673410B
Authority
CN
China
Prior art keywords
shower nozzle
support
edge
slideway
air intake
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2005100716031A
Other languages
English (en)
Other versions
CN1673410A (zh
Inventor
J·M·怀特
W·N·斯特林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1673410A publication Critical patent/CN1673410A/zh
Application granted granted Critical
Publication of CN1673410B publication Critical patent/CN1673410B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16BDEVICES FOR FASTENING OR SECURING CONSTRUCTIONAL ELEMENTS OR MACHINE PARTS TOGETHER, e.g. NAILS, BOLTS, CIRCLIPS, CLAMPS, CLIPS OR WEDGES; JOINTS OR JOINTING
    • F16B35/00Screw-bolts; Stay-bolts; Screw-threaded studs; Screws; Set screws
    • F16B35/04Screw-bolts; Stay-bolts; Screw-threaded studs; Screws; Set screws with specially-shaped head or shaft in order to fix the bolt on or in an object
    • F16B35/041Specially-shaped shafts
    • F16B35/044Specially-shaped ends
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D19/00Pallets or like platforms, with or without side walls, for supporting loads to be lifted or lowered
    • B65D19/38Details or accessories
    • B65D19/385Frames, corner posts or pallet converters, e.g. for facilitating stacking of charged pallets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Fire-Extinguishing By Fire Departments, And Fire-Extinguishing Equipment And Control Thereof (AREA)
  • Nozzles (AREA)

Abstract

用于适应喷头热膨胀的装置。在本发明的第一种情况中,喷头通过在支架上设置一个喷头边缘被可移动地支撑。第二种情况中,喷头通过许多用狭槽中滑动的销钉附着于喷头、室壁或二者之上的吊钩悬挂于室壁,以允许吊钩径向滑动来适应喷头的径向热膨胀。第三种情况中,喷头通过许多杆或柔性线悬挂在真空室的壁上。第四种情况中,喷头于其外周附近固定到一具有较喷头大的热膨胀系数的第二材料上。第五种情况中,喷头后安装一加热器以减少喷头的顶表面和底表面之间的温差或者减少从工件到喷头的热传递。

Description

适应热膨胀的喷头装备
技术领域
本发明涉及适应热膨胀的喷头装备。
背景技术
电子器件,例如平板显示器和集成电路,通常用一系列料层被沉积到工件上的淀积工艺步骤以及沉积材料被蚀刻成预定图案的蚀刻工艺步骤来制造。这些工艺一般在真空室中完成。
通常,用在淀积或蚀刻工艺中的化学试剂中含有一种或更多种气体,共同称为工艺气体,这些气体通过定位于工件正上方的喷头分配到真空室中。工艺气体通过分布在喷头表面上成百上千的孔口来分配以产生工件附近工艺气体的预定空间分布。
在等离子体工艺中,喷头也可能与射频电源电气连接以被用作电极。这种情况下,在喷头与射频电源之间必须形成可靠的低阻抗电气连接。
上述由射频提供能量的喷头在于1989年8月8日授权给Chang等人的美国专利4,854,263中进行了一般性的描述。
典型地,工件是充分平整的,喷头有一个朝向工件的表面(在此称为喷头的“前表面”),该表面也充分平整。可选择地,喷头的前表面可能有轻微的凸或凹的曲率以使工件上进行的淀积或蚀刻工艺的空间一致性增到最大。
喷头通常会被在真空室中完成的工艺加热。在非等离子体加工中,工件通过诸如电阻式加热或辐射加热之类的方法加热,这些方法通常产生到喷头的热传递。在等离子气体加工中,等离子气体是额外的热源。
一般来说,加热会导致喷头的热膨胀,这会扭曲喷头前表面的原始外形(也即,平整度和曲率)。这种扭曲会削弱在工件上进行的工艺的空间一致性所以是不符合要求的。
如许多常规设计中那样,当喷头的外周刚性连接到真空室壁时喷头前表面平整度或曲率的变形特别容易发生。因为外周是固定的,喷头的热膨胀将导致喷头表面弯曲。
解决应热膨胀而产生的喷头前表面平整度或曲率的变形问题的一个方法是将喷头利用柔性板固定,如在前文提及本申请要求优先权的专利申请号09/922,219中描述的那样。
柔性板要求一些小心的操作以避免对板的损坏。因此,需要更容易操作的替代设计。
发明内容
在优选实施例详细说明的第2,3部分中描述的本发明的第一种情况中,喷头或扩散器通过在支架设置喷头边缘而进行支撑。喷头边缘能够在支架的表面自由滑动,因此允许喷头应温度变化而径向膨胀或收缩时,几乎没有或者完全没有应力和扭曲力,这些力在喷头与室固定、无滑动地安装时将会产生。因此,本发明能够最小化或者消除由于热膨胀或收缩而产生的喷头前表面形状(例如平整度或曲率)的任何变形。
在详细说明的第4部分中描述的本发明的第二种情况中,喷头通过许多附着于喷头、室壁或二者之上的吊钩悬挂于室壁,在狭槽中滑动的销钉允许吊钩径向滑动来适应喷头的径向热膨胀。
在详细说明的第5部分中描述的本发明的第三种情况中,喷头由许多柔性线悬挂于真空室的壁上。
在详细说明的第6部分中描述的本发明的第四种情况中,喷头由许多杆悬挂在真空室的壁上。每根杆的上端通过第一铰接接头安装在真空室壁上,每根杆的下端通过第二铰接接头安装在喷头上。铰接接头允许杆应喷头的热膨胀而转动。
在详细说明的第7部分中描述的本发明的第五种情况中,喷头于靠近其外周处连接到较喷头具有更大热膨胀系数的第二材料上。尽管第二材料一般不会如喷头那样被加热,但其较大的热膨胀系数可以使其在室中完成的工艺加热下而以与喷头大致相同的量膨胀。这种安装喷头的设计适应喷头的热膨胀而无需滑道或柔性悬挂装置。
在详细说明的第8部分中描述的本发明的第六种情况中,一个加热器安装在喷头后方。该加热器的一个优点是升高喷头的温度减少从工件到喷头的热传递。加热器的另一个优点是它能防止由于室中工艺产生的热传递而使得喷头的前表面变得比其背面更热,从而减少或消除由于前表面和背面之间的温差而造成的前表面弯曲。
附图说明
图1是包括一个由可滑动地置于支架上的喷头边缘支撑的喷头的等离子体室侧面的局部剖面示意图。
图2是图1中喷头边缘以及支架的垂直剖面详图。
图3是图2中仅矩形喷头以及支架的顶视图。
图4是图3方案的一种变形的顶视图其中喷头是圆柱形,仅示出喷头以及支架。
图5是喷头边缘和支架的一种改进型的垂直剖面详图其进一步包括凸缘以防止颗粒掉落。
图6是图5中的喷头边缘和支架的另一种实施例的垂直剖面详图其中吊钩将支架连接到进气支管顶壁。
图7是具有用以与具有在狭槽中滑动的销钉的吊钩紧密结合的狭槽的喷头的水平剖面视图。
图8和9分别是由具有在狭槽中滑动的销钉的吊钩悬挂的喷头的垂直剖面图以及垂直剖面详图。
图10是图9中实施例的一种变形的垂直剖面详图其中喷头边缘包括一个凹槽以捕捉颗粒。
图11是图9中实施例的一种变形的垂直剖面详图其中销钉和狭槽是倒置的。
图12是图8和9中进气支管的垂直剖面详图具有在喷头边缘和进气支管顶壁的外周之间延伸的气封。
图13和14分别是图8和9中进气支管的垂直剖面详图和分解透视图,在气体排出孔和边缘之间具有连接到喷头上的气封。
图15是图8和9中进气支管的一种变形的垂直剖面视图其中吊钩足够宽以用作进气支管的气封侧壁。
图16是图15中进气支管一种变化的水平剖面视图其中吊钩包括重叠的侧向延伸。
图17是一个实施例一角的垂直剖面详图其中喷头用线悬挂于进气支管的顶壁。
图18是图17中实施例仅喷头、线和进气支管顶壁的分解透视图。
图19是图17中实施例的一种变形的一角的垂直剖面详图其中用来密封进气支管侧边的板朝向悬挂线的内部。
图20是一个实施例一角的垂直剖面详图其中喷头由安装有铰接接头的杆悬挂。
图21和22分别是温度补偿元件和喷头的垂直剖面视图和分解透视图。
图23是加热器和喷头的垂直剖面视图。
具体实施方式
1.等离子体室概述
图1表示一个具有按照本发明一个实施例中的方式悬挂的喷头的真空室,其中连接到喷头上的吊钩是在连接到室壁上的支架上自由滑动的。在描述新的悬挂装置之前,先说明真空室的其他部件。
真空室用来使工件或工件10经受化学加工,该加工是在工件上制造半导体或其他电子器件的一系列步骤中的一步。工件由工件支座12,也称为卡盘或基座支撑在室内。在室内加工的工件10的通常的例子包括在上面制造平板显示器的矩形玻璃工件或在上面制造集成电路的圆形半导体晶片。
真空室有外壳或室壁为内室提供真空封闭。在图示的实施例中,室的侧壁和底壁制成单一壁。室壁的顶部由铰接的盖子16和进气支管顶壁18形成。人员能够通过掀起盖子16接近内室。O形圈19(一些没有示出)在侧壁14、盖子16和进气支管顶壁18之间形成真空密封。侧壁14和底壁13、盖子16和进气支管顶壁18都视为室壁的部分。
当谈及本专利说明书中室的部件的不同侧面或表面时,我们用术语“前”和“背”来分别指朝向和远离工件的侧面或表面。例如,工件支座12的顶表面称为工件支座的前表面,而喷头22的底表面和顶表面分别称为喷头的前表面23和喷头的背面。
当在工件上进行制造半导体或其他电子器件的加工时,一种或更多种的气体通过进气支管分配到室内。进气支管包括进气支管顶壁18,通过它气体进入进气支管以及喷头22(也称作扩散器或气体分配盘),通过它气体从进气支管流入等离子体室内部。进气支管进一步包括进气支管侧壁,也称为气封侧壁,其在顶壁18和喷头22之间延伸形成气封。进气支管顶壁,侧壁和喷头共同圈起一个称为进气支管的内部区域或气室26的空间。
一未示出的外部气源给进气支管顶壁18上的至少一个进气孔28提供工艺气体,通过进气孔工艺气体流入进气支管的气室26。工艺气体此时通过喷头22上一个或更多个,典型地成百上千个气体排出孔30从气室流入室的内部。
图1-5所示的实施例中,进气支管的气封侧壁主要由覆盖室的盖子16内表面的绝缘衬里24组成。在图6所示的另一实施例中,进气支管侧壁主要包括将在下面描述的吊钩70。在图12-14和17-20所示的各种实施例中,柔性板起到了进气支管气封柔性侧壁88的作用。
进气支管的侧壁将形成充分的气密密封——即,对气体泄漏的充分的阻抗——所以大部分通过进气孔28流入气室的气体会流经喷头气体排出孔30进入真空室内部而不是通过进气支管侧壁的缝隙泄漏。可以接受的泄漏量取决于在工件上所进行的加工,但在大多数工艺中泄漏量应少于百分之十。也就是说,通过进气孔28进入气室的少于百分之十(十分之一)的气体将通过进气支管侧壁泄漏,这样应有至少百分之九十的气体通过气体排出孔30分配进真空室。在最坏的情况下,应有不超过百分之四十的进入气室的气体通过进气支管侧壁泄漏。
一个未示出的常规真空泵在室中保持预定水平的真空度并通过环形排气狭缝32从室中排出工艺气体和反应产物,然后进入环形排气集气室33,最后通过未示出的排气通道到泵。
在图示的优选实施例中,喷头22是一个3厘米厚的铝板。其最好应足够厚以便当室中形成真空时其不会在大气压力下有较大的变形。
一些在这种室中进行的工件制造工艺,例如热化学气相淀积(thermal CVD)工艺,在没有等离子体的情况下进行。许多其他的工艺,例如等离子体增强化学气相淀积(PECVD)或等离子体蚀刻工艺,需要等离子体。用在等离子体工艺中的真空室称为等离子体室。
在一种类型的等离子体室中,等离子体被在室中利用连接到电极上的射频(RF)电源电容式地将电源与等离子体联系起来而在室中发生或持续。在上述等离子体室中,喷头一般起到了一个电极的作用,无论是被连接到电路接通的室壁,还是被与室壁电路绝缘并与RF电源的不接地的(RF hot)输出端电气连接。因此,提供喷头以充分的传导率和可靠性的电气接触来接触高电平,典型地千瓦数量级的射频电源是很重要的。
喷头上的气体排出孔30应具有比等离子体暗区宽度小的直径以便防止等离子体室内的等离子体进入气室26,也就是,位于喷头22与顶壁或进气支管顶壁18之间的内部区域。暗区的宽度,以及由此气体排出孔的最佳直径,取决于室内压力和室内所要进行的特定的半导体制造工艺的其他参数。可选择地,为了进行使用特别难分离的试剂气体的等离子体加工,采用如上文引用的Chang等人的美国专利4,854,263中所描述的具有窄的入口和较宽的、喇叭形的出口的喷嘴是理想的。
进气支管最好也包括由一个未示出的直径较进气孔28稍大并用柱悬挂于喷嘴下的圆盘34构成的气体入口导流片。导流片阻挡气体从进气口28直线流入位于喷头中心的直接相邻的气体排出孔30,从而有助于均衡通过喷头中心和外围的相应气体流速。
在进气支管顶壁和喷头是强射频(RF hot)的应用中,绝缘衬里24,35安装于那些强射频(RF hot)元件和电接地的室盖子16之间。为了集中室中位于工件支座12和喷头之间区域的等离子体,室中靠近喷头或工件支座的其他金属表面通常用绝缘衬里覆盖。举例来说,图1显示了覆盖室盖子16下面的绝缘衬里36和覆盖室侧壁14的绝缘衬里38。
罩39一般连接在室盖子16的顶部以保护人员免于与强射频(RFhot)顶壁18或喷头意外接触。罩39不会深入讨论因为它对于此讨论的其他室部件的功能性是不重要的。
室构件应由不会污染室中的半导体制造工艺并能抵抗工艺气体腐蚀的材料组成。铝是除将在下文描述的O形圈和绝缘垫片和衬里外的所有构件的首选材料。
常规等离子体CVD和蚀刻室的设计和运行在下列通常授权的美国专利中说明,每个专利的全部内容作为本专利说明书的参考文献:White等人的1998年12月1日授权的美国专利5,844,205;以及Chang等人的1989年8月8日授权的美国专利4,854,263。
2.喷头的滑动支撑
如在上文标题为“发明背景技术”的部分中叙述的那样,由在室加工所产生的热量导致喷头22的热膨胀。如果喷头刚性地并不可弯曲地固定在室壁18上,这种膨胀可导致喷头弯曲以致喷头的前表面或底表面23变形,也就是,以致底表面的平整度或曲率改变或变形。
图1-3描述了该问题的一种解决办法,其通过在喷头支架54上设置喷头的环形边缘52来支撑喷头以使喷头边缘在支架顶表面可自由滑动。因此,当喷头应其自身温度变化而径向膨胀和收缩时,喷头边缘沿支架分别向外和向内滑动。这种滑动的自由基本上消除了喷头上应这种径向热膨胀和收缩而产生的应力。
支架54可连接到能够支持喷头重量的真空室的任何部分。最好,支架直接或间接连接到室壁18上,在图示的优选实施例中,支架是覆盖盖子16内表面的绝缘衬里24的向内突出伸出部。
如在本专利申请要求优先权的美国专利6,477,980中说明的那样,最小化从喷头外周到喷头直接或间接安装于其上的真空室内任何冷的部件(例如室盖子16和室侧壁14)的热传递是理想的。特别地,真空室内安装或支撑喷头的结构最好应在喷头和上述的冷的室部件之间介入高的热阻抗。最小化上述热传递有至少两方面的优点:第一,使喷头温度达到最大值以致从工件到喷头的热损耗减到最小;第二,通过将喷头外周比喷头中心损失热快的程度减到最小将喷头底表面温度的空间一致性增到最大。
将该原理应用到本发明中,支架54或位于支架和室内任何大且冷的构件(例如室盖子16和室侧壁14)中的任何部件最好应在喷头和那些冷的构件之间介入高热阻抗。在图3所示的实施例中,这通过用具有低导热系数的绝缘材料制造绝缘衬里和其整体支架54来实现,以致该绝缘衬里和支架在喷头和室盖子16之间介入高热阻抗。
如在前面第1部分中描述的那样,一种或更多种工艺气体通过进气支管分配到室中。进气支管包括进气支管顶壁18,喷头22(也称为扩散器或气体分配盘),和在顶壁18和喷头之间延伸的气封侧壁以便进气支管侧壁,顶壁和喷头共同圈起一个称为气室26或进气支管内部区域的容积。在图1-5的实施例中,进气支管侧壁主要由绝缘衬里24,支架54,和喷头边缘52组成。
同样如在前面第1部分中描述的那样,进气支管的气封侧壁应形成充分的气密密封以致通过进气孔28流入气室的大部分气体将通过喷头气体排出孔30进入真空室内部而不是通过进气支管侧壁上的缝隙泄漏。因此,喷头边缘52和喷头支架54不应有过大的缝隙,无论在单一部件上或相邻部件之间,通过这些缝隙大量工艺气体会从气室泄漏。通过进气孔28进入气室的气体最好应少于百分之十(十分之一)通过进气支管侧壁泄漏,这样应有至少百分之九十的气体通过气体排出孔30分配到真空室中。因此,上述缝隙的总横截面积(“侧壁缝隙面积”)最好应小于喷头排出孔最窄部分的总横截面积(“喷头孔口面积”)的百分之十(十分之一)。在最坏的情况下,侧壁缝隙面积应小于喷头孔口面积的百分之四十。
边缘和支架都可作为一个连续件制造,也可作为紧密地邻接以便形成充分的气密密封的复合件制造。例如,图3图示了是连续件的喷头边缘52,而支架54从中突出的绝缘衬里24具有分别覆盖矩形室盖子16的四个内表面的四个不同部分。喷头边缘52可作为喷头的整体部分机械加工,或者它可以是连接到喷头外周附近的开口中心的矩形结构。
图4表示了该设计怎样适应于圆柱形而非矩形的喷头22。在这个实施例中,喷头边缘52,喷头支架54,以及绝缘衬里24都是圆柱形的并且与喷头22同心。
为了提供到喷头的可靠的,高导电性RF电连接,RF电缆56(称为上电缆)可电连接到进气支管的顶壁18上,而第二RF电缆57(称为下电缆)可直接连接在顶壁18和喷头之间,如图2所示。
在喷头边缘52和喷头支架54之间的滑动接触的摩擦会磨损接触面而产生颗粒。覆盖室盖子16下侧的绝缘衬里36最好在支架54下部分地延伸使得捕捉住大部分或全部上述颗粒以防止它们掉落到工件10上。可选地,喷头可包括位于之前所提到的喷头边缘52之下的第二环形边缘或凹槽58用以捕捉可能被绝缘衬里36遗漏的颗粒。
为了减少喷头边缘52底表面和喷头支架54顶表面间的摩擦和磨损,可将光滑、低摩擦的滑道或承座61连接到其中一个或两个表面上。例如,滑道可以是杆或一个或更多个嵌入其中一个表面的滚珠。可选地,滑道可与上述表面内可允许滑道在其中自由滚动的承窝配合。
图5显示了图2设计的一种变形,其中喷头支架54的内端具有一个向上延伸的凸缘62以确保由支架和喷头边缘52之间的磨损产生的颗粒被支架捕捉以使其不会掉落到工件上。为了给支架的凸缘62形成空隙,喷头边缘52的外端应具有以至少稍大于支架凸缘62的高度的量向下延伸的环形凸缘64,所以喷头凸缘64的下端是喷头边缘52和支架54之间接触的唯一的点。喷头凸缘64应置于径向向外于支架凸缘62的支架54的面向上的表面上。优选地,如上文描述的滑道61连接到喷头凸缘64的底表面。
为了防止支架的凸起的凸缘62撞击喷头的侧面并由此在喷头向外滑动时产生颗粒,喷头边缘52最好应具有比支架大的径向宽度。更准确地说,喷头边缘的凸缘64应以较喷头凸缘64所滑动的支架顶表面的径向宽度大的量由喷头侧面径向向外间隔。
3.吊钩从顶壁悬挂喷头支架
图6显示了与图5所示实施例一致的另一个实施例,除了喷头支架54不是连接到绝缘衬里24上。作为替代的,喷头支架54用吊钩70悬挂于进气支管的顶壁18上。图6所示的实施例与图1-5所示的实施例一样通过允许喷头边缘52在其放置的支架54表面滑动而适应喷头的径向热膨胀。除下面描述的区别以外,所有与图1-5的实施例相关的变形和设计因素也适应图6的实施例。
如果顶壁18,吊钩70,支架54,滑道61,和喷头边缘52都由诸如铝或其他金属一样的导电材料组成,这些构件将电气连接顶壁18与喷头22使得可靠地将RF电能从顶壁导通至喷头。置于支架上的喷头的重量有助于实现位于喷头边缘和支架间接触区域的可靠的、高导电性的电连接。因此,如图2的实施例中那样不需要在顶壁和喷头之间连接单独的电缆。
和本发明要求优先权的美国专利6,477,980所描述的柔性悬挂装置不同,吊钩70不必是柔性的,因为喷头边缘是在支架上自由滑动的。吊钩70可以与顶壁18作为一个单一的,整体的部分一起制造,或是一个单独部分栓固于,或是附着于顶壁18上。
如前面第2部分说明的那样,进气支管最好应形成足够的气密封以防止大量工艺气体从气室26泄漏。如上面叙述的那样,过度的泄漏量值取决于工件上进行的加工,但在几乎所有应用中泄漏量应小于从喷头气体排出孔30流过的气体的40%,最好小于10%。在图6所述的吊钩70将喷头支架连接到进气支管顶壁18上的实施例中,吊钩70与喷头支架54和喷头边缘52协同起到进气支管侧壁的作用。因此,这些构件的每一个不论在任一构件中还是相邻的构件间最好不应有过大的缝隙,通过这些缝隙多于上述份额的工艺气体会从气室泄漏。任何这些构件可被作为一个连续件制造,也可作为紧密邻接以便形成充分的气密密封的复合件制造。两种制造方法的例子都在前面第2部分中提供。
如在图1-5的实施例的讨论中说明的那样,在真空室中固定和支撑喷头的结构最好应在喷头和上述冷的室构件间介入高热阻抗。在具有吊钩70的图5所示的实施例中,这可以通过使吊钩在喷头和顶壁18间介入的热阻抗增到最大而实现。可通过使吊钩非常薄或用例如不锈钢那样的具有低导热系数的材料来制造吊钩以使上述热阻抗最大化,不锈钢具有比大多数其他适宜用在等离子体室内部的导电体低的导热系数。在我们的优选实施例中使用了前一方法,也就是,使吊钩足够的薄以致其具有预期的低导热系数。我们推荐用厚度为3毫米或更少,最好1毫米或更少的铝板来制造吊钩70。
4.具有在槽中滑动的销钉的吊钩
图7-9显示了一个实施例其中喷头22用由许多用滑入狭槽82的销钉81连接到喷头和顶壁18上的吊钩80组成的悬挂装置悬挂在进气支管的顶壁18上使得允许吊钩径向滑动来适应喷头径向的热膨胀。
如图7所示,喷头22的顶表面有许多狭槽82在喷头外周附近呈环形分布。每个狭槽的最长尺寸(长度)相对于喷头纵轴(见图1和7)径向延伸。尽管狭槽可在喷头本体上制造,但是如图7-9所示在喷头向外延伸的边缘78上制造狭槽更容易些。
在图示的矩形喷头中,喷头在其顶表面四角的每个角附近具有一个狭槽并在四角间中间位置具有一个狭槽。可选择地,喷头可具有更多或更少的狭槽,例如仅邻近角的四个狭槽,或仅位于各角中间位置的四个狭槽,或仅在喷头顶表面对边的两个狭槽,或仅三个圆周分布的狭槽。
对喷头上的每一个狭槽82来说,对应的吊钩80具有贯穿狭槽的销钉81。从销钉81的底端横向延伸的挂耳83宽于狭槽的横向宽度,也即,平行于喷头方位角或外周的狭槽尺寸。因此,如图9所示,挂耳83啮合并支持横向限定狭槽的喷头部分85的下面。(我们称横向限定狭槽的喷头部分为狭槽侧壁85。)
每个销钉81和其挂耳83可具有任何截面形状,例如圆形或矩形截面。举例来说,每个销钉可以采用螺栓,而每个销钉的挂耳可以是螺栓头。
如刚才所述的直接啮合并支持喷头的吊钩80称为吊钩的第一或最低“层”。在一个可能的实施例中,悬挂装置仅包括吊钩的单层,在这种情况下,每个吊钩应足够长以在喷头22和顶壁18间延伸。
更优选地,如图8和9所示,悬挂装置包括吊钩80的多层,所以当层的数目是整数N时,每个吊钩的高度近似为喷头和顶壁间距离的N等分。在图示的实施例中,喷头和顶壁间有吊钩的两层;也即,N=2。
每个吊钩80的顶表面有与喷头上相对应的狭槽具有相同方向的狭槽82。特别地,每个吊钩的狭槽的最长尺寸朝向平行于喷头半径,也即,垂直于喷头纵轴55(图1)。直接在其下方并邻接狭槽82,每个吊钩也具有比贯穿狭槽的销钉81的挂耳83宽的凹槽84,以便形成当销钉在狭槽中滑动时能使挂耳83在其中滑动的空腔。
进气支管顶壁18的底表面包括许多向下突出的销钉81和表层上吊钩80的数量相同。销钉81在靠近顶壁外周处圆周分布以使顶壁18上的每个销钉啮合表层上的每个吊钩。每个吊钩的底表面也有向下突出的销钉81。顶壁的每个销钉81和吊钩的每个销钉81具有如上文描述的挂耳83。
顶壁18的每个销钉通过与其对应吊钩80的狭槽82。我们将每个吊钩的狭槽称作如喷头的每个狭槽的侧壁85一样具有侧壁85。也就是说,我们称横向限定狭槽82,并垂直悬于凹槽84之上的吊钩的部分为狭槽侧壁85。每个吊钩的狭槽侧壁85置于通过狭槽的销钉81的挂耳83上因为销钉的挂耳比狭槽的横向宽度宽,如图9所示。因此,销钉和挂耳支撑狭槽的侧壁85,而侧壁反过来支撑狭槽是其一部分的吊钩。
同样地,如果吊钩的层数多于一层,在最低层上的一层或更多层的每个吊钩支撑低于它的吊钩因为每个上述吊钩具有穿过直接位于其下的吊钩狭槽的销钉,且每个销钉具有比直接位于狭槽下的吊钩的相应狭槽82的横向宽度宽的挂耳83。
因此,最低层吊钩的挂耳和销钉共同支撑喷头的全部重量。顶壁的挂耳和销钉共同支撑吊钩最上层的重量。除最低层外的吊钩每层的挂耳和销钉共同支撑直接位于其下的下一吊钩层的重量。
因为销钉和狭槽允许每个吊钩相对于下面的喷头和上面的进气支管顶壁径向滑动,喷头可以应温度变化而自由膨胀和收缩。
图10说明,如果想要捕捉因喷头边缘78和将喷头边缘置于其上的销钉挂耳之间的磨损而产生的颗粒,边缘可作以下修改:(1)位于狭槽82下的凹槽84,以及(2)位于凹槽下的固体部分79。
图11显示了另一种实施例其中销钉和狭槽是相对于图7-10所示的实施例倒置的,所以每个销钉81向上延伸进入其上的吊钩80或顶壁18的狭槽82,所以每个销钉的挂耳83的下面置于,并支撑于,位于其上的横向限定吊钩80或顶壁的狭槽82的狭槽侧壁85。无论如何,其中每个销钉81向下延伸到狭槽82中的图示实施例是优选的因为面朝上的凹槽84可捕捉由挂耳83和狭槽侧壁85间磨损而产生的颗粒,以便上述颗粒不会掉落到工件上。
如在前面第1部分中叙述的那样,一种或更多种的工艺气体通过进气支管被分配到室中。进气支管包括进气支管顶壁18,喷头22(也称为扩散器或气体分布盘),和在顶壁18和喷头间延伸的进气支管侧壁以致进气支管侧壁,顶壁和喷头共同圈起一个称为气室26或进气支管内部区域的容积。
在图8-11的任何一个实施例中,每个吊钩80的横向宽度不需比吊钩中凹槽84的横向宽度大许多。(我们用横向宽度表示在垂直于吊钩滑动方向的吊钩宽度,在图8中是吊钩从左至右的宽度。)因此,吊钩80用作进气支管的侧向密封可能是不够宽的。也就是说,如果在相邻吊钩间有大的横距,吊钩将不会形成进气支管的气封侧壁。
在那种情况下,进气支管的柔性气封侧壁88最好应当通过在进气支管顶壁18和喷头22之间增加一个或更多柔性气封构件形成。进气支管的柔性气封侧壁88应圈起在顶壁18的进气孔28和喷头的气体排出孔30之间延伸的容积或气室26。进气支管的柔性气封侧壁88应充分柔软以适应喷头径向的热膨胀。
图12显示了进气支管的一种合适的柔性气封侧壁88,其包含一个或更多连接到,并在进气支管顶壁18的外周和喷头22的边缘78间延伸的柔性板或膜。喷头55纵轴方向上板或膜的长度或高度应比横跨顶壁18和喷头之间的必要距离为大以以使柔性气封侧壁88具有足够的驰度或松度来适应喷头的热膨胀。就图示的矩形喷头来说,柔性气封侧壁88可以是围绕整个顶壁外周和喷头延伸的单层板,或它可以包括分别附着于顶壁和喷头四面的四个不同的板。
图13和14显示了另一个实施例其中柔性气封侧壁88的柔性板或膜的下端沿着径向向内于吊钩80并径向向外于气体排出孔30的表面附着于喷头22上。
喷头的重量完全由吊钩80而不是柔性气封侧壁88承受。因此,柔性气封侧壁的板88仅需足够强以经受住输入进气支管的气体和室内真空之间压差,而不需承受沉重的喷头。于是,板可以由足够薄以高度柔软的的材料组成。
图15显示了另一个实施例其中吊钩80起到进气支管气封侧壁的作用,消除了对附加柔性气封侧壁88的需求。这要求每个吊钩80具有足够大的横向宽度以致相邻吊钩的侧向端部充分地相互靠近来防止气室26中的大量工艺气体从吊钩间的缝隙泄漏而不是从喷头的气体排出孔30流过。
如在前面第1部分中叙述的那样,可接受的泄漏量取决于在工件上的加工,但在大多数加工中泄漏应少于百分之十。也就是说,应少于通过进气孔28进入气室26的百分之十(十分之一)的气体从进气支管侧壁泄漏,以使应至少百分之九十的气体通过气体排出孔30分配到真空室中。在最坏的情况下,应不多于进入气室气体的百分之四十通过进气支管侧壁泄漏。
因此,优选地,吊钩的横向宽度应足够大,并且吊钩间缝隙应足够小,以使吊钩间缝隙的总横截面积(“侧壁缝隙面积”)应小于喷头排出孔的最窄部分的总横截面积(喷头孔口面积)的百分之十(十分之一)。在最坏的情况下,侧壁缝隙面积应小于喷头孔口面积的百分之四十。
如果吊钩的相邻端部附着到柔性密封或弥合相邻吊钩间缝隙的膜盒(未示出)上,气体通过相邻吊钩横向端部间的缝隙的泄漏可以进一步减少。
图16显示了另一个设计其中吊钩80包括足够宽的侧向延伸89以致每一对相邻吊钩侧向延伸重叠。每一对重叠的延伸应间隔得足够靠近以使它们之间的缝隙阻碍气体从气室泄漏使得防止多于40%(五分之二),并最好少于10%(十分之一),的进入气室的气体通过所有上述缝隙泄漏而不是流经喷头气体排出孔30。可选择地,吊钩间缝隙的总横截面积应小于喷头排出孔最窄部分的总横截面积的40%(五分之二),并最好小于10%(十分之一)。
5.线悬挂装置
图17和18显示了一个实施例其中喷头22用三根或多根柔性线86悬挂。每根线的上端连接到进气支管顶壁18外周附近的一点上,而每根线的下端连接到喷头外周附近的一点上。上部连接点在顶壁18上圆周分布,而下部连接点在喷头上圆周分布。
在图示的优选实施例中,喷头和进气支管顶壁18是矩形的,线的数目是四,而线的连接点靠近喷头和进气支管顶壁四角。(为更清楚地显示四根线和它们的连接点,图17和18省略了除下面所述的四根线,喷头,进气支管顶壁,和柔性气封侧壁88外的全部构件。)
因为线是柔性的,喷头可以应温度变化自由膨胀和收缩而没有应力。
优选地,线由导电材料组成,以使线能够从进气支管顶壁传导RF电能到喷头。
因为线的直径是喷头的横向宽度的微小部分,线不能作为圈起气室或进气支管内部区域26的侧壁。因此,必须提供单独的柔性气封侧壁88来圈起进气支管的侧面。气封应充分柔软以适应喷头的热膨胀。
在前面第4部分(图12-14)中描述的柔性气封侧壁88的任何设计同样适合于与线悬挂装置86结合使用。图17和18显示了一个与图12所示类似的外周柔性气封侧壁88。图19显示了沿线86径向向内并沿气体排出孔30径向向外的表面附着于喷头22另一种柔性气封侧壁88,类似于图13和14所示的柔性气封侧壁88。
6.带有铰接接头的悬挂杆
图20显示了一个与图19类似的实施例,除了喷头是由杆90而不是线悬挂。每根杆的下端和上端分别用铰接接头91连接到喷头和进气支管顶壁上。铰接接头消除了对于杆应当柔软的任何要求,所以杆可以是粗的并且刚性的。
铰接接头可以是任何允许杆于节点处转动的常规设计,例如习用的滚珠和承窝连接。一个较便宜的滚珠和承窝接头的近似方法可将挂耳头拧入滚珠中以及承窝中的孔或狭槽中,如图20所示。狭槽可在横向或径向拉长以允许杆除转动外还有横向或径向滑动。
板应被提供以形成如前面标题为“线悬挂装置”的第5部分所述的进气支管侧向柔性气封侧壁。
7.具有高热膨胀系数的支撑用刚性固定件
图21和22显示了另一个设计其允许喷头被刚性固定,而不需要任何柔性或滑动构件,然而当它经受径向热膨胀和收缩时减少了喷头上的应力。这个设计的独特部件是由比喷头22所用材料具有更高的热膨胀系数的材料组成的喷头支撑件100。喷头刚性悬挂于高热膨胀系数的支撑件100上。悬挂装置包括至少一个垫片102,每个垫片具有一个附着在支撑件100外周附近的上端和一个附着在喷头22的外周附近的下端。
喷头支撑件100,垫片102,和喷头22共同圈起一个工艺气体在其经过喷头气体排出孔30分配进入真空室内部区域之前所流入的容积或气室101。这些构件应在气室周围提供充分的气密密封以致不超过可忽略量的气体不经喷头气体排出孔30而从气室内泄漏到真空室内部。
如果喷头为圆形,垫片102最好是圆柱形的。在图示的优选实施例中,喷头是矩形的,而垫片102是围绕一个开口中心的矩形结构。可选择地,多重垫片102可圆周分布于喷头22的外周,但此时在相邻垫片间应提供气封。
气体导管106具有一个附着于真空室顶壁18上的上端在那里其与进气孔28连在一起。气体导管106的下端附着于喷头支撑件100上在那里其与喷头支撑件上的至少一个气孔104连在一起。因此,气体导管106形成了一个工艺气体从进气孔28通过喷头支撑件上的气孔104,通过气室101,然后通过喷头气体排出孔30的流动路径。
这个设计的操作原理如下。通过气室101内的工艺气体的热传导和对流在喷头22和喷头支撑件100间传递热量。因此,喷头支撑件100的温度随着喷头温度的上升和下降而上升和下降。垫片102同样会在喷头和支撑件间传递热量。因为通过气体和垫片传递的热量低于百分之百的效率,支撑件一般比喷头温度低。因此,如果支撑件和喷头具有相同的热膨胀系数,支撑件将不会应在室中进行的工序而来的热量产生与喷头一样的膨胀和收缩。
尽管按照我们的发明,支撑件100的热膨胀系数应超出喷头热膨胀系数一个最恰当的量,以致支撑件将以近似喷头的量径向膨胀。换句话说,支撑件100应由热膨胀系数超出喷头一个最恰当的量的材料组成以使支撑件以与喷头应其较高的温度而产生的径向膨胀近似相等的量径向膨胀。支撑件的最佳热膨胀系数可通过用不同的材料制造支撑件100并选择使支撑件以与喷头应在室中进行的加工过程中可能遇到的温度变化而产生的近似相同的量的膨胀和收缩的材料来经验确定。
因为气体导管106于支撑件100中心附近处连接到的喷头支撑件上,那一点处的支撑件的径向膨胀与它的外周附近的径向膨胀相比是可以忽略的。因此,气体导管可以被刚性地连接到喷头支撑件上。在一个预期实施例中,气体导管支撑喷头支撑件和喷头的全部重量。更优选地,加热器和喷头重量的另外的支撑可由于支撑件100的外周和顶壁18间安装任何在前面第2-6部分中描述的柔性或滑动悬挂装置来提供。可选择地,在如本专利说明书开头标题为“相关申请参照”的部分中确定的,本申请要求优先权的两个在先申请中描述的任何柔性悬挂装置的设计,可附加在支撑件100和顶壁18之间。在图21和22所示的优选实施例中,如第5部分描述的图17-19的实施例中那样,上述支撑是由四根分别连接到喷头四角的柔性线来提供的。
气体导管106,支撑件100,和垫片102当它们均由导电材料组成时可以在室顶壁18和喷头间传导RF电能。因为任何这些构件可被刚性连接,任何上述连接点可被容易地实现以形成良好的RF电导。
8.喷头后方的加热器
上述的各种悬挂装置的设计试图防止喷头应整个喷头温度的增加和减小的径向膨胀和收缩而产生的应力。并未完全被前述设计提到的另外一个问题是喷头的前(低)表面一般比喷头的背(顶)面热,因为前面面对室中的主热源:(1)工件支座12,其典型地包括一个加热器来给工件10加热;和(2)在等离子加工的情况下,位于喷头和工件支座间区域内的等离子体。相反地,喷头的背面暴露于典型地比喷头冷的面,例如进气支管顶壁18。
当喷头的前表面比背面热的时候,前表面将比背面膨胀更多,导致喷头朝向热源向外弯曲。也就是说,前表面变得更凸起。如上面标题为“背景技术”的部分所述的那样,喷头前表面的任何外形(平整度或曲率)的变化是不符合要求的,因为它可以削弱工件上进行的加工的空间一致性。
图23显示了弯曲问题的一个解决办法。图23的设计与图16中所示的设计相似,除了具有高温度系数的喷头支撑件100被加热器110取代。加热器通过给喷头的背面加热从而克服了喷头背面比正面冷的问题。加热器具有另一个优点是提升整个喷头的温度,这有利于减少工件的热损耗。
加热器可采用任何习用的热源,例如嵌入加热器本体中的电热元件,或穿过加热器本体泵送热流体的管道。热量以辐射,传导和对流的方式通过流经加热器和喷头间的气室区域101的工艺气体从加热器110被传递到喷头22的背面。这些传热机构足够好所以垫片102不需是良好的热导体。
因为前面段落中描述的热传递,喷头的温度几乎和加热器的温度一样或仅稍冷些。因此,如果加热器本体是由与喷头具有相同,或稍低的热膨胀系数的材料组成,加热器和喷头将应温度而经受近似相同的径向膨胀量。因此,垫片102可被刚性附加在加热器和喷头之间。
加热器110应具有至少一个像上面第7部分中描述的喷头支撑件100上的气孔104那样的气孔104。同样地,如在第7部分中描述的那样,气体导管106可被刚性附加在真空室顶壁18和加热器110之间,使二者共同承受加热器和喷头的重量,并且从进气孔28通过加热器的气孔104,气室101,然后通过喷头气体排出孔30传送工艺气体进入内室。
气体导管106,加热器110,和垫片102可从室顶壁18向喷头传导RF电能如果它们都是由导电材料组成。因为每个这些构件可被刚性连接,每个上述连接点可被容易地实现以致形成良好的RF电导。
垫片102可如上述第7部分中那样作业从而与加热器110和喷头22一起为气室101形成充分的气封包围。如同样在第7部分中描述的那样,对加热器和喷头重量的额外的支撑可由在加热器和顶壁18之间附加如前述第2-6部分中描述的任何柔性或滑动悬挂装置提供。可选择地,在如本专利说明书开头标题为“相关申请参照”部分中确定的,本申请要求优先权的两个在先申请中描述的任何柔性悬挂装置的设计,可附加在加热器110和顶壁18之间。在图23所示的优选实施例中,上述支撑是由四根分别连接矩形加热器110和顶壁18四角的柔性线来提供的。
可选择地,图23所示的悬挂线86可用前面第2-4部分中描述的一种悬挂装置代替,其中支撑喷头的构件(支架54或吊钩70或80),与室壁18联合密封圈起进气支管气室26。在此情况下,不需要另外的密封的气体导管106。这种选择在希望提供许多在加热器上空间分布的气孔104以在气室101中实现工艺气体的预期的空间分布和混合时是特别有用的。
一般地说,在前面第2-6部分或在上述在先申请中描述的柔性或滑动悬挂装置的任何设计中,其中描述的喷头22可被在这第8部分中描述的包含加热器110,垫片102,和喷头22的全套装置代替。在前面的设计中柔性或滑动悬挂装置构件或吊钩连接到喷头上的位置,上述悬挂装置构件或吊钩可代替地连接到第8部分描述的组合中的加热器110,垫片102,或喷头22上。

Claims (24)

1.用于支撑喷头的装置,包括:
一具有一面朝上的表面的支架;和
一喷头,所述喷头具有环形边缘、一顶表面、一底表面和一个或多个在所述顶表面和底表面之间延伸的气体排出孔;
其中,所述喷头的边缘包括一面朝下的表面;并且
所述喷头安置在所述支架的面朝下的表面上,并且所述喷头的边缘沿着所述支架的面朝上的表面自由滑动。
2.根据权利要求1所述的装置,其特征在于,还包括:
具有室壁的一真空室,所述室壁形成一密封的内室;
其中,所述喷头安置在所述内室中;并且
所述支架连接到所述室壁上。
3.根据权利要求1所述的装置,其特征在于,还包括:
具有室壁的一真空室,所述室壁形成一密封的内室;以及
覆盖面朝所述内室的室壁的一表面的一绝缘衬套;
其中,所述喷头安置在所述内室中;并且
所述绝缘衬套包括所述支架。
4.根据权利要求1所述的装置,其特征在于,所述边缘是所述喷头的一个整体部分。
5.根据权利要求1所述的装置,其特征在于,
所述喷头是矩形的;并且
所述边缘是连接在所述喷头上的一矩形框架。
6.根据权利要求1所述的装置,其特征在于,
所述喷头是矩形的;
所述边缘是矩形的,并具有四个侧面;并且
所述支架包括分别邻接所述边缘的四个相应的侧面的四个不同部分。
7.根据权利要求1所述的装置,其特征在于,
所述喷头是圆柱形的;
所述边缘是环形的;并且
所述支架是环形的。
8.根据权利要求1所述的装置,其特征在于,所述支架是一个连续部分。
9.根据权利要求1所述的装置,其特征在于,
所述支架包括多个部分;并且
所述支架相邻的部分邻接。
10.根据权利要求1所述的装置,其特征在于,
所述支架包括多个被缝隙分开的部分;并且
所述支架的相邻部分间的缝隙的总横截面积小于所述喷头的各气体排出孔的最窄部分的总横截面积的十分之一。
11.根据权利要求1所述的装置,其特征在于,还包括:
具有室壁的一真空室,所述室壁形成一密封的内室,其中,所述室壁包括一个或多个进气孔;
其中,所述喷头安置在所述内室中;并且
所述支架包括多个部分;
所述支架的每个部分的外部连接到所述室壁上,以便所述室壁、喷头和支架的部分共同形成与所述室壁的进气孔和所述喷头的气体排出孔流体连通的一密封容积;并且
所述支架的相邻部分被充分小的缝隙分开,以便一定量的气体通过所述进气孔后有至少百分之九十的所述气体通过所述喷头的气体排出孔。
12.根据权利要求1所述的装置,其特征在于,
所述喷头的边缘还包括:(i)一插槽;和(ii)可滚动地安装在所述插槽内的一滑道;并且,所述喷头的边缘的面朝下的表面包括所述滑道的一个表面。
13.根据权利要求1所述的装置,其特征在于,
所述支架还包括:(i)一插槽;和(ii)可滚动地安装在所述插槽内的一滑道;并且,所述支架的面朝上的表面包括所述滑道的一个表面。
14.根据权利要求1所述的装置,其特征在于,
所述喷头的边缘还包括一向下延伸的凸缘,其中,所述边缘的面朝下的表面是所述向下延伸的凸缘的一个表面;并且
所述支架还包括一向上延伸的凸缘,所述凸缘径向向内地位于所述支架的所述面朝上的表面处。
15.根据权利要求14所述的装置,其特征在于,
所述喷头的边缘的所述向下延伸的凸缘还包括一插槽和可滚动地安装在所述插槽内的一滑道;并且,所述喷头的边缘的面朝下的表面包括所述滑道的一个表面。
16.根据权利要求14所述的装置,其特征在于,
所述向上延伸的凸缘具有一特定高度;并且,所述向下延伸的凸缘的高度大于所述向上延伸的凸缘的高度。
17.根据权利要求1所述的装置,其特征在于,还包括:
具有至少一个进气孔的一进气支管顶壁;
具有上部和下部的一吊钩,其中,所述上部连接在所述进气支管顶壁上;并且
所述支架连接到所述吊钩的下部上。
18.根据权利要求17所述的装置,其特征在于,所述进气支管顶壁、吊钩、支架、喷头边缘和喷头均是导电的,并串连在一起,以便在所述进气支管顶壁与所述喷头之间形成导电连接。
19.根据权利要求1所述的装置,其特征在于,还包括:
一滑道或承座,位于喷头边缘的底表面和支架的顶表面之间。
20.根据权利要求1所述的装置,其特征在于,还包括:
一滑道或承座,位于喷头边缘的底表面和支架的顶表面之间,以使得所述滑道或承座减小喷头边缘的底表面和支架的顶表面之间的摩擦。
21.根据权利要求1所述的装置,其特征在于,还包括:
一滑道,位于喷头边缘的底表面和支架的顶表面之间;以及
承窝,位于喷头边缘的底表面中;
其中所述滑道与承窝配合使得所述滑道能在承窝中自由滚动。
22.根据权利要求1所述的装置,其特征在于,还包括:
一滑道,位于喷头边缘的底表面和支架的顶表面之间;以及
承窝,位于喷头支架的顶表面中;
其中所述滑道与承窝配合使得所述滑道能在承窝中自由滚动。
23.根据权利要求19至22中任一项所述的装置,其特征在于,所述滑道是杆。
24.根据权利要求19至22中任一项所述的装置,其特征在于,所述滑道是滚珠。
CN2005100716031A 2004-02-24 2005-02-24 适应热膨胀的喷头装备 Active CN1673410B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US54733804P 2004-02-24 2004-02-24
US60/547,338 2004-02-24

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201110128778.7A Division CN102212798B (zh) 2004-02-24 2005-02-24 适应热膨胀的喷头装备

Publications (2)

Publication Number Publication Date
CN1673410A CN1673410A (zh) 2005-09-28
CN1673410B true CN1673410B (zh) 2011-07-06

Family

ID=35046181

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2005100716031A Active CN1673410B (zh) 2004-02-24 2005-02-24 适应热膨胀的喷头装备
CN201110128778.7A Active CN102212798B (zh) 2004-02-24 2005-02-24 适应热膨胀的喷头装备

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201110128778.7A Active CN102212798B (zh) 2004-02-24 2005-02-24 适应热膨胀的喷头装备

Country Status (5)

Country Link
US (1) US7722925B2 (zh)
JP (2) JP4698251B2 (zh)
KR (1) KR100769963B1 (zh)
CN (2) CN1673410B (zh)
TW (1) TWI290962B (zh)

Families Citing this family (488)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
TWI306782B (en) * 2005-09-02 2009-03-01 Applied Materials Inc Suspension for showerhead in process chamber
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7674394B2 (en) 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
KR100801711B1 (ko) * 2007-02-27 2008-02-11 삼성전자주식회사 반도체 식각 및 증착 공정들을 수행하는 반도체 제조장비들 및 그를 이용한 반도체 소자의 형성방법들
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
TWI488547B (zh) * 2007-12-25 2015-06-11 Applied Materials Inc 電漿室裝置
JP5749020B2 (ja) * 2008-01-31 2015-07-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Rf電力をプラズマチャンバに結合するための装置
KR100970201B1 (ko) * 2008-03-17 2010-07-14 주식회사 아이피에스 진공처리장치
US8373092B2 (en) * 2008-04-09 2013-02-12 The Boeing Company Purge and sealant cap for selective laser sintering build frame
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
KR101569752B1 (ko) 2008-06-12 2015-11-19 주성엔지니어링(주) 기판처리장치
KR101529669B1 (ko) * 2008-06-12 2015-06-18 주성엔지니어링(주) 기판처리장치
US20100112212A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
KR101617781B1 (ko) * 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
KR101190750B1 (ko) * 2009-02-19 2012-10-12 엘지전자 주식회사 실리콘 박막 제조방법 및 제조장치
CN102414777B (zh) * 2009-02-22 2014-12-03 迈普尔平版印刷Ip有限公司 真空腔中产生真空的带电粒子光刻设备及方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101099533B1 (ko) 2009-07-23 2011-12-28 세메스 주식회사 기판 처리 유닛 및 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
JP5835722B2 (ja) * 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
WO2011146571A2 (en) * 2010-05-21 2011-11-24 Applied Materials, Inc. Tightly-fitted ceramic insulator on large-area electrode
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012216744A (ja) * 2010-11-10 2012-11-08 Sharp Corp 気相成長装置及び気相成長方法
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN203205393U (zh) 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
JP6114698B2 (ja) * 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102154691B (zh) * 2011-05-30 2012-11-21 东莞市中镓半导体科技有限公司 狭缝式多气体输运喷头结构
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5753450B2 (ja) * 2011-06-30 2015-07-22 東京エレクトロン株式会社 成膜装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
CN103266310B (zh) * 2013-05-24 2015-05-20 上海和辉光电有限公司 分散板及具有该分散板的镀膜装置
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
DE102015110440A1 (de) * 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR102389078B1 (ko) * 2015-03-05 2022-04-22 주성엔지니어링(주) 기판 처리장치에 구비되는 샤워헤드
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6368732B2 (ja) * 2016-03-29 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10577689B2 (en) 2016-09-23 2020-03-03 Applied Materials, Inc. Sputtering showerhead
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10801106B2 (en) * 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) * 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US11598003B2 (en) 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7140525B2 (ja) * 2018-03-29 2022-09-21 株式会社アルバック 真空処理装置
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR102529845B1 (ko) * 2018-09-26 2023-05-08 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 챔버용 열 전도성 스페이서
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
KR102503465B1 (ko) * 2019-01-07 2023-02-24 가부시키가이샤 아루박 진공 처리 장치, 진공 처리 장치의 클리닝 방법
CN113261390B (zh) * 2019-01-07 2024-06-14 株式会社爱发科 真空处理装置
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20200093754A (ko) * 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7282646B2 (ja) * 2019-09-26 2023-05-29 株式会社アルバック 真空処理装置
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210105139A (ko) * 2020-02-18 2021-08-26 (주)포인트엔지니어링 가스 공급장치 및 이를 구비한 증착장치
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR102603678B1 (ko) * 2020-10-13 2023-11-21 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230335377A1 (en) * 2022-04-15 2023-10-19 Applied Materials, Inc. Showerhead assembly with heated showerhead

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US20050133160A1 (en) * 2003-12-23 2005-06-23 Kennedy William S. Showerhead electrode assembly for plasma processing apparatuses

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63187619A (ja) 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US5310453A (en) 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
JPH05299382A (ja) * 1992-04-21 1993-11-12 Mitsubishi Electric Corp プラズマ処理装置およびその方法
JP3155844B2 (ja) * 1992-10-20 2001-04-16 日本真空技術株式会社 真空処理装置の高周波電極
JP2662365B2 (ja) 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5350480A (en) 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
JPH09153481A (ja) * 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5844205A (en) 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5997642A (en) 1996-05-21 1999-12-07 Symetrix Corporation Method and apparatus for misted deposition of integrated circuit quality thin films
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5989652A (en) 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5994678A (en) 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US5968276A (en) 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JP3725325B2 (ja) * 1998-03-18 2005-12-07 株式会社日立製作所 半導体製造方法ならびに半導体製造装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
KR100267885B1 (ko) 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
KR100745495B1 (ko) 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
WO2000063956A1 (fr) * 1999-04-20 2000-10-26 Sony Corporation Procede et dispositif pour realiser un depot de couches minces, et procede pour la production d'un dispositif a semiconducteur a couches minces
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP3501715B2 (ja) 2000-03-21 2004-03-02 シャープ株式会社 プラズマプロセス装置
US6857387B1 (en) 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
JP2002045683A (ja) * 2000-08-08 2002-02-12 Hitachi Kokusai Electric Inc 基板処理装置
JP4381588B2 (ja) * 2000-10-25 2009-12-09 ソニー株式会社 加熱を伴う処理装置
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
KR100377096B1 (ko) * 2001-05-08 2003-03-26 (주)넥소 개선된 샤워헤드를 구비한 반도체 제조장치
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US20050133160A1 (en) * 2003-12-23 2005-06-23 Kennedy William S. Showerhead electrode assembly for plasma processing apparatuses

Also Published As

Publication number Publication date
US7722925B2 (en) 2010-05-25
KR20060042164A (ko) 2006-05-12
JP5366923B2 (ja) 2013-12-11
JP2005256172A (ja) 2005-09-22
CN1673410A (zh) 2005-09-28
TWI290962B (en) 2007-12-11
CN102212798A (zh) 2011-10-12
JP4698251B2 (ja) 2011-06-08
US20050183827A1 (en) 2005-08-25
CN102212798B (zh) 2015-01-21
KR100769963B1 (ko) 2007-10-25
TW200533776A (en) 2005-10-16
JP2011089208A (ja) 2011-05-06

Similar Documents

Publication Publication Date Title
CN1673410B (zh) 适应热膨胀的喷头装备
KR100434487B1 (ko) 샤워 헤드 및 이를 포함하는 박막 형성 장비
CN1949458B (zh) 具有用于气体注入和排出的两个相对袋的反应腔
JP4511722B2 (ja) 化学気相堆積用リアクタ
CN100548425C (zh) 分隔墙交换塔
US6079356A (en) Reactor optimized for chemical vapor deposition of titanium
US7429717B2 (en) Multizone heater for furnace
CN101802272A (zh) 具有冷却的背板的pecvd工艺腔室
CN101378989A (zh) 用于制备粒状多晶硅的高压流化床反应器
US20120269686A1 (en) Fluidized bed reactor
US4245696A (en) Apparatus for cooling hot gas
CN208328101U (zh) 一种立式化学气相沉积炉炉底加热装置
CN103062896A (zh) 电加热装置
US20060130764A1 (en) Susceptor for apparatus fabricating thin film
CN102745692A (zh) 流化床反应器
JPH11166697A (ja) ガス容器用の加熱装置
KR100666445B1 (ko) 유도결합형 플라즈마 처리장치의 유전체 덮개 온도유지장치
CN219280007U (zh) 一种沉积腔体
CN219868538U (zh) 一种电加热设备
CN219037688U (zh) 一种mvr换热器
CN216513980U (zh) 一种曲轴感应淬火装置
CN214058627U (zh) 一种罐式集装箱的保温结构
CN109075109A (zh) 全区域逆流热交换基板支撑件
CN117346520A (zh) 带钢感应加热密封式马弗炉装置
WO2010113299A1 (ja) 気相反応装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.