KR20060042164A - 열 팽창을 수용하기 위한 샤워헤드 장착부 - Google Patents
열 팽창을 수용하기 위한 샤워헤드 장착부 Download PDFInfo
- Publication number
- KR20060042164A KR20060042164A KR1020050015466A KR20050015466A KR20060042164A KR 20060042164 A KR20060042164 A KR 20060042164A KR 1020050015466 A KR1020050015466 A KR 1020050015466A KR 20050015466 A KR20050015466 A KR 20050015466A KR 20060042164 A KR20060042164 A KR 20060042164A
- Authority
- KR
- South Korea
- Prior art keywords
- showerhead
- gas inlet
- supporting
- hanger
- inlet manifold
- Prior art date
Links
- 238000000034 method Methods 0.000 claims description 98
- 125000006850 spacer group Chemical group 0.000 claims description 34
- 239000012530 fluid Substances 0.000 claims description 5
- 230000002093 peripheral effect Effects 0.000 claims description 5
- 238000005485 electric heating Methods 0.000 claims 1
- 239000000463 material Substances 0.000 abstract description 14
- 238000012546 transfer Methods 0.000 abstract description 11
- 239000007789 gas Substances 0.000 description 205
- 230000008569 process Effects 0.000 description 48
- 210000002381 plasma Anatomy 0.000 description 24
- 239000000725 suspension Substances 0.000 description 22
- 238000013461 design Methods 0.000 description 19
- 239000002245 particle Substances 0.000 description 13
- 238000007789 sealing Methods 0.000 description 10
- 238000004519 manufacturing process Methods 0.000 description 8
- 230000008602 contraction Effects 0.000 description 5
- 238000001816 cooling Methods 0.000 description 5
- 238000009826 distribution Methods 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- 230000004044 response Effects 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 230000008901 benefit Effects 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 239000012528 membrane Substances 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 230000006903 response to temperature Effects 0.000 description 3
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 230000031070 response to heat Effects 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 239000000758 substrate Substances 0.000 description 2
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 2
- 206010000060 Abdominal distension Diseases 0.000 description 1
- 238000005299 abrasion Methods 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 208000024330 bloating Diseases 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000006735 deficit Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 239000002470 thermal conductor Substances 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- F—MECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
- F16—ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
- F16B—DEVICES FOR FASTENING OR SECURING CONSTRUCTIONAL ELEMENTS OR MACHINE PARTS TOGETHER, e.g. NAILS, BOLTS, CIRCLIPS, CLAMPS, CLIPS OR WEDGES; JOINTS OR JOINTING
- F16B35/00—Screw-bolts; Stay-bolts; Screw-threaded studs; Screws; Set screws
- F16B35/04—Screw-bolts; Stay-bolts; Screw-threaded studs; Screws; Set screws with specially-shaped head or shaft in order to fix the bolt on or in an object
- F16B35/041—Specially-shaped shafts
- F16B35/044—Specially-shaped ends
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B65—CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
- B65D—CONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
- B65D19/00—Pallets or like platforms, with or without side walls, for supporting loads to be lifted or lowered
- B65D19/38—Details or accessories
- B65D19/385—Frames, corner posts or pallet converters, e.g. for facilitating stacking of charged pallets
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32522—Temperature
Abstract
Description
Claims (56)
- 상방 쪽 표면을 가지는 선반, 및주변 림, 상부면, 하부면, 및 상기 상부면과 상기 하부면 사이로 연장하는 하나 이상의 가스 오리피스를 가지는 샤워헤드를 포함하며,상기 샤워헤드의 림은 하방 쪽 표면을 포함하며,상기 샤워헤드는 상기 샤워헤드의 림의 하방 쪽 표면이 상기 선반의 상방 쪽 표면 상에 배치되고 상기 샤워헤드의 림이 상기 선반의 상방 쪽 표면을 따라 자유롭게 슬라이딩되도록 위치하는,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,챔버 내부를 둘러싸는 챔버 벽을 가지는 진공 챔버를 더 포함하며,상기 샤워헤드는 상기 챔버 내부에 위치하며,상기 선반은 상기 챔버 벽에 부착된,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,챔버 내부를 둘러싸는 챔버 벽을 가지는 진공 챔버, 및상기 챔버 내부 쪽 상기 챔버 벽의 표면을 덮는 유전체 라이너를 더 포함하 며,상기 샤워헤드는 상기 챔버 내부 내에 위치하고,상기 유전체 라이너는 상기 선반을 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,상기 림은 상기 샤워헤드와 일체로 형성된 부분인,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,상기 샤워헤드는 직사각형이며,상기 림은 상기 샤워헤드에 부착된 직사각형 프레임인,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,상기 샤워헤드는 직사각형이며,상기 림은 직사각형이고 4개의 측부를 가지며,상기 선반은 상기 림의 4개의 각각의 측부에 각각 접하는 4개의 개별 피스를 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,상기 샤워헤드는 원통형이며,상기 림은 고리형이며,상기 선반은 고리형인,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,상기 선반은 하나의 연속 피스인,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,상기 선반은 다수의 피스를 포함하며,상기 선반의 인접 피스가 접하는,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,상기 선반은 갭에 의해 분리되는 다수의 피스를 포함하며,상기 선반의 인접한 피스들 사이의 갭은 상기 샤워헤드의 유출 오리피스 각 각의 가장 좁은 부분의 단면적 총합의 10분의 1 보다 작은 총 단면적을 가지는,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,챔버 내부를 둘러싸는 챔버 벽을 가지는 진공 챔버를 더 포함하며,상기 챔버 벽은 하나 이상의 가스 유입 오리피스를 포함하고,상기 샤워헤드는 상기 챔버 내부에 위치하고,상기 선반은 다수의 피스를 포함하고,상기 선반의 각각의 피스의 외측부는 상기 챔버 벽에 부착되어 상기 챔버, 상기 샤워헤드, 및 상기 선반의 피스가 집합되어 상기 챔버 벽의 가스 유입 오리피스와 상기 샤워헤드의 가스 유출 오리피스와 유체 소통되는 용적부를 둘러싸며,상기 선반의 인접한 피스는, 가스 유입 오리피스를 통하여 일정한 양의 가스가 유동하여 상기 가스 양의 적어도 90퍼센트가 상기 샤워헤드의 가스 유출 오리피스를 통해 유동하도록 충분히 작은 갭에 의해 분리되는,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,상기 샤워헤드의 림은 (i) 소켓 및 (ii) 상기 소켓 내에서 롤링가능하게 장착되는 글라이드를 더 포함하며,상기 샤워헤드 림의 하방 쪽 표면은 상기 글라이드의 표면을 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,상기 선반은 (i) 소켓 및 (ii) 상기 소켓 내에서 롤링가능하게 장착되는 글라이드를 더 포함하며,상기 선반의 상방 쪽 표면은 상기 글라이드의 표면을 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,상기 샤워헤드의 림은 하방 연장 립을 더 포함하고, 상기 림의 하방 쪽 표면은 상기 하방 연장 립의 표면이며,상기 선반은 상기 선반의 상기 상방 쪽 표면의 반지름방향 내측에 위치되는 상방 연장 립을 더 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 14 항에 있어서,상기 샤워헤드 림의 하방으로 연장하는 립은 소켓 및 상기 소켓 내에 롤링가능하게 장착되는 글라이드를 더 포함하며,상기 샤워헤드 림의 하방 쪽 표면은 상기 글라이드의 표면을 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 14 항에 있어서,상기 상방으로 연장하는 립은 높이에 특징있고,상기 하방으로 연장하는 립은 상기 상방으로 연장하는 립의 높이 보다 더 큰 높이에 특징이 있는,샤워헤드를 지지하기 위한 장치.
- 제 1 항에 있어서,하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽, 및상부 및 하부를 가지는 행거를 더 포함하며,상기 행거의 상부는 상기 가스 유입 매니폴드 상부 벽에 부착되고, 상기 선반은 상기 행거의 하부에 부착되는,샤워헤드를 지지하기 위한 장치.
- 제 17 항에 있어서,상기 가스 유입 매니폴드 상부 벽, 상기 행거, 상기 선반, 상기 샤워헤드 림, 및 상기 샤워헤드는 각각 전기 전도성이고 서로 직렬로 부착되어 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이에 전기 전도성 연결을 제공하는,샤워헤드를 지지하기 위한 장치.
- 하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽,하나 이상의 가스 오리피스를 가지는 샤워헤드,하나 이상의 행거를 포함하는 제 1 행거 층, 및다수의 슬라이딩 조인트를 포함하며,상기 각각의 행거는 상부 및 하부를 포함하며,상기 각각의 행거의 상부는 상기 가스 유입 매니폴드 상부 벽에 부착되고,상기 각각의 슬라이딩 조인트는 슬롯 내에서 슬라이딩가능한 핀을 포함하며,상기 제 1 행거 층의 각각의 행거의 하부는 하나 이상의 슬라이딩 조인트에 의해 상기 샤워헤드에 부착되어 상기 제 1 행거 층의 각각의 행거와 상기 샤워헤드 사이의 상대적인 슬라이딩 운동을 가능하게 하고 상기 제 1 행거 층의 행거는 상기 샤워헤드를 지지하는,샤워헤드를 지지하기 위한 장치.
- 제 19 항에 있어서,상기 각각의 슬라이딩 조인트의 핀이 부착되어 상기 행거들 중 하나의 하부로부터 하방으로 연장하며,상기 각각의 슬라이딩 조인트의 슬롯이 상기 샤워헤드에 형성되는,샤워헤드를 지지하기 위한 장치.
- 제 20 항에 있어서,상기 각각의 핀은 상기 핀이 슬라이드되는 상기 슬롯의 횡방향 폭 보다 더 넓은 쇼울더를 포함하며,상기 샤워헤드는 상기 핀의 쇼울더에 배치되는,샤워헤드를 지지하기 위한 장치.
- 제 19 항에 있어서,상기 각각의 슬라이딩 조인트의 핀이 상기 샤워헤드에 부착되어 상기 샤워헤드로부터 상방으로 연장하며,상기 각각의 슬라이딩 조인트의 슬롯은 상기 행거들 중 하나의 하부에 형성되는,샤워헤드를 지지하기 위한 장치.
- 제 22 항에 있어서,상기 각각의 슬롯은 상기 슬롯이 형성되는 상기 행거의 하부에 있는 슬롯 측벽에 의해 제한되며,상기 각각의 핀은 상기 핀이 슬라이드되는 상기 슬롯의 횡방향 폭 보다 더 넓은 쇼울더를 포함하며,상기 각각의 핀의 쇼울더는 상기 핀이 슬라이드되는 상기 슬롯의 측벽 상에 배치되는,샤워헤드를 지지하기 위한 장치.
- 제 19 항에 있어서,상기 슬라이딩 조인트는 상기 샤워헤드의 둘레 근방에 분포된 위치에서 상기 샤워헤드에 부착되는,샤워헤드를 지지하기 위한 장치.
- 제 19 항에 있어서,상기 샤워헤드는 종방향 축선에 특징이 있고,상기 각각의 슬롯은 상기 샤워헤드의 종방향 축선에 수직 방향으로 가장 큰 치수를 가지는,샤워헤드를 지지하기 위한 장치.
- 제 19 항에 있어서,상기 제 1 행거 층의 각각의 행거의 상부가 상기 가스 유입 매니폴드 상부 벽에 직접 부착되는,샤워헤드를 지지하기 위한 장치.
- 제 19 항에 있어서,상기 제 1 행거 층의 각각의 행거의 상부는 상기 가스 유입 매니폴드 상부 벽에 슬라이드가능하게 부착되는,샤워헤드를 지지하기 위한 장치.
- 제 19 항에 있어서,상기 제 1 행거 층의 각각의 행거의 상부는 하나 이상의 슬라이딩 조인트에 의해 상기 가스 유입 매니폴드 상부 벽에 부착되며, 상기 하나 이상의 슬라이딩 조인트는 상기 제 1 행거 층의 각각의 행거와 상기 가스 유입 매니폴드 상부 벽 사이의 상대적인 운동을 허용하는,샤워헤드를 지지하기 위한 장치.
- 제 19 항에 있어서,하나 이상의 행거를 포함하는 제 2 행거 층을 더 포함하며,상기 제 2 행거 층의 각각의 행거가 상기 제 1 행거 층의 행거들 중 하나와 상기 가스 유입 매니폴드 상부 벽 사이에서 이들이 부착되며,상기 제 2 행거 층의 각각의 행거는 상부 및 하부를 포함하며,상기 제 2 행거 층의 각각의 행거의 상부는 상기 가스 유입 매니폴드 상부 벽에 부착되며,상기 제 2 행거 층의 각각의 행거의 하부는 하나 이상의 슬라이딩 조인트에 의해 상기 제 1 행거 층의 행거들 중 대응하는 하나의 행거의 상부에 부착되어 상기 제 1 행거 층의 각각의 행거와 상기 제 1 행거 층의 대응 행거 사이의 상대적인 슬라이딩 운동을 허용하고 상기 제 2 행거 층의 행거들은 상기 제 1 행거 층의 행 거들을 지지하는,샤워헤드를 지지하기 위한 장치.
- 하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽,하나 이상의 가스 오리피스를 가지는 샤워헤드,하나 이상의 행거를 포함하는 제 1 행거 층, 및다수의 슬라이딩 조인트를 포함하며,상기 각각의 행거의 하부는 상기 샤워헤드에 부착되고,상기 각각의 슬라이딩 조인트는 슬롯 내에서 슬라이딩가능한 핀을 포함하며,상기 제 1 행거 층의 각각의 행거의 상부는 하나 이상의 슬라이딩 조인트에 의해 상기 가스 유입 매니폴드 상부 벽에 부착되어 상기 제 1 행거 층의 각각의 행거와 상기 가스 유입 매니폴드 상부 벽 사이의 상대적인 슬라이딩 운동을 허용하고 상기 가스 유입 매니폴드 상부 벽은 상기 제 1 행거 층의 행거를 지지하는,샤워헤드를 지지하기 위한 장치.
- 하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽,하나 이상의 가스 오리피스를 가지는 샤워헤드,3개 이상의 가요성 와이어를 포함하며,상기 각각의 와이어는 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이에 부착되어 상기 와이어가 상기 가스 유입 매니폴드 상부 벽에 상기 샤워헤드를 매다는,샤워헤드를 지지하기 위한 장치.
- 제 31 항에 있어서,상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이에 부착되는 하나 이상의 가요성 시트를 더 포함하며,상기 시트는 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이로 연장하는 용적부를 둘러싸도록 위치하며,상기 용적부는 하나 이상의 가스 유입 매니폴드와 상기 샤워헤드의 가스 오리피스와 유체 소통되는,샤워헤드를 지지하기 위한 장치.
- 제 32 항에 있어서,상기 가요성 와이어가 상기 용적부의 외부에 위치하는,샤워헤드를 지지하기 위한 장치.
- 제 32 항에 있어서,상기 가요성 와이어가 상기 용적부 내에 위치하는,샤워헤드를 지지하기 위한 장치.
- 제 31 항에 있어서,상기 가스 유입 매니폴드 상부 벽, 상기 와이어, 및 상기 샤워헤드가 각각 전기 전도성이고 서로 직렬로 부착되어 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이의 전기 전도성 연결을 제공하는,샤워헤드를 지지하기 위한 장치.
- 하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽,하나 이상의 가스 오리피스를 가지는 샤워헤드,세 개 이상의 로드를 포함하며,상기 각각의 로드는 상기 가스 유입 매니폴드 상부 벽에 부착되는 제 1 단부 및 상기 샤워헤드에 부착되는 제 2 단부를 가져서 상기 로드가 상기 가스 유입 매니폴드 상부 벽에 상기 샤워헤드를 매달며,상기 각각의 로드는 하나 이상의 관절형 조인트를 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 36 항에 있어서,상기 각각의 로드는 상기 제 1 및 제 2 단부 사이로 연장하는 중앙 부분을 포함하며,상기 각각의 로드의 하나 이상의 관절형 조인트는 상기 로드의 중앙 부분과 상기 가스 유입 매니폴드 상부 벽 사이의 상기 로드의 제 1 단부에 위치하는,샤워헤드를 지지하기 위한 장치.
- 제 36 항에 있어서,상기 각각의 로드는 상기 제 1 단부와 상기 제 2 단부 사이로 연장하는 중앙 부분을 포함하며,상기 각각의 로드의 하나 이상의 관절형 조인트가 상기 로드의 중앙부와 상기 샤워헤드 사이에서 상기 로드의 제 2 단부에 위치하는,샤워헤드를 지지하기 위한 장치.
- 제 36 항에 있어서,상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이에 부착되는 하나 이상의 가요성 시트를 더 포함하며,상기 시트는 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이로 연장하는 용적부를 둘러싸도록 위치하며,상기 용적부는 상기 샤워헤드의 가스 오리피스와 상기 하나 이상의 가스 유입 오리피스와 유체 소통되는,샤워헤드를 지지하기 위한 장치.
- 제 39 항에 있어서,상기 가요성 로드는 상기 용적부의 외부에 위치하는,샤워헤드를 지지하기 위한 장치.
- 제 39 항에 있어서,상기 가요성 로드는 상기 용적부내에 위치하는,샤워헤드를 지지하기 위한 장치.
- 제 36 항에 있어서,상기 가스 유입 매니폴드 상부 벽, 상기 로드, 및 상기 샤워헤드는 각각 전기 전도성이며 서로 직렬로 부착되어 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이에 전기 전도성 연결을 제공하는,샤워헤드를 지지하기 위한 장치.
- 하나 이상의 가스 유출 오리피스를 가지는 샤워헤드,하나 이상의 가스 오리피스를 가지는 지지 부재, 및상기 지지 부재와 상기 샤워헤드 사이에 부착되는 스페이서를 포함하며,상기 스페이서는 상기 스페이서, 상기 지지 부재 및 상기 샤워헤드가 집합되어 상기 지지 부재의 가스 오리피스로부터 상기 샤워헤드의 가스 유출 오리피스로 가스가 유동할 수 있는 용적부를 둘러 싸도록 위치하며,상기 지지 부재는 상기 샤워헤드 보다 더 높은 열 팽창 계수를 가지는,샤워헤드를 지지하기 위한 장치.
- 제 43 항에 있어서,하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽, 및상기 가스 유입 매니폴드의 가스 유입 오리피스와 상기 지지 부재의 가스 오리피스 사이에 연결되는 가스 도관을 더 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 44 항에 있어서,상기 가스 유입 매니폴드 상부 벽, 상기 가스 도관, 상기 지지 부재, 상기 스페이서, 및 상기 샤워헤드는 각각 전기 전도성이고 서로 직렬로 부착되어 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이의 전기 전도성 연결을 제공하는,샤워헤드를 지지하기 위한 장치.
- 제 43 항에 있어서,상기 각각의 스페이서의 제 2 단부가 상기 샤워헤드의 둘레 근방에서 상기 샤워헤드에 부착되는,샤워헤드를 지지하기 위한 장치.
- 제 43 항에 있어서,상기 샤워헤드는 직사각형이며,상기 스페이서는 개방 중앙부를 가지는 직사각형 프레임을 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 43 항에 있어서,상기 샤워헤드는 4개의 측부를 구비한 직사각형이며,상기 스페이서는 상기 샤워헤드의 4개의 각각의 측부에 인접하여 각각 부착되는 4개의 스페이서 세그먼트를 포함하는,샤워헤드를 지지하기 위한 장치.
- 하나 이상의 가스 유출 오리피스를 가지는 샤워헤드,하나 이상의 가스 오리피스를 가지는 히터, 및상기 히터와 상기 샤워헤드 사이에 부착되는 스페이서를 포함하며,상기 스페이서는 상기 스페이서, 상기 히터 및 상기 샤워헤드가 집합되어 상기 히터의 가스 오리피스로부터 상기 샤워헤드의 가스 유출 오리피스로 가스가 유동할 수 있는 용적부를 둘러싸도록 위치하는,샤워헤드를 지지하기 위한 장치.
- 제 49 항에 있어서,하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽, 및상기 가스 유입 매니폴드의 가스 유입 오리피스와 상기 히터의 가스 오리피스 사이에 연결되는 가스 도관을 더 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 50 항에 있어서,상기 가스 유입 매니폴드 상부 벽, 상기 가스 도관, 상기 히터, 상기 스페이서 및 상기 샤워헤드는 각각 전기 전도성이며 서로 직렬로 부착되어 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이에 전기 전도성 연결을 제공하는,샤워헤드를 지지하기 위한 장치.
- 제 49 항에 있어서,상기 각각의 스페이서의 제 2 단부가 상기 샤워헤드의 둘레 근방에서 상기 샤워헤드에 부착되는,샤워헤드를 지지하기 위한 장치.
- 제 49 항에 있어서,상기 샤워헤드는 직사각형이며,상기 스페이서는 개방 중앙부를 가지는 직사각형 프레임을 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 49 항에 있어서,상기 샤워헤드는 4개의 측부를 가지는 직사각형이며,상기 스페이서는 상기 샤워헤드의 4개의 각각의 측부에 인접하게 각각 부착되는 4개의 스페이서 세그먼트를 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 49 항에 있어서,상기 히터는 몸체에 매립되는 전기 가열 부재를 포함하는,샤워헤드를 지지하기 위한 장치.
- 제 49 항에 있어서,상기 히터는 고온 유체가 펌핑될 수 있는 하나 이상의 채널을 가지는 몸체를 포함하는,샤워헤드를 지지하기 위한 장치.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US54733804P | 2004-02-24 | 2004-02-24 | |
US60/547,338 | 2004-02-24 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20060042164A true KR20060042164A (ko) | 2006-05-12 |
KR100769963B1 KR100769963B1 (ko) | 2007-10-25 |
Family
ID=35046181
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020050015466A KR100769963B1 (ko) | 2004-02-24 | 2005-02-24 | 샤워헤드를 지지하기 위한 장치 |
Country Status (5)
Country | Link |
---|---|
US (1) | US7722925B2 (ko) |
JP (2) | JP4698251B2 (ko) |
KR (1) | KR100769963B1 (ko) |
CN (2) | CN102212798B (ko) |
TW (1) | TWI290962B (ko) |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2009078921A1 (en) * | 2007-12-19 | 2009-06-25 | Applied Materials, Inc. | Plasma reactor gas distribution plate with path splitting manifold |
WO2009116780A2 (ko) * | 2008-03-17 | 2009-09-24 | 주식회사 아이피에스 | 진공처리장치 |
US7674394B2 (en) | 2007-02-26 | 2010-03-09 | Applied Materials, Inc. | Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution |
US8512509B2 (en) | 2007-12-19 | 2013-08-20 | Applied Materials, Inc. | Plasma reactor gas distribution plate with radially distributed path splitting manifold |
KR20170065009A (ko) * | 2015-12-02 | 2017-06-12 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 |
KR20170077033A (ko) * | 2015-12-25 | 2017-07-05 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 |
US10808317B2 (en) | 2013-07-03 | 2020-10-20 | Lam Research Corporation | Deposition apparatus including an isothermal processing zone |
KR20210036807A (ko) * | 2019-09-26 | 2021-04-05 | 가부시키가이샤 아루박 | 진공 처리 장치 |
Families Citing this family (464)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6772827B2 (en) * | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) * | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
KR100490049B1 (ko) * | 2003-04-14 | 2005-05-17 | 삼성전자주식회사 | 일체형 디퓨저 프레임을 가지는 cvd 장치 |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US7712434B2 (en) * | 2004-04-30 | 2010-05-11 | Lam Research Corporation | Apparatus including showerhead electrode and heater for plasma processing |
US8074599B2 (en) * | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US8328939B2 (en) * | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US7429410B2 (en) | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
US7641762B2 (en) * | 2005-09-02 | 2010-01-05 | Applied Materials, Inc. | Gas sealing skirt for suspended showerhead in process chamber |
TWI306782B (en) * | 2005-09-02 | 2009-03-01 | Applied Materials Inc | Suspension for showerhead in process chamber |
JP5044931B2 (ja) * | 2005-10-31 | 2012-10-10 | 東京エレクトロン株式会社 | ガス供給装置及び基板処理装置 |
US7476291B2 (en) * | 2006-09-28 | 2009-01-13 | Lam Research Corporation | High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation |
US7776178B2 (en) * | 2006-10-25 | 2010-08-17 | Applied Materials, Inc. | Suspension for showerhead in process chamber |
KR100801711B1 (ko) * | 2007-02-27 | 2008-02-11 | 삼성전자주식회사 | 반도체 식각 및 증착 공정들을 수행하는 반도체 제조장비들 및 그를 이용한 반도체 소자의 형성방법들 |
US20080236495A1 (en) * | 2007-03-27 | 2008-10-02 | Structured Materials Inc. | Showerhead for chemical vapor deposition (CVD) apparatus |
US20080317973A1 (en) * | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
EP2232958A4 (en) * | 2007-12-25 | 2011-01-19 | Applied Materials Inc | ASYMMETRIC RF EXCITATION FOR PLASMA CHAMBER ELECTRODE |
CN101933402B (zh) * | 2008-01-31 | 2013-03-27 | 应用材料公司 | 用于等离子体腔室的电极的多相射频电源 |
US8373092B2 (en) * | 2008-04-09 | 2013-02-12 | The Boeing Company | Purge and sealant cap for selective laser sintering build frame |
US20090258162A1 (en) * | 2008-04-12 | 2009-10-15 | Applied Materials, Inc. | Plasma processing apparatus and method |
KR101529669B1 (ko) * | 2008-06-12 | 2015-06-18 | 주성엔지니어링(주) | 기판처리장치 |
KR101569752B1 (ko) | 2008-06-12 | 2015-11-19 | 주성엔지니어링(주) | 기판처리장치 |
WO2010051233A2 (en) * | 2008-10-31 | 2010-05-06 | Applied Materials, Inc. | Adjustable gas distribution apparatus |
US9328417B2 (en) | 2008-11-01 | 2016-05-03 | Ultratech, Inc. | System and method for thin film deposition |
WO2010094002A2 (en) * | 2009-02-13 | 2010-08-19 | Applied Materials, Inc. | Rf bus and rf return bus for plasma chamber electrode |
KR101190750B1 (ko) * | 2009-02-19 | 2012-10-12 | 엘지전자 주식회사 | 실리콘 박막 제조방법 및 제조장치 |
CN102414777B (zh) * | 2009-02-22 | 2014-12-03 | 迈普尔平版印刷Ip有限公司 | 真空腔中产生真空的带电粒子光刻设备及方法 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
KR101099533B1 (ko) | 2009-07-23 | 2011-12-28 | 세메스 주식회사 | 기판 처리 유닛 및 장치 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
WO2011041332A2 (en) * | 2009-09-29 | 2011-04-07 | Applied Materials, Inc. | Off-center ground return for rf-powered showerhead |
TWI430714B (zh) * | 2009-10-15 | 2014-03-11 | Orbotech Lt Solar Llc | 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法 |
JP5721132B2 (ja) * | 2009-12-10 | 2015-05-20 | オルボテック エルティ ソラー,エルエルシー | 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法 |
US9850576B2 (en) * | 2010-02-15 | 2017-12-26 | Applied Materials, Inc. | Anti-arc zero field plate |
KR101810065B1 (ko) * | 2010-05-21 | 2017-12-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 대면적 전극 상에 억지 끼워맞춤된 세라믹 절연체 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
JP2012216744A (ja) * | 2010-11-10 | 2012-11-08 | Sharp Corp | 気相成長装置及び気相成長方法 |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
JP6054314B2 (ja) | 2011-03-01 | 2016-12-27 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 基板搬送及びラジカル閉じ込めのための方法及び装置 |
US11171008B2 (en) * | 2011-03-01 | 2021-11-09 | Applied Materials, Inc. | Abatement and strip process chamber in a dual load lock configuration |
CN103403852B (zh) | 2011-03-01 | 2016-06-08 | 应用材料公司 | 双负载闸配置的消除及剥离处理腔室 |
US20120231181A1 (en) * | 2011-03-09 | 2012-09-13 | Applied Materials, Inc. | Insulation coverage of cvd electrode |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
CN102154691B (zh) * | 2011-05-30 | 2012-11-21 | 东莞市中镓半导体科技有限公司 | 狭缝式多气体输运喷头结构 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
JP5753450B2 (ja) * | 2011-06-30 | 2015-07-22 | 東京エレクトロン株式会社 | 成膜装置 |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
KR102068186B1 (ko) | 2012-02-29 | 2020-02-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 로드 록 구성의 저감 및 스트립 프로세스 챔버 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
CN103266310B (zh) * | 2013-05-24 | 2015-05-20 | 上海和辉光电有限公司 | 分散板及具有该分散板的镀膜装置 |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
DE102015110440A1 (de) * | 2014-11-20 | 2016-05-25 | Aixtron Se | CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
KR102389078B1 (ko) * | 2015-03-05 | 2022-04-22 | 주성엔지니어링(주) | 기판 처리장치에 구비되는 샤워헤드 |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
KR20160147482A (ko) * | 2015-06-15 | 2016-12-23 | 삼성전자주식회사 | 가스 혼합부를 갖는 반도체 소자 제조 설비 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
JP6368732B2 (ja) * | 2016-03-29 | 2018-08-01 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
KR102195798B1 (ko) | 2016-09-23 | 2020-12-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 스퍼터링 샤워헤드 |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US10801106B2 (en) * | 2016-12-15 | 2020-10-13 | Asm Ip Holding B.V. | Shower plate structure for exhausting deposition inhibiting gas |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10692741B2 (en) * | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US20190048467A1 (en) * | 2017-08-10 | 2019-02-14 | Applied Materials, Inc. | Showerhead and process chamber incorporating same |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
DE202017105481U1 (de) * | 2017-09-11 | 2018-12-12 | Aixtron Se | Gaseinlassorgan für einen CVD- oder PVD-Reaktor |
US11598003B2 (en) * | 2017-09-12 | 2023-03-07 | Applied Materials, Inc. | Substrate processing chamber having heated showerhead assembly |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
JP7140525B2 (ja) * | 2018-03-29 | 2022-09-21 | 株式会社アルバック | 真空処理装置 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
TW202344708A (zh) | 2018-05-08 | 2023-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
WO2020028062A1 (en) * | 2018-07-31 | 2020-02-06 | Applied Materials, Inc. | Methods and apparatus for ald processes |
US10923327B2 (en) * | 2018-08-01 | 2021-02-16 | Applied Materials, Inc. | Chamber liner |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN112823406B (zh) * | 2018-09-26 | 2024-03-12 | 应用材料公司 | 用于等离子体处理腔室的导热间隔件 |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
WO2020145190A1 (ja) * | 2019-01-07 | 2020-07-16 | 株式会社アルバック | 真空処理装置 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
CN113261078A (zh) | 2019-01-07 | 2021-08-13 | 株式会社爱发科 | 真空处理装置、真空处理装置的清洁方法 |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20200093754A (ko) * | 2019-01-29 | 2020-08-06 | 주성엔지니어링(주) | 샤워헤드 및 이를 포함하는 기판처리장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202104632A (zh) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102638425B1 (ko) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
TW202115273A (zh) | 2019-10-10 | 2021-04-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
KR20210105139A (ko) * | 2020-02-18 | 2021-08-26 | (주)포인트엔지니어링 | 가스 공급장치 및 이를 구비한 증착장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US20220254660A1 (en) * | 2021-02-05 | 2022-08-11 | Linco Technology Co., Ltd. | Substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (63)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS63187619A (ja) | 1987-01-30 | 1988-08-03 | Fuji Xerox Co Ltd | プラズマcvd装置 |
US4854263B1 (en) * | 1987-08-14 | 1997-06-17 | Applied Materials Inc | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
JPH02114530A (ja) * | 1988-10-25 | 1990-04-26 | Mitsubishi Electric Corp | 薄膜形成装置 |
US5310453A (en) * | 1992-02-13 | 1994-05-10 | Tokyo Electron Yamanashi Limited | Plasma process method using an electrostatic chuck |
JPH05299382A (ja) * | 1992-04-21 | 1993-11-12 | Mitsubishi Electric Corp | プラズマ処理装置およびその方法 |
JP3155844B2 (ja) * | 1992-10-20 | 2001-04-16 | 日本真空技術株式会社 | 真空処理装置の高周波電極 |
JP2662365B2 (ja) * | 1993-01-28 | 1997-10-08 | アプライド マテリアルズ インコーポレイテッド | 改良された排出システムを有する単一基板式の真空処理装置 |
US5439524A (en) * | 1993-04-05 | 1995-08-08 | Vlsi Technology, Inc. | Plasma processing apparatus |
US5350480A (en) * | 1993-07-23 | 1994-09-27 | Aspect International, Inc. | Surface cleaning and conditioning using hot neutral gas beam array |
US5449410A (en) * | 1993-07-28 | 1995-09-12 | Applied Materials, Inc. | Plasma processing apparatus |
US5647911A (en) * | 1993-12-14 | 1997-07-15 | Sony Corporation | Gas diffuser plate assembly and RF electrode |
US5589002A (en) * | 1994-03-24 | 1996-12-31 | Applied Materials, Inc. | Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing |
US5628829A (en) * | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
US5665640A (en) * | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
JP3360098B2 (ja) * | 1995-04-20 | 2002-12-24 | 東京エレクトロン株式会社 | 処理装置のシャワーヘッド構造 |
US5569356A (en) * | 1995-05-19 | 1996-10-29 | Lam Research Corporation | Electrode clamping assembly and method for assembly and use thereof |
US5997962A (en) * | 1995-06-30 | 1999-12-07 | Tokyo Electron Limited | Plasma process utilizing an electrostatic chuck |
JPH09153481A (ja) * | 1995-11-30 | 1997-06-10 | Sumitomo Metal Ind Ltd | プラズマ処理装置 |
TW335517B (en) * | 1996-03-01 | 1998-07-01 | Hitachi Ltd | Apparatus and method for processing plasma |
US5741363A (en) * | 1996-03-22 | 1998-04-21 | Advanced Technology Materials, Inc. | Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition |
US5614026A (en) * | 1996-03-29 | 1997-03-25 | Lam Research Corporation | Showerhead for uniform distribution of process gas |
US5844205A (en) * | 1996-04-19 | 1998-12-01 | Applied Komatsu Technology, Inc. | Heated substrate support structure |
US5997642A (en) * | 1996-05-21 | 1999-12-07 | Symetrix Corporation | Method and apparatus for misted deposition of integrated circuit quality thin films |
US5846332A (en) * | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5882411A (en) * | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
US6114216A (en) * | 1996-11-13 | 2000-09-05 | Applied Materials, Inc. | Methods for shallow trench isolation |
US5989652A (en) * | 1997-01-31 | 1999-11-23 | Tokyo Electron Limited | Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications |
US6093645A (en) * | 1997-02-10 | 2000-07-25 | Tokyo Electron Limited | Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation |
US5994678A (en) * | 1997-02-12 | 1999-11-30 | Applied Materials, Inc. | Apparatus for ceramic pedestal and metal shaft assembly |
US6024799A (en) * | 1997-07-11 | 2000-02-15 | Applied Materials, Inc. | Chemical vapor deposition manifold |
US5968276A (en) * | 1997-07-11 | 1999-10-19 | Applied Materials, Inc. | Heat exchange passage connection |
JP3480271B2 (ja) * | 1997-10-07 | 2003-12-15 | 東京エレクトロン株式会社 | 熱処理装置のシャワーヘッド構造 |
US6024044A (en) * | 1997-10-09 | 2000-02-15 | Applied Komatsu Technology, Inc. | Dual frequency excitation of plasma for film deposition |
US6106625A (en) * | 1997-12-02 | 2000-08-22 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
US6079356A (en) * | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
JP3725325B2 (ja) * | 1998-03-18 | 2005-12-07 | 株式会社日立製作所 | 半導体製造方法ならびに半導体製造装置 |
US6129808A (en) * | 1998-03-31 | 2000-10-10 | Lam Research Corporation | Low contamination high density plasma etch chambers and methods for making the same |
US5997649A (en) * | 1998-04-09 | 1999-12-07 | Tokyo Electron Limited | Stacked showerhead assembly for delivering gases and RF power to a reaction chamber |
KR100267885B1 (ko) * | 1998-05-18 | 2000-11-01 | 서성기 | 반도체 박막증착장치 |
US6050216A (en) * | 1998-08-21 | 2000-04-18 | M.E.C. Technology, Inc. | Showerhead electrode for plasma processing |
US6302057B1 (en) * | 1998-09-15 | 2001-10-16 | Tokyo Electron Limited | Apparatus and method for electrically isolating an electrode in a PECVD process chamber |
KR100745495B1 (ko) * | 1999-03-10 | 2007-08-03 | 동경 엘렉트론 주식회사 | 반도체 제조방법 및 반도체 제조장치 |
US6653212B1 (en) * | 1999-04-20 | 2003-11-25 | Sony Corporation | Method and apparatus for thin-film deposition, and method of manufacturing thin-film semiconductor device |
US6123775A (en) * | 1999-06-30 | 2000-09-26 | Lam Research Corporation | Reaction chamber component having improved temperature uniformity |
US6254742B1 (en) * | 1999-07-12 | 2001-07-03 | Semitool, Inc. | Diffuser with spiral opening pattern for an electroplating reactor vessel |
US6364949B1 (en) * | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
US6673198B1 (en) * | 1999-12-22 | 2004-01-06 | Lam Research Corporation | Semiconductor processing equipment having improved process drift control |
US6477980B1 (en) * | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6772827B2 (en) * | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6170432B1 (en) * | 2000-01-24 | 2001-01-09 | M.E.C. Technology, Inc. | Showerhead electrode assembly for plasma processing |
JP3501715B2 (ja) * | 2000-03-21 | 2004-03-02 | シャープ株式会社 | プラズマプロセス装置 |
US6857387B1 (en) * | 2000-05-03 | 2005-02-22 | Applied Materials, Inc. | Multiple frequency plasma chamber with grounding capacitor at cathode |
US6461435B1 (en) * | 2000-06-22 | 2002-10-08 | Applied Materials, Inc. | Showerhead with reduced contact area |
JP2002045683A (ja) * | 2000-08-08 | 2002-02-12 | Hitachi Kokusai Electric Inc | 基板処理装置 |
JP4381588B2 (ja) * | 2000-10-25 | 2009-12-09 | ソニー株式会社 | 加熱を伴う処理装置 |
JP2002261036A (ja) * | 2001-02-28 | 2002-09-13 | Dainippon Screen Mfg Co Ltd | 熱処理装置 |
KR100377096B1 (ko) * | 2001-05-08 | 2003-03-26 | (주)넥소 | 개선된 샤워헤드를 구비한 반도체 제조장치 |
US6827815B2 (en) * | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
US6838012B2 (en) * | 2002-10-31 | 2005-01-04 | Lam Research Corporation | Methods for etching dielectric materials |
US7270713B2 (en) * | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
US7645341B2 (en) * | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
-
2005
- 2005-02-22 JP JP2005045994A patent/JP4698251B2/ja active Active
- 2005-02-23 US US11/063,454 patent/US7722925B2/en not_active Expired - Fee Related
- 2005-02-23 TW TW094105457A patent/TWI290962B/zh active
- 2005-02-24 CN CN201110128778.7A patent/CN102212798B/zh active Active
- 2005-02-24 KR KR1020050015466A patent/KR100769963B1/ko active IP Right Grant
- 2005-02-24 CN CN2005100716031A patent/CN1673410B/zh active Active
-
2010
- 2010-12-14 JP JP2010278191A patent/JP5366923B2/ja active Active
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7674394B2 (en) | 2007-02-26 | 2010-03-09 | Applied Materials, Inc. | Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution |
WO2009078921A1 (en) * | 2007-12-19 | 2009-06-25 | Applied Materials, Inc. | Plasma reactor gas distribution plate with path splitting manifold |
US8512509B2 (en) | 2007-12-19 | 2013-08-20 | Applied Materials, Inc. | Plasma reactor gas distribution plate with radially distributed path splitting manifold |
WO2009116780A2 (ko) * | 2008-03-17 | 2009-09-24 | 주식회사 아이피에스 | 진공처리장치 |
WO2009116780A3 (ko) * | 2008-03-17 | 2009-12-30 | 주식회사 아이피에스 | 진공처리장치 |
KR100970201B1 (ko) * | 2008-03-17 | 2010-07-14 | 주식회사 아이피에스 | 진공처리장치 |
US10808317B2 (en) | 2013-07-03 | 2020-10-20 | Lam Research Corporation | Deposition apparatus including an isothermal processing zone |
KR20170065009A (ko) * | 2015-12-02 | 2017-06-12 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 |
KR20170077033A (ko) * | 2015-12-25 | 2017-07-05 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 |
KR20210036807A (ko) * | 2019-09-26 | 2021-04-05 | 가부시키가이샤 아루박 | 진공 처리 장치 |
Also Published As
Publication number | Publication date |
---|---|
JP4698251B2 (ja) | 2011-06-08 |
KR100769963B1 (ko) | 2007-10-25 |
TW200533776A (en) | 2005-10-16 |
US20050183827A1 (en) | 2005-08-25 |
TWI290962B (en) | 2007-12-11 |
CN1673410A (zh) | 2005-09-28 |
CN1673410B (zh) | 2011-07-06 |
JP2005256172A (ja) | 2005-09-22 |
JP5366923B2 (ja) | 2013-12-11 |
CN102212798A (zh) | 2011-10-12 |
US7722925B2 (en) | 2010-05-25 |
JP2011089208A (ja) | 2011-05-06 |
CN102212798B (zh) | 2015-01-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100769963B1 (ko) | 샤워헤드를 지지하기 위한 장치 | |
US9580804B2 (en) | Diffuser support | |
KR101287100B1 (ko) | 가스 분배 장치 및 상기 가스 분배 장치를 포함하는 챔버 | |
KR100929455B1 (ko) | 플라즈마 챔버용의 현가형 가스 분배 매니폴드 | |
KR100434487B1 (ko) | 샤워 헤드 및 이를 포함하는 박막 형성 장비 | |
US7083702B2 (en) | RF current return path for a large area substrate plasma reactor | |
US8080107B2 (en) | Showerhead electrode assembly for plasma processing apparatuses | |
US7244311B2 (en) | Heat transfer system for improved semiconductor processing uniformity | |
KR101354575B1 (ko) | 프로세스 챔버의 샤워헤드용 현수부 | |
US20040045813A1 (en) | Wafer processing apparatus, wafer stage, and wafer processing method | |
CN113166939B (zh) | 用于减少颗粒产生的气体扩散器安装板 | |
KR101693145B1 (ko) | 가스 히팅 장치 및 이를 가지는 프로세스 챔버 | |
US10879090B2 (en) | High temperature process chamber lid | |
JPWO2020145190A1 (ja) | 真空処理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20120927 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20130927 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20140929 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20150930 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20160929 Year of fee payment: 10 |
|
FPAY | Annual fee payment |
Payment date: 20170929 Year of fee payment: 11 |
|
FPAY | Annual fee payment |
Payment date: 20181015 Year of fee payment: 12 |
|
FPAY | Annual fee payment |
Payment date: 20191001 Year of fee payment: 13 |