KR20060042164A - 열 팽창을 수용하기 위한 샤워헤드 장착부 - Google Patents

열 팽창을 수용하기 위한 샤워헤드 장착부 Download PDF

Info

Publication number
KR20060042164A
KR20060042164A KR1020050015466A KR20050015466A KR20060042164A KR 20060042164 A KR20060042164 A KR 20060042164A KR 1020050015466 A KR1020050015466 A KR 1020050015466A KR 20050015466 A KR20050015466 A KR 20050015466A KR 20060042164 A KR20060042164 A KR 20060042164A
Authority
KR
South Korea
Prior art keywords
showerhead
gas inlet
supporting
hanger
inlet manifold
Prior art date
Application number
KR1020050015466A
Other languages
English (en)
Other versions
KR100769963B1 (ko
Inventor
존 엠. 화이트
윌리암 노르만 스터링
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060042164A publication Critical patent/KR20060042164A/ko
Application granted granted Critical
Publication of KR100769963B1 publication Critical patent/KR100769963B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16BDEVICES FOR FASTENING OR SECURING CONSTRUCTIONAL ELEMENTS OR MACHINE PARTS TOGETHER, e.g. NAILS, BOLTS, CIRCLIPS, CLAMPS, CLIPS OR WEDGES; JOINTS OR JOINTING
    • F16B35/00Screw-bolts; Stay-bolts; Screw-threaded studs; Screws; Set screws
    • F16B35/04Screw-bolts; Stay-bolts; Screw-threaded studs; Screws; Set screws with specially-shaped head or shaft in order to fix the bolt on or in an object
    • F16B35/041Specially-shaped shafts
    • F16B35/044Specially-shaped ends
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D19/00Pallets or like platforms, with or without side walls, for supporting loads to be lifted or lowered
    • B65D19/38Details or accessories
    • B65D19/385Frames, corner posts or pallet converters, e.g. for facilitating stacking of charged pallets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Abstract

샤워헤드의 열 팽창을 수용하기 위한 장치가 개시된다. 본 발명의 제 1 실시예에서, 샤워헤드가 지지 선반 상의 샤워헤드의 림에 놓임으로써 가동되게 지지된다. 제 2 실시예에서, 샤워헤드는 슬롯 내에서 슬라이드하는 핀에 의해 샤워헤드, 챔버 벽 또는 샤워헤드 및 챔버 벽에 부착되는 다수의 행거에 의해 챔버 벽에 매달려, 행거가 반지름 방향으로 샤워헤드의 열 팽창을 수용하기 위해 반지름방향으로 슬라이딩되도록 한다. 제 3 실시예에서, 샤워헤드는 가요성 와이어 또는 다수의 로드에 의해 진공 챔버의 벽에 매달린다. 제 4 실시예에서, 샤워헤드는 샤워헤드의 둘레 근방이 샤워헤드 보다 더 큰 열 팽창 계수를 가지는 제 2 재료에 부착된다. 제 5 실시예에서, 행거는 샤워헤드 뒤에 장착되어 공작물로부터 샤워헤드로의 열 전달을 감소하거나 샤워헤드의 상부 및 바닥면 사이의 온도 차이를 감소시킨다.

Description

열 팽창을 수용하기 위한 샤워헤드 장착부{SHOWERHEAD MOUNTING TO ACCOMMODATE THERMAL EXPANSION}
도 1은 지지 선반상에 슬라이드가능하게 배치되는 샤워헤드 림에 의해 지지되는 샤워헤드를 포함하는 플라즈마 챔버의 부분 단면도.
도 2는 도 1의 샤워헤드 림 및 지지 선반의 상세한 수직 단면도.
도 3은 도 2의 직사각형 샤워헤드 및 지지 선반의 평면도.
도 4는 샤워헤드 및 지지 선반을 보여주는, 샤워헤드가 원통형으로 도시된, 도 3의 변형예를 도시한 평면도.
도 5는 입자가 떨어지는 것을 방지하도록 립을 더 포함하는 지지 선반 및 샤워헤드 림의 개선된 실시예를 상세히 도시한 수직 단면도.
도 6은 행거(hanger)가 지지 선반을 가스 유입 매니폴드 상부 벽에 부착하는 도 5의 지지 선반 및 샤워헤드 림의 다른 실시예를 상세히 도시한 수직 단면도.
도 7은 상기 슬롯 내에서 슬라이드되는 핀을 가지는 행거와 결합되는 슬롯을 가지는 샤워헤드의 수평 단면도.
도 8 및 도 9는 각각 슬롯 내에서 슬라이드되는 핀을 가지는 행거에 의해 매달리는 샤워헤드의 수직 단면도 및 상세한 수직 단면도.
도 10은 샤워헤드 림이 입자를 잡아내기 위한 그루브를 포함하는 도 9의 실 시예의 변형예를 도시한 상세한 수직 단면도.
도 11은 핀과 슬롯이 반대로 되는 도 9의 실시예의 변형예를 도시한 상세 단면도.
도 12는 가스 유입 매니폴드 상부 벽의 주변과 샤워헤드의 림 사이로 연장하는 가스 밀봉부를 가지는 도 8 및 도 9의 가스 유입 매니폴드의 상세한 수직 단면도.
도 13 및 도 14는 각각 가스 유출 오리피스와 림 사이의 샤워헤드에 부착하는 가스 밀봉부를 가지는 도 8 및 도 9의 가스 유입 매니폴드의 상세한 수직 단면도 및 분해 사시도.
도 15는 행거가 가스 유입 매니폴드의 가스 밀봉 측벽으로서 기능하기에 충분하도록 넓은 도 8 및 도 9의 가스 유입 매니폴드의 변형예를 도시한 수직 단면도.
도 16은 행거가 중복되는 측방향 신장부를 포함하는 도 15의 가스 유입 매니폴드의 변형예를 도시한 수평 단면도.
도 17은 샤워헤드가 가스 유입 매니폴드 상부 벽에 와이어에 의해 매달되는 일 실시예의 하나의 코너의 상세한 수직 단면도.
도 18은 도 17의 실시예의 가스 유입 매니폴드 상부 벽, 와이어, 및 샤워헤드의 분해 사시도.
도 19는 유입 매니폴드의 측부를 밀봉하기 위한 시트가 서스펜션 와이어의 내측에 있는 도 17의 실시예의 변형예의 하나의 코너의 상세한 수직 단면도.
도 20은 샤워헤드가 관절형 조인트로 장착되는 로드에 의해 매달리는 실시예의 하나의 코너의 상세한 수직 단면도.
도 21 및 도 22는 각각 온도 보상 부재 및 샤워헤드의 수직 단면도 및 분해 사시도.
도 23은 행거 및 샤워헤드의 단면도.
* 도면의 주요 부분에 대한 부호의 설명 *
10 : 가공물 12 : 가공물 지지부, 척, 서셉터
14 : 챔버 측벽 및 바닥 벽 16 : 리드
18 : 후방면, 가스 유입 매니폴드
19 : 오링 22 : 샤워헤드/디퓨저
23 : 디퓨저의 전방면 24 : 유전체 라이너-리드 측벽
26 : 플레넘(20의 내부) 28 : 가스 유입 오리피스
30 : 가스 유출 오리피스 32 : 배기 슬릿
33 : 배기 플레넘
34 : 가스 입구 오리피스의 전방에 있는 디플렉터
36, 38 : 리드 아래, 챔버 측벽 상의 유전체 라이너
39 : 커버(비기능적) 55 : 샤워헤드의 종방향 축선(도 1, 3, 7)
52 : 샤워헤드의 림 54 : 선반(샤워헤드 지지부)
56 : RF 케이블
57 : 후방 플레이트로부터 샤워헤드로의 제 2 RF 케이블
58 : 입자를 걸러내기 위한 샤워헤드상의 골
61 : 글라이드 62 : 선반의 상승된 립
64 : 샤워헤드 이형부로부터 하방으로 연장하는 립
70 : 행거 78 : 샤워헤드의 림
79 : 그루브 아래 샤워헤드 림의 솔리드부(도 10)
80 : 행거 81 : 핀
82 : 슬롯 83 : 쇼울더(설형부)
84 : 그루브 85 : 슬롯(82)의 측벽(도 8)
88 : 시트, 측부 밀봉부
89 : 중복 측방향 신장부(랩 조인트)
86 : 와이어 90 : 로드
91 : 관절형 조인트 100 : 고열 팽창 계수 부재
101 : 플레넘 102 : 강성 스페이서
104 : 고온 열 팽창 계수 부재(100)의 가스 오리피스
106 : 중앙 가스 도관 110 : 히터
평면 패널 디스플레이 및 집적 회로와 같은 전자 장치는 통상적으로 가공물(workpiece)에 층이 증착되는 일련의 증착 프로세스 단계 및 증착된 재료가 원하는 패턴으로 에칭되는 각각의 프로세스 단계들에 의해 제조된다. 이러한 프로세스는 일반적으로 진공 회로내에서 수행된다.
통상적으로, 증착 또는 에칭 프로세스를 위한 화학 반응제는 상기 가공물 바로 위에 위치하는 샤워헤드를 통하여 진공 챔버로 분배되는, 총괄적으로 공정 가스라고 지칭되는 하나 이상의 가스를 포함한다. 공정 가스는 샤워헤드의 표면을 가로질러 분포되는 수 백 또는 수 천개의 오리피스를 통하여 분배되어 상기 가공물에 인접하여 공정 가스의 원하는 공간적 분배를 형성한다.
플라즈마 프로세스에서, 샤워헤드는 또한 전극으로서 기능하도록 RF 전력원으로 전기적으로 연결될 수 있다. 이 경우, 확실히 낮은 임피던스 전기 연결이 샤워헤드와 RF 전력원 사이에 제공되어야 한다.
이러한 RF 전력이 인가된 샤워헤드는 창(Chang) 등에게 1989년 8월 8일에 부여되고 일반 양도된 미국 특허 제 4,854,263호에 설명되어 있다.
통상적으로, 가공물은 실질적으로 평평하며, 샤워헤드는 또한 실질적으로 평평한 가공물 쪽 표면(본 명세서에서는 샤워헤드의 "전방면"으로서 지칭됨)을 가진다. 이와 달리, 샤워헤드의 전방면은 가공물에 수행되는 증착 또는 에칭 프로세스의 공간적 균일성을 최대화하도록 의도되는 약간 볼록하거나 오목한 곡률을 가질 수 있다.
샤워헤드는 일반적으로 진공 챔버에서 수행되는 프로세스에 의해 가열될 것이다. 비 플라즈마 프로세스에서, 가공물은 저항 가열 또는 복사 가열과 같은 소정의 수단에 의해 가열되며 이는 일반적으로 샤워헤드로 소정의 열 전달을 발생시 킨다. 플라즈마 프로세스에서, 플라즈마는 부가 열원이다.
가열은 일반적으로 샤워헤드의 열 팽창을 일으키며, 열 팽창은 샤워헤드의 전방면의 원래 형상(즉, 편평도 또는 곡률)을 변형시킬 수 있다. 이러한 변형은 가공물에 수행되는 프로세스의 공간적 균일성을 손상시킬 수 있기 때문에 바람직하지 않다.
샤워헤드의 전방면의 편평도 또는 곡률의 변형은 특히 많은 종래 설계에서와 같이, 샤워헤드의 주변이 진공 챔버의 벽에 강성으로 장착된 경우, 발생할 것이다. 주변이 고정되기 때문에, 샤워헤드의 열 팽창에 의해 샤워헤드 표면이 부풀려질 것이다.
열 팽창에 반응하여 샤워헤드의 전방면의 편평도 및 곡률의 변형의 문제점에 대한 하나의 해결책은 본 출원에서 우선권으로 청구하는, 상술된 특허 출원 제 09/922,219호에 설명된 바와 같이 가요성 시트에 의해 샤워헤드를 장착하는 것이다.
가요성 시트는 시트가 손상되는 것을 피하도록 처리하는데 약간의 주위가 요구된다. 따라서, 처리하기가 더 용이한 다른 설계가 요구된다.
발명의 구성의 섹션 2 및 3에 설명되는, 본 발명의 제 1 실시예에서, 샤워헤드 또는 디퓨저(diffuser)는 지지 선반 위에 샤워헤드의 림을 배치함으로서 지지된다. 샤워헤드 림은 지지 선반의 표면을 가로질러 자유롭게 슬라이드되어, 샤워헤드가 챔버에 고정식의 비 슬라이딩 장작부를 가지는 경우 발생하는 힘에 굴복하지 않고 응력이 거의 발생하지 않으면서 샤워헤드가 온도 변화에 반응하여 반지름 방향으로 팽창 및 수축하도록 한다. 결론적으로, 본 발명은 열 팽창 또는 수축에 의한 샤워헤드의 전방면의 외형(즉, 평편도 또는 곡률)의 소정의 변형을 최소화하거나 제거할 수 있다.
발명의 구성의 섹션 4에 설명되는, 본 발명의 제 2 실시예에서, 샤워헤드는 샤워헤드, 챔버 벽, 또는 반지름 방향으로 샤워헤드의 열 팽창을 수용하기 위하여 행거가 반지름방향으로 슬라이드되도록 슬롯 내에서 슬라이되는 핀에 의해 샤워헤드 및 챔버 벽에 부착되는 다수의 행거에 의해 챔버 벽에 매달된다.
발명의 구성의 섹션 5에 설명되는, 본 발명의 제 3 실시예에서, 샤워헤드는 다수의 가요성 와이어에 의해 진공 챔버의 벽에 매달린다.
발명의 구성의 섹션 6에 설명되는, 본 발명의 제 4 실시예에서, 샤워헤드는 다수의 로드에 의해 진공 챔버의 벽에 매달린다. 각각의 로드의 상단부는 제 1 관절형 조인트를 경유하여 진공 챔버에 장착되고, 각각의 로드의 하단부는 제 2 관절형 조인트를 경유하여 샤워헤드에 장착된다. 관절형 조인트는 로드가 샤워헤드의 열 팽창에 반응하여 피봇되도록 한다.
발명의 구성의 섹션 7에 설명되는, 본 발명의 제 5 실시예에서, 샤워헤드는 샤워헤드의 둘레 근방의 샤워헤드 보다 더 큰 열 팽창 계수를 가지는 제 2 재료에 부착된다. 비록 제 2 재료가 일반적으로 샤워헤드 만큼 가열되지 않지만, 제 2 재료의 더 큰 열 팽창 계수는 챔버에서 수행되는 프로세스로부터의 열에 반응하여 개략적으로 샤워헤드와 동일한 양 만큼 팽창할 수 있다. 샤워헤드를 장착하기 위한 이러한 설계는 슬라이딩 또는 가요성 서스펜션을 요구하지 않고 샤워헤드의 열 팽창을 수용한다.
발명의 구성의 섹션 8에 설명되는, 본 발명의 제 6 실시예에서, 히터는 샤워헤드 뒤에 장착된다. 히터의 하나의 장점은 샤워헤드의 상승된 온도가 가공물로부터 샤워헤드로의 열 전달을 감소시키는 것이다. 히터의 또 다른 장점은 히터가 챔버 내에서 수행되는 프로세스로부터의 열 전달에 의해 샤워헤드의 후방면 보다 샤워헤드의 전방면이 더 고온으로 되는 것을 방지할 수 있어서, 전방면과 후방면 사이의 온도 차이에 의한 전방면의 부풀림을 감소 또는 제거하는 것이다.
1. 플라즈마 챔버 개관
도 1은 본 발명의 일 실시예에 따라 매달려 있는 샤워헤드를 포함하는 진공 챔버를 보여주며, 샤워헤드에 부착되는 행거가 챔버 벽에 부착된 선반 상에서 자유롭게 슬라이드된다. 신규한 서스펜션(suspension)을 설명하기 전에, 진공 챔버의 다른 부품이 설명된다.
진공 챔버는 가공물 또는 기판(10)을 화학적 프로세스로 처리하며 이 화학적 프로세스는 가공물 상에 반도체 또는 다른 전자 장치를 제조하는 일련의 단계들 중 하나의 단계이다. 가공물은 가공물 지지부(12)(또는 척 또는 서셉터로 지칭됨)에 의해 챔버내에 지지된다. 챔버내에서 처리되는 가공물(10)의 통상의 예는 평평한 패널 디스플레이가 제조되거나 회로가 집적되는 원형 반도체 웨이퍼가 제조되는 직사각형 유리 기판을 포함한다.
진공 챔버는 챔버 내부를 위한 진공 엔클로저를 제공하는 하우징 또는 챔버를 가진다. 도시된 실시예에서, 챔버의 측벽 및 바닥벽은 단일 벽(14)으로서 실시된다. 챔버의 상부에는 힌지형 리드(16) 및 가스 유입 매니폴드 상부 벽(18)이 제공된다. 리드(16)를 들어 올림으로써 챔버의 내부로 접근할 수 있다. 오링(19)(일부는 도시안됨)은 측벽(14), 리드(16) 및 가스 유입 매니폴드 상부 벽(18) 사이에 진공 밀봉을 제공한다. 측부 및 바닥 벽(14), 리드(16), 및 가스 유입 매니폴드 상부 벽(18)은 챔버 벽에서 고려되는 모든 부분들이다.
본 발명의 명세서에서 챔버 부품의 다른 측부 또는 표면을 참조할 때, 가공물 쪽 측부 또는 표면, 및 가공물 반대쪽 측부 및 표면을 각각 지칭하기 위해 "전방" 및 "후방"이라는 용어를 이용한다. 예를 들면, 가공물 지지부(12)의 상부면은 가공물 지지부의 전방면을 지칭하고, 샤워헤드(22)의 하부 및 상부면은 각각 샤워헤드의 전방면(23) 및 샤워헤드의 후방면을 지칭한다.
가공물 상에 반도체 또는 다른 전자 장치를 제조하기 위한 프로세스를 수행하는 중에, 하나 이상의 가스가 가스 유입 매니폴드를 통하여 챔버로 분배된다. 가스 유입 매니폴드는 가스 유입 매니폴드 상부 벽(18) 및 샤워헤드(22)(또한 디퓨저 또는 가스 분배판으로 지칭됨)을 포함하며 가스 유입 매니폴드 상부 벽을 통하여 가스가 가스 유입 매니폴드로 유입되고 샤워헤드를 통하여 가스가 가스 유입 매니폴드로부터 플라즈마 챔버의 내부로 유동한다. 가스 유입 매니폴드는 가스 유입 매니폴드 측벽을 더 포함하고, 가스 유입 매니폴드 측벽은 또한 가스 밀봉 측벽으로서 지칭되며, 가스 유입 매니폴드 측벽은 상부 벽(18)과 샤워헤드(22) 사이에 가 스 밀봉을 제공한다. 가스 유입 매니폴드 상부 벽, 측벽 및 샤워헤드는 집합되어 가스 유입 매니폴드의 내부 영역 또는 플레넘(26)으로서 지칭되는 용적부를 둘러싼다.
도시안된 외부 가스 소스는 가스 유입 매니폴드 상부 벽(18)에 있는 하나 이상의 가스 유입 오리피스(28)로 공정 가스를 공급하며, 가스 유입 오리피스를 통하여 공정 가스가 가스 유입 매니폴드의 플레넘(26)으로 유동한다. 그리고나서 공정 가스는 샤워헤드(22)에 있는 하나 이상의, 통상적으로 수백 또는 수천개의, 가스 유입 오리피스(30)를 통하여 플레넘으로부터 챔버의 내부로 유동한다.
도 1 내지 도 5의 실시예에서, 가스 유입 매니폴드의 가스 밀봉 측벽은 주로 챔버 리드(16)의 내벽을 덮는 유전체 라이너(24)로 이루어진다. 도 6의 다른 실시예에서, 가스 유입 매니폴드 측벽은 주로 후술되는 행거(70)로 이루어진다. 도 12 내지 도 14 및 도 17 내지 도 20의 다양한 실시예에서, 가요성 시트(88)가 가스 유입 매니폴드의 가스 밀봉 측벽으로서 기능한다.
가스 유입 매니폴드의 측벽에는 충분한 기밀 밀봉(gas-tight seal), 즉 가스 누출에 대한 충분한 임피던스(impedance)가 제공되어 가스 유입 오리피스(28)를 통하여 플레넘으로 유동하는 모든 가스가 가스 유입 매니폴드 측벽에 있는 갭을 통하여 누출되지 않고 샤워헤드 가스 유출 오리피스(30)를 통하여 유동함으로써 진공 챔버의 내부로 유입된다. 수용가능한 누출 양은 가공물 상에서 수행되는 프로세스에 종속되지만, 모든 프로세스에서 누출은 10퍼센트보다 작아야한다. 즉, 가스 유입 오리피스(28)를 통하여 플레넘으로 유입되는 가스의 10퍼센트(10분의 1) 보다 작게 가스 유입 매니폴드 측벽을 통하여 누출되어, 적어도 가스의 90페센트가 가스 유출 오리피스(30)를 통하여 진공 챔버로 분배되어야 한다. 최악의 상태에서, 플레넘으로 유입되는 가스의 40퍼센트 이하가 가스 유입 매니폴드 측벽을 통하여 누출되어야 한다.
도시안된 종래의 진공 펌프는 챔버내에서 진공의 목표 레벨을 유지하고 고리형 배기 슬릿(32)을 통하여 챔버로부터 공정 가스 및 반응 제품을 배기하고나서 고리형 배기 플레넘(33)으로 유입되어 배기 채널을 통하여 도시되지 않은 펌프로 유입된다.
도시된 바람직한 실시예에서, 샤워헤드(22)는 3cm 두께의 알루미늄 판이다. 높은 열 임피던스, 샤워헤드가 충분히 두꺼워서 진공이 챔버내에 발생될 때 대기 압력 하에서 심하게 변형되지 않는다.
열 화학 증착(열 CVD) 프로세스와 같은, 챔버에서 수행되는, 소정의 타입의 가공물 제조 프로세스는 플라즈마 없이 수행된다. 플라즈마 강화 화학 증착(PECVD) 또는 플라즈마 에칭 프로세스와 같은, 다른 다수의 플라즈마는 플라즈마가 필요하다. 플라즈마 프로세스에서 이용하기 위한 진공 챔버는 플라즈마 챔버를 지칭한다.
하나의 타입의 플라즈마 챔버에서, 플라즈마는 챔버내에서 전극으로 연결되는 무선 주파수(RF) 전력원에 의해 전력을 플라즈마로 전기 용량적으로 결합함으로써 챔버내에서 발생 또는 유지된다. 이러한 플라즈마 챔버에서, 샤워헤드는 일반적으로 전기적으로 접지된 챔버 벽에 연결되거나, 챔버 벽으로부터 전기적으로 절 연되고 무선 전력원의 접지되지 않은 (RF 핫(hot)) 출력부로 전기적으로 연결됨으로써 전극들 중 하나로서 작용한다. 결론적으로, 높은 레벨의 무선 주파수 전력, 통상적으로 킬로와트 정도의 전력을 전도하도록 충분한 전기 전도도 및 신뢰도의 샤워헤드로 전기 접촉을 제공하는 것이 중요하다.
샤워헤드내의 가스 유출 오리피스(30)는 플라즈마 다크 공간(plasma dark space)의 폭 보다 작은 직경을 가져서 플라즈마 챔버 내의 플라즈마가 플레넘(26), 즉 샤워헤드(22)와 상부벽 또는 가스 유입 매니폴드의 상부벽(18) 사이의 가스 유입 매니폴드의 내부 영역으로 유입되는 것을 방지한다. 다크 공간의 폭, 및 따라서 가스 유출 오리피스의 최적 직경은 챔버 압력 및 챔버에서 수행되도록 하는 특정 반도체 제조 프로세스의 다른 변수에 종속된다. 이와 달리, 분리하기가 특별히 어려운 반응물 가스를 이용하여 플라즈마 프로세스를 수행하기 위해, 창(Chang) 등의 상술된 미국 특허 제 5,854,263호에 설명된 바와 같은 좁은 입구 및 더 넓은, 플레어형 출구를 갖는 오리피스를 적용하는 것이 바람직하다.
높은 열 임피던스, 가스 유입 매니폴드는, 또한 가스 유입 오리피스(28)의 직경 보다 약간 더 큰 직경을 가지고 도시되지 않은 포스트에 의해 오리피스 아래 매달리는 원형 디스크(34)로 이루어지는 가스 유입 디플렉터(gas inlet deflector)를 포함한다. 디플렉터는 가스가 가스 유입 오리피스(28)로부터 샤워헤드의 중앙에 있는 바로 인접한 가스 유출 오리피스(30)로 직선 경로로 유동하는 것을 차단하여, 샤워헤드의 중앙 및 주변을 통과하는 각각의 가스 유량을 동일하게 한다.
가스 유입 매니폴드 상부 벽 및 샤워헤드가 RF 핫이 되는 분야에서, 유전체 라이너(24, 35)가 RF 핫 부품 및 전기적으로 접지된 챔버 리드(16) 사이에 장착된다. 가공물 지지부(12)와 샤워헤드 사이의 챔버의 영역에 플라즈마가 집중되도록, 샤워 헤드 또는 가공물 지지부 근처에 있는 챔버의 다른 금속면이 유전체 라이너로 덮혀야 한다. 예를 들면, 도 1은 챔버 리드(16)의 하부를 덮는 유전체 라이너(36) 및 챔버 측벽(14)을 덮는 유전체 라이너(38)를 보여준다.
커버(39)는 일반적으로 챔버 리드(16)의 상부에 부착되어 사람이 RF 핫 상부 벽(18) 또는 샤워헤드와 순간적으로 접촉하는 것을 방지한다. 커버(39)가 본 명세서에서 논의되는 다른 챔버 부품의 기능에 중요하지 않기 때문에 커버는 추가로 논의되지 않다.
챔버 부품은 챔버에서 수행되는 반도체 제조 프로세스를 오염시키지 않고 프로세스 가스에 의한 부식에 내성을 가지는 재료로 이루어져야 한다. 알루미늄은 후술되는 오링 및 유전체 스페이서 및 라이너를 제외한 모든 부품용으로 본 발명가가 생각하는 바람직한 재료이다.
종래의 플라즈마 CVD 및 에칭 챔버의 설계 및 작동은 본 발명의 명세서에서 전체적으로 그 내용이 참조용으로서 포함된 통상적으로 양도된 아래의 미국 특허들에서 설명되어 있다. 상기 미국 특허들은 화이트(White) 등에게 1998년 12월 1일에 허여된 미국 특허 제 5,844,205호 및 창 등에게 1989년 8월 8일에 허여된 미국 특허 제 4,854,263호이다.
2. 샤워헤드용 슬라이딩 지지부
"발명이 속하는 기술분야 및 그 분야의 종래기술" 부분에서 설명된 바와 같 이, 챔버에서 발생되는 프로세스로부터의 열은 샤워헤드(22)를 열 팽창시킨다. 샤워헤드가 챔버 벽(18)에 강성으로 그리고 가요적으로 장착된 경우, 이러한 열 팽창은 샤워헤드를 부풀게 하여 샤워헤드의 전방면 또는 하부면(23)이 변형, 즉 하부면의 평탄도 및 곡률이 변형되거나 뒤틀리게 한다.
도 1 내지 도 3은 이러한 문제점에 대한 하나의 해결책을 제시하며, 이 해결책은 샤워헤드 림이 선반의 상부면을 가로질러 자유롭게 슬라이드되도록 하여 샤워헤드 지지 선반 상에 샤워헤드의 둘레 림(52)을 배치함으로써 샤워헤드를 샤워헤드 지지 선반(54) 상에 지지하는 것이다. 결론적으로, 샤워헤드가 온도 변화에 반응하여 반지름방향으로 팽창 및 수축할 때, 샤워헤드 림은 선반을 가로질러 각각 외측 및 내측으로 슬라이드된다. 이와 같이 자유로운 슬라이드에 의해 반지름방향 열 팽창 및 수축에 반응하여 샤워헤드 상의 응력이 거의 제거된다.
선반(54)은 샤워헤드의 중량을 지지할 수 있는 진공 챔버의 소정의 부분에 부착될 수 있다. 높은 열 임피던스, 선반은 챔버 벽(18)에 직접 또는 간접적으로 부착된다. 도시된 바람직한 실시예에서, 선반은 리드(16)의 내부면을 덮는 유전체 라이너(24)의 내측으로 돌출되는 신장부이다.
본 출원이 우선권을 주장하는 미국 특허 제 6,477,980호에 설명된 바와 같이, 샤워헤드(22)의 주변으로부터 샤워헤드가 직접 또는 간접적으로 장착되는 진공 챔버의 소정의 냉각 부품(챔버 리드(16) 및 챔버 측벽(14)과 같은)으로의 열 전달을 최소화하는 것이 바람직하다. 특히, 진공 챔버 내에서 샤워헤드를 장착하여 지지하는 구조물은 샤워헤드와 상기 냉각 챔버 부품 사이에 높은 열 임피던스(high thermal impedance)를 제공하는 것이 바람직하다. 이러한 열 전달을 최소화하는 것은 다음과 같은 적어도 두 가지 이유에 대해 유용한데, 첫 번째, 가공물로부터 샤워헤드로의 열 손실을 최소화하기위해 샤워헤드의 온도를 최대화는데, 그리고 두 번째, 샤워헤드의 주변이 샤워헤드의 중앙 보다 더 빨리 열 손실되는 정도를 최소화함으로써 샤워헤드의 하부면의 온도의 공간적 균일성을 최대화하는데, 유용하다.
본 발명에 이러한 원리를 적용함으로써, 지지 선반 및 챔버의 소정의 대형, 냉각 부품(챔버 리드(16) 및 챔버 측벽(14)과 같은) 사이의 소정의 부품 또는 지지 선반(54)은 샤워헤드와 상기 냉각 부품 사이에 높은 열 임피던스를 삽입하는 것이 바람직하다. 도 3의 도시된 실시예에서, 이는 낮은 열 전도도를 가지는 유전체 재료의 일체형 지지 선반(54) 및 유전체 라이너(24)를 제조하여, 유전체 라이너 및 지지 선반은 샤워헤드와 챔버 리드(16) 사이에 높은 열 임피던스를 삽입한다.
전술한 섹션 1에서 설명된 바와 같이, 하나 이상의 공정 가스가 가스 유입 매니폴드를 통하여 챔버로 분배된다. 가스 유입 매니폴드는 가스 유입 매니폴드 상부 벽(18), 샤워헤드(22)(또는 디퓨저 또는 가스 분배판으로 지칭됨), 및 상부 벽(18) 및 샤워헤드 사이로 연장하는 가스 밀봉 측벽을 포함하여 가스 유입 매니폴드 측벽, 상부 벽 및 샤워헤드가 집합되어 플레넘(26) 또는 가스 유입 매니폴드의 내부 영역으로서 지칭되는 용적부(volume)를 둘러싼다. 도 1 내지 도 5의 실시예에서, 가스 유입 매니폴드 측벽은 주로 유전체 라이너(24), 지지 선반(54), 및 샤워헤드 림(52)으로 이루어진다.
또한 전술한 섹션 1에서 설명된 바와 같이, 가스 유입 매니폴드의 가스 밀 봉 측벽은 충분한 기밀 밀봉이 제공되어 가스 유입 오리피스(28)를 통하여 플레넘으로 유동하는 대부분의 가스가 가스 유입 매니폴드의 측벽에 있는 갭을 통하여 누출되지 않고 샤워헤드 가스 유출 오리피스(30)를 통하여 유동함으로써 진공 챔버의 내부로 유입된다. 결론적으로, 샤워헤드 림(52) 및 샤워헤드 지지 선반(54)은 플레넘으로부터 공정 가스의 많은 부분이 누출될 수 있는, 소정의 하나의 부품 내에 또는 인접한 부품들 사이에 과도하게 큰 갭을 가지지 않아야 한다. 바람직하게는, 가스 유입 오리피스(28)를 통하여 플레넘으로 유동되는 가스의 10퍼센트(10분의 일) 보다 적게 가스 유입 매니폴드 측벽을 통하여 누출되어, 적어도 가스의 90 퍼센트가 가스 유출 오리피스(30)를 통하여 진공 챔버로 분배되어야 한다. 따라서, 상술된 갭의 총 단면적("측벽 갭 면적")은 샤워헤드의 유출 오리피스의 가장 좁은 부분의 단면적 총합("샤워헤드 오리피스 면적")의 10퍼센트(10분의 1) 보다 작아야 한다. 최악의 상태에서, 측벽 갭 면적은 샤워헤드 오리피스 면적의 40퍼센트 보다 작아야 한다.
림 및 선반 둘다 하나의 연속 피스(one continuous piece)로서 제조될 수 있거나 충분한 기밀 밀봉부를 형성하도록 밀접하게 접할 수 있는 다중 피스(multiple piece)로서 제조될 수 있다. 예를 들면, 도 3은 연속 피스인 샤워헤드 림(52)을 도시하며 지지 선반(54)이 돌출하는 유전체 라이너(24)는 직사각형 챔버 리드(16)의 4개의 내부면을 각각 덮는 4개의 개별 피스를 갖는다. 샤워헤드 림(52)은 샤워헤드의 일체형 부품으로서 기계가공될 수 있거나, 둘레 근방에서 샤워헤드에 부착되는 개방 중앙부를 구비하는 직사각형 프레임일 수 있다.
도 4는 이러한 설계가 직사각형이 아니고 원통형인 샤워헤드(22)에 적용되는 방법이 도시되어 있다. 이러한 실시예에서, 샤워헤드 림(52), 샤워헤드 지지 선반(54), 유전체 라이너(24)는 모두 원통형이고 샤워헤드(22)와 동심이다.
샤워헤드(22)로 확실하고, 높은 전도도의 RF 전기 연결부를 제공하도록, 도 2에 도시된 바와 같이, RF 전기 케이블(56)(상부 케이블로 지칭됨)이 가스 유입 매니폴드의 상부 벽(18)에 전기적으로 연결될 수 있으며, 제 2 RF 케이블(57)(하부 케이블로서 지칭됨)은 상부 벽(18)과 샤워헤드 사이에 직접 연결될 수 있다.
샤워헤드 림(52)과 샤워헤드 지지 선반(54) 사이의 슬라이딩 접촉에서의 마찰은 접촉면을 마모시켜 입자를 발생시킬 수 있다. 챔버 리드(16)의 하부면을 덮는 유전체 라이너(36)는 입자가 공작물(10)로 떨어지지 않도록 대부분 또는 모든 입자를 잡아내도록 선반(54) 아래로 적어도 부분적으로 연장하는 것이 바람직하다. 선택적으로, 샤워헤드는 유전체 라이너(36)에 의해 놓칠 수 있는 입자를 잡아내도록 제 1 림(52) 아래 위치하는 제 2 주변 림 또는 골(trough)(58)을 포함할 수 있다.
샤워헤드 림(52)의 하부면과 샤워헤드 지지 선반(54)의 상부면 사이의 마찰 및 마모를 감소시키도록, 부드러운 저 마찰 글라이드 또는 베어링(61)이 샤워헤드 립의 하부면과 샤워헤드 지지 선반의 상부면 중 어느 하나 또는 둘다에 부착될 수 있다. 예를 들면, 글라이드는 상기 표면들 중 하나에 매립된 하나 이상의 볼 또는 로드일 수 있다. 선택적으로, 글라이드가 소켓 내에서 자유롭게 롤링하도록 샤워헤드 립의 하부면과 샤워헤드 지지 선반의 상부면 내의 소켓에 조립될 수 있다.
도 5는 도 2의 설계의 다른 실시예를 보여주는데, 여기서 샤워헤드 지지 선반(54)의 내부 단부가 상방 연장 립(62)을 가져 선반과 샤워헤드 림(52) 사이의 마모에 의해 발생되는 입자가 선반에 의해 걸러져 입자가 가공 제품 상으로 떨어지지 않도록 한다. 선반의 립(62)을 위한 간격(clearance)을 제공하도록, 샤워헤드 림(52)의 외측 단부는 선반 립(62)의 높이 보다 적어도 약간 더 큰 양 만큼 하방으로 연장하는 둘레 립(64)을 가져서, 샤워헤드 립(64)의 하단부가 샤워헤드 림(52)과 지지 선반(54) 상의 유일한 접촉 점이 되도록 하여야 한다. 샤워헤드 립(64)은 지지 선반 립(62)의 외측 반지름 방향으로 향하는 지지 선반(52)의 상향 표면에 놓여야 한다. 바람직하게는, 상술된 것으로서 글라이드(61)가 샤워헤드 립(64)의 하부면에 부착된다.
샤워헤드가 외측으로 슬라이드할 때, 샤워헤드의 측부가 지지 선반의 상승된 립(62)과 부딪쳐서 입자가 발생되는 것을 방지하도록, 샤워헤드 림(52)이 지지 선반의 반지름방향 폭 보다 더 큰 반지름방향 폭을 가지는 것이 바람직하다. 더욱 상세하게는, 샤워헤드 림의 립(64)은 샤워헤드 립(64)이 따라서 슬라이드되는 지지 선반 상부면의 반지름방향 폭 보다 더 큰 양 만큼 샤워헤드의 측부의 반지름 방향 외측으로 이격되어야 한다.
3. 샤워헤드 지지 선반을 상부벽에 매다는 행거
도 6은 샤워헤드 지지 선반(54)이 유전체 라이너(24)에 부착되지 않는 것을 제외하고, 도 5의 실시예와 동일하다. 대신, 샤워헤드 지지 선반(54)은 행거(70)에 의해 가스 유입 매니폴드의 상부 벽(18)에 매달린다. 도 6의 실시예는 샤워헤 드 림(52)이 배치되는 지지 선반(54)의 표면을 가로질러 슬라이드되도록 함으로써 도 1 내지 도 5의 실시예와 동일한 방식으로 샤워헤드의 반지름방향 열 팽창을 수용한다. 후술되는 차이점을 제외하고, 도 1 내지 도 5의 실시예와 관련하여 논의되는 변화 및 설계 고려 모두 도 6의 실시예에 적용된다.
상부 벽(18), 행거(70), 지지 선반(54), 글라이드(61), 및 샤워헤드 림(52)이 모두 알루미늄 또는 다른 금속과 같은 전기 전도성 재료로 구성된 경우, 이러한 부품들은 상부 벽(18)을 샤워헤드(22)로 전기적으로 연결하여 상부 벽으로부터 샤워헤드로 RF 전력을 확실하게 전도한다. 지지 선반 상에 배치되는 샤워헤드의 중량은 샤워헤드 림 및 지지 선반 사이의 접촉 영역에서의 확실하고, 높은 전도도의 전기 연결을 달성하도록 한다. 따라서, 도 2 실시예에서와 같이 상부 벽과 샤워헤드 사이의 개별 전기 케이블과 접촉하는 것이 필요하지 않을 수 있다.
본 출원에서 우선권으로 주장하는 미국 특허 6,477,980호에서 설명된 가요성 서스펜션과 달리, 샤워헤드가 지지 선반에 걸쳐 자유롭게 슬라이드되기 때문에, 행거(70)가 가요적일 필요가 없다. 행거(70)는 하나의 단일 피스로서 상부 벽(18)과 함께 제조될 수 있거나 상부 벽(18)에 볼트결합되거나 다른 방식으로 부착되는 개별 피스일 수 있다.
전술한 섹션 2에서 설명된 바와 같이, 가스 유입 매니폴드는 충분한 기밀 밀봉을 제공하여, 공정 가스의 많은 부분이 플레넘(26)으로부터 누출되는 것을 방지한다. 상술된 바와 같이, 과도한 누출 양은 가공물에서 수행되는 프로세스에 종속되지만, 거의 모든 분야에서 누출 양은 샤워헤드 가스 유출 오리피스(30)를 통과하 는 가스 유동의 40% 보다 작아야 하며 바람직하게는 10% 보다 작아야 한다. 행거(70)가 가스 유입 매니폴드 상부 벽(18)에 샤워헤드 지지 선반을 부착하는, 도 6의 실시예에서, 행거(70)는 샤워헤드 지지 선반(54)과 샤워헤드 림(52)과 협력하여, 가스 유입 매니폴드의 측벽으로서 기능한다. 따라서, 각각의 부품은 소정의 하나의 부품 또는 인접한 부품들 사이를 통과하여 공정 가스의 상술된 부분이 플레넘으로부터 누출될 수 있는, 상당한 갭을 갖지 않는 것이 바람직하다. 이러한 부품들 중 일부는 하나의 연속 피스로서 제조될 수 있으며 이외에 중분한 기밀을 형성하도록 밀접하게 접하는 다중 피스로서 제조될 수 있다. 두 개의 제조 예에 대한 접근은 상술된 섹션 2에서 제공되었다.
도 1 내지 도 5의 논의에서 설명된 바와 같이, 진공 챔버내에 샤워헤드를 장착 및 지지하는 구조물은 샤워헤드와 이러한 냉각 챔버 부품들 사이에 높은 열 임피던스를 삽입하여야 한다. 행거(70)를 가지는 도 5의 실시예에서, 이는 행거가 샤워헤드와 상부 벽(18) 사이에 삽입되는 열 임피던스를 최대화함으로써 달성될 수 있다. 이러한 열 임피던스는 행거를 매우 얇게 하거나 스레인레스 강과 같은 낮은 열 전도도를 가지는 재료의 행거를 제조함으로써 최대가 될 수 있는데, 상기 스테인레스 강은 플라즈마 챔버 내부에 이용하기에 적절한 모든 다른 전기 전도체 보다 더 낮은 열 전도도를 가진다. 우리의 바람직한 실시예는 앞의 접근, 즉 원하는 낮은 열 전도도를 가지도록 행거를 충분히 얇게 만드는 방법을 적용한다. 우리는 3 mm 이하, 바람직하게는 1 mm 이하의 두께를 가지는, 알루미늄 시트의 행거(70)를 제조할 것을 추천한다.
4. 슬롯 내에서 슬라이딩하는 핀을 구비한 행거
도 7 내지 도 9는 샤워헤드(22)가 서스펜션에 의해 가스 유입 매니폴드의 상부 벽(18)에 매달리는 실시예를 보여주며, 상기 서스펜션은 슬롯(82)내에서 슬라이드하는 핀(81)에 의해 샤워헤드 및 상부벽(18)에 부착되는 다수의 행거를 포함하여 행거가 반지름 방향으로 샤워헤드의 열 팽창을 수용하도록 반지름방향으로 슬라이드되도록 한다.
샤워헤드(22)의 상부면은 도 7에 도시된 바와 같이, 샤워헤드의 둘레 근방을 따라 분포되는 위치에서 다수의 슬롯(82)을 갖는다. 가장 긴(길이) 각각의 슬롯이 샤워헤드의 종방향 축선(55)에 대해 반지름 방향으로 연장한다(도 1 및 도 7 참조). 슬롯이 샤워헤드의 몸체에 형성될 수 있지만, 도 7 내지 도 9에 도시된 바와 같이 샤워헤드의 외측으로 연장하는 림(78)에 슬롯을 제조하는 것이 더 용이하다.
도시된 직사각형 샤워헤드에서, 샤워헤드는 샤워헤드의 상부면의 4개의 코너 각각에 인접한 하나의 슬롯 및 각각의 4개의 코너 사이 중간에 있는 하나의 슬롯을 가진다. 이와 달리, 샤워헤드는 오직 코너에 인접한 4개의 슬롯, 또는 오직 코너 사이의 중간에 위치하는 4개의 슬롯, 또는 오직 샤워헤드의 상부면의 대향 측부에 있는 두 개의 슬롯, 또는 오직 3개의 둘레를 따라 분포되는 슬롯과 같이 더 많거나 더 적은 수의 슬롯을 포함할 수 있다.
샤워헤드에 있는 각각의 슬롯(82)에 대해, 대응하는 행거(80)에는 슬롯을 통하여 연장하는 핀(81)이 제공된다. 핀(81)의 바닥 단부로부터 측방향으로 연장하는 쇼울더(83)는 슬롯의 측방향 폭, 즉 샤워헤드의 방위 또는 주변에 평행한 슬롯 의 치수 보다 더 넓다. 결론적으로, 쇼울더(83)는 도 9에 도시된 바와 같이, 슬롯을 측방향으로 제한하는 샤워헤드의 하부(85)와 결합되어 지지한다(우리는 슬롯 측 벽(85)으로서 슬롯을 측방향으로 제한하는 샤워헤드의 부분을 지칭한다).
각각의 핀(81) 및 각각의 핀의 쇼울더(83)는 원형 또는 직사각형상의 단면과 같이, 소정의 단면 형상을 가질 수 있다. 예를 들면, 각각의 핀은 나사 형상 볼트로서 실시될 수 있으며 각각의 핀의 쇼울더는 볼트의 헤드일 수 있다.
상술된 바와 같이 샤워헤드와 직접 결합되어 지지하는 행거(80)는 행거의 제 1 또는 최하 "층"으로서 지칭된다. 하나의 가능한 실시예에서, 서스펜션은 오직 하나의 행거 층을 포함하며, 이 경우 각각의 행거는 샤워헤드(22)와 상부 벽(18) 사이로 연장하도록 충분히 길어야 한다.
더욱 바람직하게는, 서스펜션은 도 8 및 도 9에 도시된 바와 같이 다중 층의 행거(80)를 포함하여, 층의 개수가 정수 N인 경우, 각각의 행거의 높이는 개략적으로 N으로 나누어진 샤워헤드 와 상부 벽 사이의 거리이다. 도시된 실시예에서, 샤워헤드와 상부 벽 사이에 두 개의 층, 즉 N=2,이 있다.
각각의 행거(80)의 상부면은 샤워헤드의 대응하는 슬롯과 동일한 방위를 가지는 슬롯(82)을 가진다. 특히, 각각의 행거의 슬롯은 샤워헤드의 반경에 평행하게 지향되는, 즉 샤워헤드의 종방향 축선(55)(도 1)에 수직한, 가장 긴 치수를 가진다. 슬롯의 바로 아래 연속해서, 각각의 행거는 또한 슬롯을 통과하여 연장하는 핀(81)의 쇼울더(83) 보다 더 넓어, 핀이 슬롯내에서 슬라이드하는 동안 쇼울더(83)가 통과하여 슬라이드될 수 있는 캐비티가 제공된다.
가스 유입 매니폴드 상부 벽(18)의 하부면은 최상 층에 있는 다수의 행거(80)에 대응하는 다수의 하방 돌출 핀(81)을 포함한다. 핀(81)은 상부 벽의 둘레 근방을 따라 분포되는 위치에 위치한다. 각각의 행거의 하부면은 또한 하방으로 돌출되는 핀(81)을 갖는다. 상부 벽의 각각의 핀(81) 및 행거의 각각의 핀(81)은 상술된 바와 같이 쇼울더(83)를 갖는다.
상부 벽(18)의 각각의 핀은 대응하는 행거(80)의 슬롯(82)을 관통한다. 우리는 샤워헤드의 각각의 슬롯의 측벽(85)과 같이 측벽(85)을 가지는 각각의 행거의 슬롯을 참조한다. 즉, 우리는 슬롯 측벽(85)으로서, 슬롯(82)을 측방향으로 제한하여 그루브(84) 위에 수직으로 걸리는 행거의 부분을 참조한다. 도 9에 도시된 바와 같이, 핀의 쇼울더가 슬롯의 횡방향 폭 보다 더 넓기 때문에, 각각의 행거의 슬롯 측벽(85)은 슬롯을 통과하는 핀(81)의 쇼울더(83)에 놓인다. 결론적으로, 핀 및 쇼울더는 슬롯의 측벽(85)을 지지하며, 슬롯은 일 부분이 되는 행거의 지지부를 차례로 지지한다.
또한, 하나 이상의 행거 층이 있는 경우, 각각의 이러한 행거는 바로 아래 행거의 슬롯을 통과하는 핀을 가지기 때문에, 최하 층 위의 하나 이상의 층에 있는 각각의 행거는 아래의 행거를 지지하며, 각각의 핀은 바로 아래의 행거의 대응 슬롯(82)의 횡바향 폭 보다 더 넓은 쇼울더(83)를 갖는다.
결론적으로, 최하 층의 행거의 핀 및 쇼울더는 샤워헤드의 전체 중량을 지지한다. 상부 벽의 쇼울더 및 핀 모두 행거의 최상 층의 중량을 지지한다. 최하 층이 아닌 층의 각각의 층의 쇼울더 및 핀은 모두 바로 아래의 다음 행거 층의 중량 을 지지한다.
핀 및 슬롯은 각각의 행거가 샤워헤드 아래 및 가스 유입 매니폴드 상부 벽 위에 대해 반지름 방향으로 슬라이드되기 때문에, 샤워헤드는 온도 변화에 반응하여 자유롭게 팽창 및 접촉할 수 있다.
도 10에는, 샤워헤드 림(78) 및 샤워 헤드 림이 놓이는 핀의 쇼울더 사이의 마모에 의해 발생된 입자를 걸러내는 것이 바람직한 경우, 림은 (1) 슬롯(82) 아래 그루브(84), 및 그루브 아래 솔리드부(solid portion; 79)를 포함하도록 변형될 수 있다는 것이, 도시되어 있다.
도 11은 도 7 내지 도 10에 도시된 실시에에 대해 핀 및 슬롯이 반대로 되는 다른 실시예가 도시되어 있어, 각각의 핀(81)이 행거(81)의 슬롯(82) 또는 행거 위의 상부 벽(80)으로 상방으로 연장하고, 각각의 핀의 쇼울더(82)의 하부가 행거의 슬롯(82) 또는 행거 위의 상부 벽을 측방향으로 제한하는 슬롯 측벽(85)에 배치되어 지지된다. 그러나, 각각의 핀(81)이 슬롯(82)으로 하방으로 연장하는 실시예는 상향 그루브(84)가 슬롯의 측벽(85)과 쇼울더(83) 사이의 마모에 의해 발생된 입자를 걸러 낼 수 있어 입자가 공작물 위로 떨어지지 않기 때문에 바람직하다.
전술된 섹션 1에서 설명된 바와 같이, 하나 이상의 공정 가스는 가스 유입 매니폴드를 통하여 챔버로 분배된다. 가스 유입 매니폴드는 가스 유입 매니폴드 상부 벽(18), 샤워헤드(22)(또한 디퓨저 또는 가스 분배판으로 지칭됨), 및 가스 유입 매니폴드 측벽을 포함하며, 가스 유입 매니폴드 측벽은 상부 벽(18)과 샤워헤드 사이로 연장하며, 가스 유입 매니폴드 측벽, 상부 벽 및 샤워헤드가 집합되어 가스 유입 매니폴드의 내부 영역 또는 플레넘으로서 지칭되는 용적부를 둘러싼다.
도 8 내지 도 11의 각각의 실시예에서, 각각의 행거(80)의 횡방향 폭은 행거 내의 그루브(84)의 횡방향 폭 보다 많이 클 필요는 없다(횡방향 폭은, 도 8에서 좌측으로부터 우측으로의 행거의 폭이 되는, 행거가 슬라이드되는 방향에 대해 수직한 방향으로 행거의 폭을 의미한다). 결론적으로, 행거(80)는 가스 유입 매니폴드에 대한 측부 밀봉부로서 기능하기에 충분하도록 넓을 필요는 없다. 즉, 인접한 행거들 사이에 큰 횡방향 간격이 있는 경우, 행거는 가스 유입 매니폴드를 위한 가스 밀봉 측벽을 형성하지 않는다.
상기 경우에서, 가스 유입 매니폴드용 가스 밀봉 측벽은 가스 유입 매니폴드 상부 벽(18) 및 샤워헤드(22) 사이에 하나 이상의 가스 밀봉 부재(88)를 부착함으로써 형성되는 것이 바람직하다. 가스 유입 매니폴드 측벽(88)은 샤워헤드의 가스 유출 오리피스(30)와 상부 벽(18)의 가스 유입 오리피스(28) 사이로 연장한다. 가스 유입 매니폴드 측벽(88)은 반지름 방향으로 샤워헤드의 열 팽창을 수용하도록 충분히 가요적이어야 한다.
도 12는 샤워헤드(22)의 림(78)과 가스 유입 매니폴드 상부벽(18)의 주변에 부착되어 그 사이로 연장하는 하나 이상의 가요성 시트 또는 막(88)을 포함하는 가스 유입 매니폴드를 위한 하나의 적절한 가스 밀봉 측벽이 도시되어 있다. 샤워헤드의 종방향 축선(55)의 방향으로 시트 또는 막(88)의 길이 또는 높이는 상부 벽(18)과 샤워헤드 사이의 거리를 연결하기에 필요한 길이 또는 높이보다 더 길어서 시트가 샤워헤드의 열 팽창을 수용하도록 충분히 느슨하게 된다. 도시된 직사각형 샤워헤드의 경우, 가스 밀봉부(88)는 샤워헤드 및 상부 벽의 전체 주변 둘레로 연장하는 단일 시트일 수 있거나, 샤워헤드 및 상부 벽의 4개의 측부에 각각 부착되는 4개의 개별 시트를 포함할 수 있다.
도 13 및 도 14에는, 가요성 시트 또는 막(88)의 하단부가 가스 유출 오리피스(30)의 반지름 방향 외측 및 행거(80)의 반지름 방향 내측에 있는 표면을 따라 샤워헤드(22)에 부착되는 다른 실시예를 보여준다.
샤워헤드의 중량은 시트(88)가 아니라 행거(80)에 의해 전체적으로 지지된다. 따라서, 시트(88)는 무거한 샤워헤드를 지지하기 위해 충분히 강할 것이 아닌 유입 매니폴드로 공급되는 가스와 챔버 진공 사이의 압력차를 견딜 수 있도록 충분히 강해야 할 것만이 요구된다. 따라서, 시트는 매우 가요적이되기에 충분히 얇은 재료로 이루어질 수 있다.
도 15는 다른 실시예를 보여주는데, 여기서, 행거(80)는 추가의 가요성 밀봉부(88)에 대한 필요를 제거하는, 가스 유입 매니폴드의 가스 밀봉 측벽으로서 기능하다. 이는 인접한 행거의 단부가 플레넘(26)에 있는 공정 가스의 과도한 양이 샤워헤드의 가스 유출 오리피스(30)를 통과하여 유동하지 않고 행거들 사이의 갭을 통하여 누출되는 것을 방지하도록 서로에 대해 충분히 근접되도록, 각각의 행거(80)가 충분히 큰 횡방향 폭을 가질 것을 요구한다.
섹션 1에서 설명한 바와 같이, 수용가능한 누출 양은 가공 제품에 수행되는 프로세스에 종속되지만, 대부분의 프로세스에서 누출은 10 퍼센트 보다 작다. 즉, 가스 유입 오리피스(28)를 통과하여 플레넘(26)으로 유입되는 가스의 10 퍼센트(10 분의 일) 보다 작게 가스 유입 매니폴드 측벽을 통하여 누출되도록 하여, 가스의 적어도 90 퍼센트가 가스 유출 오리피스(30)를 통하여 진공 챔버로 분배된다. 최악의 상태에서, 플레넘으로 유입되는 가스의 40 퍼센트 보다 크지 않게 가스 유입 매니폴드 측벽을 통하여 누출되어야 한다.
바람직하게는, 따라서, 행거의 횡방향 폭이 충분히 크며, 행거들 사이의 갭이 충분히 작아서, 행거들 사이의 갭의 총 단면적("측벽 갭 면적")이 샤워헤드의 유출 오리피스의 가장 좁은 부분의 단면적 총합("샤워헤드 오리피스 면적") 보다 작아야 한다. 최악의 상태에서, 측벽 갭 면적이 샤워헤드 오리피스 면적의 40 퍼센트 보다 작아야 한다.
행거의 인접한 단부가 인접한 행거들 사이의 갭을 연결하는 가요성 밀봉부 또는 벨로우즈(도시안됨)에 부착되는 경우, 인접한 행거들의 측방향 단부들 사이의 갭을 통한 가스 누출이 추가로 감소될 수 있다.
도 16에서 행거(80)는 모든 쌍의 인접한 행거의 측방향 신장부가 중복되도록 충분히 넓은 측방향 신장부(89)를 포함한다. 각 쌍의 중복 연장부는 연장부들 사이의 갭이 플레넘으로부터 가스의 누출을 방해하도록 서로 충분히 인접하게 이격되어, 플레넘으로 유입되는 가스의 10% 이하(10분의 1)가 바람직하지만, 적어도 40% 이상(5분의 2)이 샤워헤드의 가스 유출 오리피스(30)를 통하여 유동하지 않고 상기 전체의 갭들을 통하여 누출되는 것을 방지한다. 이와 달리, 행거들 사이의 총 단면적은 샤워헤드의 유출 오리피스의 가장 좁은 부분의 단면적 총합의 40%(5분의 2) 보다 작으며 바람직하게는 10%(10분의 1) 보다 작아야 한다.
5. 와이어 서스펜션
도 17 및 도 18은 3개 이상의 가요성 와이어(86)에 의해 샤워헤드(22)가 매달리는 일 실시예를 보여준다. 각각의 와이어의 상단부는 가스 유입 매니폴드 상부 벽(18)의 둘레 근방의 지점에 부착되고, 각각의 와이어의 하단부는 샤워헤드의 둘레 근방의 지점에 부착된다. 상부 접합점은 상부 벽(18) 상에 둘레를 따라 분포되고, 하부 접착점은 샤워헤드에 둘레를 따라 분포된다.
도시된 바람직한 실시예에서, 샤워헤드 및 가스 유입 매니폴드 상부 벽(18)은 직사각형이며, 와이어의 개수는 4개이며, 와이어의 접착점은 가스 유입 매니폴드 상부 벽과 샤워헤드의 4개의 코너 근처에 있다. (4개의 와이어 및 이들의 접착점을 더욱 명확하게 도시하기 위해, 도 17 및 도 18은 후술되는 4개의 와이어, 샤워헤드, 가스 유입 매니폴드 상부 벽, 및 가스 밀봉부(88)가 아닌 모든 부품을 생략한다.)
와이어가 가요적이기 때문에, 샤워헤드는 응력 없이 온도 변화에 반응하여 자유롭게 팽창 및 수축한다.
바람직하게는, 와이어는 전기 전도 금속으로 구성되어, 와이어는 가스 유입 매니폴드 상부 벽으로부터 샤워헤드로 RF 전력을 전도하도록 기능할 수 있다.
와이어의 직경이 샤워헤드의 횡방향 폭의 작은 부분이기 때문에, 와이어는 가스 유입 매니폴드의 플레넘 또는 내부 영역(26)을 둘러싸도록 측벽으로서 기능할 수 없다. 결론적으로, 개별 가스 밀봉부(88)는 가스 유입 매니폴드의 측부를 둘러 싸기 위해 제공되어야 한다. 가스 밀봉부는 샤워헤드의 열 팽창을 수용하도록 충 분히 가요적이어야 한다.
상술된(도 12 내지 도 14) 섹션 4에서 설명된 가스 밀봉부(88)에 대한 소정의 설계는 와이어 서스펜션(86)과 조합되어 이용하기 위해 동일한 것이 적절하다. 도 17 및 도 18은 도 12에 도시되는 주변 밀봉부와 유사한 주변 밀봉부(88)를 보여준다. 도 19는 도 13 및 도 14에 도시된 밀봉부(88)와 유사한, 가스 유출 오리피스(30)의 반지름 방향 외측 및 와이어(86)의 반지름 방향 내측에 있는 표면을 따라 샤워헤드(22)에 부착된 다른 밀봉부(88)를 보여준다.
6. 관절식 조인트를 구비한 서스펜션 로드(Suspension Rods with Articulating Joints)
도 20은 샤워헤드가 와이어 대신 로드(90)에 의해 매달리는 것을 제외하고, 도 19의 실시예와 유사한 실시예를 보여준다. 각각의 로드의 하단부 및 상단부는 각각 관절식 조인트(91)에 의해 가스 유입 매니폴드 상부 벽 및 샤워헤드에 각각 부착된다. 관절식 조인트는 가요적이 되도록 하는 로드에 대한 어떠한 요구도 제거하여, 로드가 두껍거나 강성을 가질 수 있다.
관절식 조인트는 종래의 볼 및 소켓 조인트와 같이, 로드가 조인트에서 피봇되도록 하는 소정의 종래 설계일 수 있다. 볼 및 소켓 조인트의 적은 비용 지출을 위해 도 20에 도시된 바와 같이, 소켓 대신 구멍 또는 슬롯 그리고 볼 대신 쇼울더 스크류의 헤드를 이용할 수 있다. 슬롯은 횡방향 또는 반지름 방향으로 신장될 수 있어, 로드가 피봇팅에 부가하여 횡방향 또는 반지름 방향으로 슬라이드되는 것을 허용한다.
시트(88)는 제목이 "와이어 서스펜션"인, 상술된 섹션 5에 설명된 바와 같이 가스 유입 매니폴드의 측부를 위한 가스 밀봉부가 제공되어야 한다.
7. 고열 팽창 상수를 가진 지지부에 대한 강성 장착
도 21 및 도 22는 샤워헤드가 반지름 방향으로 열 팽창 및 수축을 겪을 때 샤워헤드상의 응력을 감소시키는, 소정의 가요성 또는 슬라이딩 부품을 요구하지 않고, 샤워헤드가 강성으로 조립되도록 하는 다른 설계를 보여준다. 이러한 설계에 대한 유일한 요소는 샤워헤드(22)의 재료 보다 더 높은 열 팽창 계수를 가지는 재료로 이루어지는 샤워헤드 지지 부재(100)이다. 샤워헤드는 고열 팽창 계수 지지 부재(100)에 강성으로 매달린다. 서스펜션은 하나 이상의 스페이서(102)를 포함하며 각각의 스페이서는 지지 부재(100)의 둘레 근방에 부착되는 상단부 및 샤워헤드(22)의 둘레 근방에 부착되는 하단부를 가진다.
샤워헤드 지지 부재(100), 스페이서(102), 및 샤워헤드(22)가 집합되어 공정 가스가 샤워헤드 가스 유출 오리피스(30)를 통하여 진공 챔버의 내부로 분배되기 전에 공정 가스가 유동하는 용적부 또는 플레넘(101)을 둘러싼다. 이러한 부품은 플레넘 주위의 실질적인 기밀 밀봉을 제공하여 무시가능한 양 보다 적은 가스가 샤워헤드 유출 오리피스(30)를 통과하지 않고 플레넘 내부로부터 진공 챔버의 외부로 유출된다.
샤워헤드가 원형인 경우, 스페이서(102)는 원통형인 것이 바람직하다. 도시된 바람직한 실시예에서, 샤워헤드는 직사각형이고 스페이서(102)는 개방된 중앙부를 둘러싸는 직사각형 프레임이다. 이와 달리, 다중 스페이서(102)는 샤워헤드 (22)의 둘레를 따라 분포될 수 있지만, 가스 밀봉부가 인접한 스페이서들 사이에 제공되어야 한다.
가스 도관(106)은 가스 유입 오리피스(28)에 결합되는 진공 챔버 상부 벽(18)에 부착되는 상단부를 가진다. 가스 도관(106)의 하단부는 샤워헤드 지지 부재에 있는 하나 이상의 가스 오리피스(104)에 결합되는 샤워헤드 지지 부재(100)에 부착된다. 결론적으로, 가스 도관(106)은 플레넘(101)을 통하여, 샤워헤드 지지 부재에 있는 가스 오리피스(104)를 통하여 가스 유입 오리피스(28)로부터 유동하여 샤워헤드 가스 유출 오리피스(30)를 통과하도록 공정 가스용 통로가 제공된다.
이러한 설계의 작동 원리는 다음과 같다. 플레넘(101)내에서 공정 가스를 통한 열 전도 및 대류는 샤워헤드(22) 및 샤워헤드 지지 부재(100) 사이의 열 전달 기능을 한다. 따라서, 샤워헤드 지지 부재(100)의 온도는 샤워헤드의 온도가 증가 및 감소할 때 증가 및 감소한다. 스페이서(102)는 또한 샤워헤드 및 지지 부재 사이에 열을 전달할 수 있다. 가스 및 스페이서를 통한 열 전달이 100 퍼센트 효율 보다 적기 때문에,지지 부재는 일반적으로 샤워헤드보다 온도가 낮다. 따라서, 지지 부재 및 샤워헤드가 동일한 열 팽창 계수를 가지는 경우, 지지 부재는 챔버에서 수행되는 프로세스로부터의 열에 반응하여 샤워헤드 만큼 많이 팽창 및 수축하지 않는다.
그러나, 본 발명에 따라, 지지 부재(100)의 열 팽창 계수는 최적 양 만큼 샤워헤드의 열 팽창 계수를 초과하여, 지지 부재가 샤워헤드와 개략적으로 동일한 양만큼 반지름 방향으로 팽창된다. 즉, 지지 부재(100)는 열 팽창 계수가 최적 양 만큼 샤워헤드의 열 팽창 계수를 초과하는 재료로 이루어져, 지지 부재가 더 높은 온도에 반응하여 샤워헤드의 반지름 팽창에 개략적으로 동일한 양 만큼 반지름방향으로 팽창한다. 지지 부재의 최적 열 팽창 계수는 챔버에서 수행되는 프로세스 동안 발생되는 것과 동일하게 온도 변화에 반응하여 샤워헤드와 개략적으로 동일한 양 만큼 팽창 및 수축하도록 하는 재료를 선택하고 상이한 재료의 지지 부재(100)를 제조함으로써 경험적으로 결정될 수 있다.
가스 도관(106)이 지지 부재(100)의 중앙 근처의 샤워헤드 지지 부재에 부착되기 때문에, 상기 지점에서의 지지 부재의 반지름방향 팽창은 둘레 근방의 열 팽창과 비교하여 무시가능하다. 따라서, 가스 도관은 샤워헤드 지지 부재에 강성으로 부착될 수 있다. 심사숙고된 일 실시예에서, 가스 도관은 샤워헤드 지지 부재 및 샤워헤드의 전체 중량을 지지한다. 더욱 바람직하게는, 히터 및 샤워헤드의 중량을 지지하기 위한 부가 지지부는 지지 부재(100)와 상부 벽(18) 사이의 상술된 섹션 2 내지 6에서 설명된 가요성 또는 슬라이딩 서스펜션 중 어느 하나에 부착됨으로써 제공될 수 있다. 이와 달리, 제목이 "관련 출원의 교차 참조" 하에서 본 출원 명세서의 도입부에서 기재된, 본원에서 우선권으로 청구하는 두 개의 상술된 특허 출원에서 설명된 소정의 가요성 서스펜션은 지지 부재(100) 및 상부 벽(18) 사이에 부착될 수 있다. 도 21 및 도 22에 도시된 바람직한 실시예에서, 이러한 지지부는 섹션 5에서 설명되는 도 17 내지 도 19의 실시예에서와 같이, 샤워헤드의 4개의 코너에 각각 부착되는 4개의 가요성 와이어(86)가 제공된다.
가스 도관(106), 지지 부재(100), 및 스페이서(102)는 이들이 모두 전기 전 도성 재료로 구성되는 경우, RF 전력을 챔버 상부 벽(18)으로부터 샤워헤드로 전도하도록 기능할 수 있다. 각각의 이러한 부품이 강성으로 부착될 수 있기 때문에, 상술된 각각의 부착점은 유용한 RF 전기 컨덕턴스를 제공하도록 용이하게 실시될 수 있다.
8. 샤워헤드 후방의 히터
상술된 다양한 서스펜션 설계는 전체 샤워헤드의 온도의 증가 및 감소에 반응하여 샤워헤드의 반지름방향 팽창 및 수축에 의한 응력을 방지하기 위한 것이다. 전술된 설계에 의해 충분히 설명되지 않은 부가 문제점은, 전방면이 챔버에서 주요 열원으로 향하고 있기 때문에 샤워헤드의 전방(하부) 표면이 일반적으로 샤워헤드의 후방(상부) 표면 보다 더 고온이라는 것이다. 상기 주요 열원은 (1) 통상적으로 가공물(10)를 가열하기 위한 가열기를 포함하는 가공물 지지부(12), 및 (2) 플라즈마 프로세스의 경우, 샤워헤드와 가공물 지지부 사이의 영역에서의 플라즈마이다. 대비하면, 샤워헤드의 후방면은 가스 유입 매니폴드의 상부 벽(18)과 같이, 통상적으로 샤워헤드 보다 더 온도가 낮은 표면으로 노출된다.
샤워헤드의 전방면이 후방면 보다 온도가 높을 때, 전방면은 후방면 보다 더 팽창되어, 샤워헤드가 열원을 향하여 외측으로 부풀어 오른다. 즉, 전방면이 더 볼록하게 된다. 가공물 상에 수행되는 프로세스의 공간적 균일성을 손상시키기 때문에, 서두의 "발명이 속하는 기술분야 및 그 분야의 종래기술" 아래 설명된 바와 같이, 샤워헤드의 전방면의 외형(평탄도 또는 곡률)에 대한 임의의 변화는 바람직하지 않다.
도 23은 부풀어 오르는 문제점에 대한 해결책이다. 높은 온도 계수를 가지는 샤워헤드 지지 부재(100)가 히터(110)로 대체되는 것을 제외하고, 도 23의 설계는 도 16에 도시된 설계와 유사하다. 히터는 샤워헤드의 후방면으로 열을 제공함으로써 전방면 보다 더 온도가 낮은 후방면을 가지는 샤워헤드의 문제점을 극복한다. 히터는 가공물으로부터의 열 손실을 감소시킬 수 있는, 전체 샤워헤드의 온도를 상승시키는 부가 장점을 가진다.
히터는 히터의 몸체에 매립된 전기 가열 요소와 같은 히터의 소정의 종래 열원, 또는 히터의 몸체를 통해 고온 유체를 펌핑하기 위한 채널을 적용할 수 있다. 열은 히터로부터 히터와 샤워헤드 사이의 플레넘 영역(101)을 통하여 유동하는 공정 가스를 통하여 전기 전도 및 대류에 의해 및 복사에 의해 샤워헤드(22)의 후방면으로 전달된다. 이러한 열 전달 기구가 충분히 유용하여 스페이서(102)에 대해 서는 유용한 열 전도체가 필요하지 않다.
샤워헤드의 온도가 앞의 문장에서 설명되는 열 전달 때문에 히터 보다 약간만 낮거나 거의 동일하다. 따라서, 히터의 몸체가 샤워헤드와 동일하거나 약간 낮은 열 팽창 계수를 가지는 재료로 이루어지고, 히터 및 샤워헤드는 온도에 반응하여 개략적으로 동일한 양의 반지름방향 팽창을 겪는다. 결론적으로, 스페이서(102)는 히터와 샤워헤드 사이에 강성으로 부착될 수 있다.
히터(110)는 위의 섹션 7에 설명되는 샤워헤드 지지부(100)의 가스 오리피스(104) 처럼 하나 이상의 가스 오리피스(104)를 가져야 한다. 또한, 섹션 7에서 설명되는 바와 같이, 가스 도관(106)은 진공 챔버 상부 벽(18) 및 히터(110) 사이에 강성으로 부착되어 히터 및 샤워헤드의 중량 둘다를 지지하고 공정 가스를 가스 유입 오리피스(28)로부터 히터의 가스 오리피스(104)를 통하여 플레넘(101)을 통하여 샤워헤드 유출 오리피스(30)를 통하여 챔버 내부로 유동하다.
가스 도관(106), 히터(110), 및 스페이서(102)는, 이들 모두 전기 전도성 재료로 구성되는 경우, RF 전력을 챔버 상부 벽(18)으로부터 샤워헤드로 전도하는 기능을 한다. 각각의 이러한 부품들이 강성으로 부착되어 있기 때문에, 상술된 각각의 부착점이 용이하게 실시되어 유용한 RF 전기 컨덕턴스를 제공한다.
스페이서(102)는 섹션 7에서 설명된 바와 같이 실시될 수 있어 히터(110) 및 샤워헤드(22)를 조합하여 플레넘(101)을 위한 실질적인 기밀 엔클로저를 형성한다. 섹션 7에서 설명된 바와 같이, 히터 및 샤워헤드를 위한 부가 지지는 히터의 주변 및 상부 벽(18) 사이의 상술된 섹션 2 내지 6에서 설명된 소정의 가요성 또는 슬라이딩 서스펜션을 부착함으로써 제공될 수 있다. 이와 달리, 제목 "관련 출원에 대한 교차 참조" 하에서 본 특허 출원의 서두에서 표시한 바와 같은, 본 출원에서 우선권을 청구하는 두 개의 이전 특허 출원에서 설명된 소정의 가요성 서스펜션 설계는 히터(110)와 상부 벽(18) 사이에 부착될 수 있다. 도 23에 도시된 바람직한 실시예에서, 이러한 지지는 직사각형 히터(110)의 4개의 코너와 상부 벽(18) 사이에 각각 부착되는 4개의 가요성 와이어(86)에 의해 제공된다.
이와 달리, 도 23에 도시된 서스펜션 와이어(86)는 챔버 벽(18)과 집합되어, 샤워헤드를 지지하는 부재(지지 선반(54) 또는 행거(70 또는 80))가 가스 유입 매니폴드 플레넘(26)을 밀봉되게 둘러싸는, 상술된 섹션 2 내지 4에서 설명되는 서스 펜션들 중 하나에 의해 대체될 수 있다. 이 경우, 부가 밀봉 도관(106)이 필요하지 않다. 이러한 선택예는, 플레넘(101)에 있는 공정 가스의 혼합 또는 원하는 공간 분배를 달성하도록 히터에서 다수의 공간적으로 분포되는 가스 오리피스(104)를 제공하고자 하는 경우 특히 유용하다.
더욱 일반적으로, 상술된 섹션 2 내지 6 또는 상술된 이전 특허 출원에 설명된 가요성 또는 슬라이딩 서스펜션을 위한 소정의 설계에서, 여기에 설명된 샤워헤드(22)는 히터(110), 스페이서(102) 및 샤워헤드(22)를 포함하는 섹션 8에서 설명되는 전체 조립체에 의해 대체될 수 있다. 가요성 또는 슬라이딩 서스펜션 부재 또는 행거는 상술한 설계들 중 하나에 있는 샤워헤드에 부착될 수 있으며, 이러한 서스펜션 부재 또는 행거는 섹션 8에서 설명되는 조립체의 히터(110), 스페이서(102), 또는 샤워헤드(22)에 대신 부착될 수 있다.
이와 같이, 본 발명은 열 팽창 또는 수축에 의한 샤워헤드의 전방면의 외형(즉, 평편도 또는 곡률)의 소정의 변형을 최소화하거나 제거할 수 있는 효과가 있음은 물론, 그 외 상술된 여러 작용 효과들을 얻을 수 있다.

Claims (56)

  1. 상방 쪽 표면을 가지는 선반, 및
    주변 림, 상부면, 하부면, 및 상기 상부면과 상기 하부면 사이로 연장하는 하나 이상의 가스 오리피스를 가지는 샤워헤드를 포함하며,
    상기 샤워헤드의 림은 하방 쪽 표면을 포함하며,
    상기 샤워헤드는 상기 샤워헤드의 림의 하방 쪽 표면이 상기 선반의 상방 쪽 표면 상에 배치되고 상기 샤워헤드의 림이 상기 선반의 상방 쪽 표면을 따라 자유롭게 슬라이딩되도록 위치하는,
    샤워헤드를 지지하기 위한 장치.
  2. 제 1 항에 있어서,
    챔버 내부를 둘러싸는 챔버 벽을 가지는 진공 챔버를 더 포함하며,
    상기 샤워헤드는 상기 챔버 내부에 위치하며,
    상기 선반은 상기 챔버 벽에 부착된,
    샤워헤드를 지지하기 위한 장치.
  3. 제 1 항에 있어서,
    챔버 내부를 둘러싸는 챔버 벽을 가지는 진공 챔버, 및
    상기 챔버 내부 쪽 상기 챔버 벽의 표면을 덮는 유전체 라이너를 더 포함하 며,
    상기 샤워헤드는 상기 챔버 내부 내에 위치하고,
    상기 유전체 라이너는 상기 선반을 포함하는,
    샤워헤드를 지지하기 위한 장치.
  4. 제 1 항에 있어서,
    상기 림은 상기 샤워헤드와 일체로 형성된 부분인,
    샤워헤드를 지지하기 위한 장치.
  5. 제 1 항에 있어서,
    상기 샤워헤드는 직사각형이며,
    상기 림은 상기 샤워헤드에 부착된 직사각형 프레임인,
    샤워헤드를 지지하기 위한 장치.
  6. 제 1 항에 있어서,
    상기 샤워헤드는 직사각형이며,
    상기 림은 직사각형이고 4개의 측부를 가지며,
    상기 선반은 상기 림의 4개의 각각의 측부에 각각 접하는 4개의 개별 피스를 포함하는,
    샤워헤드를 지지하기 위한 장치.
  7. 제 1 항에 있어서,
    상기 샤워헤드는 원통형이며,
    상기 림은 고리형이며,
    상기 선반은 고리형인,
    샤워헤드를 지지하기 위한 장치.
  8. 제 1 항에 있어서,
    상기 선반은 하나의 연속 피스인,
    샤워헤드를 지지하기 위한 장치.
  9. 제 1 항에 있어서,
    상기 선반은 다수의 피스를 포함하며,
    상기 선반의 인접 피스가 접하는,
    샤워헤드를 지지하기 위한 장치.
  10. 제 1 항에 있어서,
    상기 선반은 갭에 의해 분리되는 다수의 피스를 포함하며,
    상기 선반의 인접한 피스들 사이의 갭은 상기 샤워헤드의 유출 오리피스 각 각의 가장 좁은 부분의 단면적 총합의 10분의 1 보다 작은 총 단면적을 가지는,
    샤워헤드를 지지하기 위한 장치.
  11. 제 1 항에 있어서,
    챔버 내부를 둘러싸는 챔버 벽을 가지는 진공 챔버를 더 포함하며,
    상기 챔버 벽은 하나 이상의 가스 유입 오리피스를 포함하고,
    상기 샤워헤드는 상기 챔버 내부에 위치하고,
    상기 선반은 다수의 피스를 포함하고,
    상기 선반의 각각의 피스의 외측부는 상기 챔버 벽에 부착되어 상기 챔버, 상기 샤워헤드, 및 상기 선반의 피스가 집합되어 상기 챔버 벽의 가스 유입 오리피스와 상기 샤워헤드의 가스 유출 오리피스와 유체 소통되는 용적부를 둘러싸며,
    상기 선반의 인접한 피스는, 가스 유입 오리피스를 통하여 일정한 양의 가스가 유동하여 상기 가스 양의 적어도 90퍼센트가 상기 샤워헤드의 가스 유출 오리피스를 통해 유동하도록 충분히 작은 갭에 의해 분리되는,
    샤워헤드를 지지하기 위한 장치.
  12. 제 1 항에 있어서,
    상기 샤워헤드의 림은 (i) 소켓 및 (ii) 상기 소켓 내에서 롤링가능하게 장착되는 글라이드를 더 포함하며,
    상기 샤워헤드 림의 하방 쪽 표면은 상기 글라이드의 표면을 포함하는,
    샤워헤드를 지지하기 위한 장치.
  13. 제 1 항에 있어서,
    상기 선반은 (i) 소켓 및 (ii) 상기 소켓 내에서 롤링가능하게 장착되는 글라이드를 더 포함하며,
    상기 선반의 상방 쪽 표면은 상기 글라이드의 표면을 포함하는,
    샤워헤드를 지지하기 위한 장치.
  14. 제 1 항에 있어서,
    상기 샤워헤드의 림은 하방 연장 립을 더 포함하고, 상기 림의 하방 쪽 표면은 상기 하방 연장 립의 표면이며,
    상기 선반은 상기 선반의 상기 상방 쪽 표면의 반지름방향 내측에 위치되는 상방 연장 립을 더 포함하는,
    샤워헤드를 지지하기 위한 장치.
  15. 제 14 항에 있어서,
    상기 샤워헤드 림의 하방으로 연장하는 립은 소켓 및 상기 소켓 내에 롤링가능하게 장착되는 글라이드를 더 포함하며,
    상기 샤워헤드 림의 하방 쪽 표면은 상기 글라이드의 표면을 포함하는,
    샤워헤드를 지지하기 위한 장치.
  16. 제 14 항에 있어서,
    상기 상방으로 연장하는 립은 높이에 특징있고,
    상기 하방으로 연장하는 립은 상기 상방으로 연장하는 립의 높이 보다 더 큰 높이에 특징이 있는,
    샤워헤드를 지지하기 위한 장치.
  17. 제 1 항에 있어서,
    하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽, 및
    상부 및 하부를 가지는 행거를 더 포함하며,
    상기 행거의 상부는 상기 가스 유입 매니폴드 상부 벽에 부착되고, 상기 선반은 상기 행거의 하부에 부착되는,
    샤워헤드를 지지하기 위한 장치.
  18. 제 17 항에 있어서,
    상기 가스 유입 매니폴드 상부 벽, 상기 행거, 상기 선반, 상기 샤워헤드 림, 및 상기 샤워헤드는 각각 전기 전도성이고 서로 직렬로 부착되어 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이에 전기 전도성 연결을 제공하는,
    샤워헤드를 지지하기 위한 장치.
  19. 하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽,
    하나 이상의 가스 오리피스를 가지는 샤워헤드,
    하나 이상의 행거를 포함하는 제 1 행거 층, 및
    다수의 슬라이딩 조인트를 포함하며,
    상기 각각의 행거는 상부 및 하부를 포함하며,
    상기 각각의 행거의 상부는 상기 가스 유입 매니폴드 상부 벽에 부착되고,
    상기 각각의 슬라이딩 조인트는 슬롯 내에서 슬라이딩가능한 핀을 포함하며,
    상기 제 1 행거 층의 각각의 행거의 하부는 하나 이상의 슬라이딩 조인트에 의해 상기 샤워헤드에 부착되어 상기 제 1 행거 층의 각각의 행거와 상기 샤워헤드 사이의 상대적인 슬라이딩 운동을 가능하게 하고 상기 제 1 행거 층의 행거는 상기 샤워헤드를 지지하는,
    샤워헤드를 지지하기 위한 장치.
  20. 제 19 항에 있어서,
    상기 각각의 슬라이딩 조인트의 핀이 부착되어 상기 행거들 중 하나의 하부로부터 하방으로 연장하며,
    상기 각각의 슬라이딩 조인트의 슬롯이 상기 샤워헤드에 형성되는,
    샤워헤드를 지지하기 위한 장치.
  21. 제 20 항에 있어서,
    상기 각각의 핀은 상기 핀이 슬라이드되는 상기 슬롯의 횡방향 폭 보다 더 넓은 쇼울더를 포함하며,
    상기 샤워헤드는 상기 핀의 쇼울더에 배치되는,
    샤워헤드를 지지하기 위한 장치.
  22. 제 19 항에 있어서,
    상기 각각의 슬라이딩 조인트의 핀이 상기 샤워헤드에 부착되어 상기 샤워헤드로부터 상방으로 연장하며,
    상기 각각의 슬라이딩 조인트의 슬롯은 상기 행거들 중 하나의 하부에 형성되는,
    샤워헤드를 지지하기 위한 장치.
  23. 제 22 항에 있어서,
    상기 각각의 슬롯은 상기 슬롯이 형성되는 상기 행거의 하부에 있는 슬롯 측벽에 의해 제한되며,
    상기 각각의 핀은 상기 핀이 슬라이드되는 상기 슬롯의 횡방향 폭 보다 더 넓은 쇼울더를 포함하며,
    상기 각각의 핀의 쇼울더는 상기 핀이 슬라이드되는 상기 슬롯의 측벽 상에 배치되는,
    샤워헤드를 지지하기 위한 장치.
  24. 제 19 항에 있어서,
    상기 슬라이딩 조인트는 상기 샤워헤드의 둘레 근방에 분포된 위치에서 상기 샤워헤드에 부착되는,
    샤워헤드를 지지하기 위한 장치.
  25. 제 19 항에 있어서,
    상기 샤워헤드는 종방향 축선에 특징이 있고,
    상기 각각의 슬롯은 상기 샤워헤드의 종방향 축선에 수직 방향으로 가장 큰 치수를 가지는,
    샤워헤드를 지지하기 위한 장치.
  26. 제 19 항에 있어서,
    상기 제 1 행거 층의 각각의 행거의 상부가 상기 가스 유입 매니폴드 상부 벽에 직접 부착되는,
    샤워헤드를 지지하기 위한 장치.
  27. 제 19 항에 있어서,
    상기 제 1 행거 층의 각각의 행거의 상부는 상기 가스 유입 매니폴드 상부 벽에 슬라이드가능하게 부착되는,
    샤워헤드를 지지하기 위한 장치.
  28. 제 19 항에 있어서,
    상기 제 1 행거 층의 각각의 행거의 상부는 하나 이상의 슬라이딩 조인트에 의해 상기 가스 유입 매니폴드 상부 벽에 부착되며, 상기 하나 이상의 슬라이딩 조인트는 상기 제 1 행거 층의 각각의 행거와 상기 가스 유입 매니폴드 상부 벽 사이의 상대적인 운동을 허용하는,
    샤워헤드를 지지하기 위한 장치.
  29. 제 19 항에 있어서,
    하나 이상의 행거를 포함하는 제 2 행거 층을 더 포함하며,
    상기 제 2 행거 층의 각각의 행거가 상기 제 1 행거 층의 행거들 중 하나와 상기 가스 유입 매니폴드 상부 벽 사이에서 이들이 부착되며,
    상기 제 2 행거 층의 각각의 행거는 상부 및 하부를 포함하며,
    상기 제 2 행거 층의 각각의 행거의 상부는 상기 가스 유입 매니폴드 상부 벽에 부착되며,
    상기 제 2 행거 층의 각각의 행거의 하부는 하나 이상의 슬라이딩 조인트에 의해 상기 제 1 행거 층의 행거들 중 대응하는 하나의 행거의 상부에 부착되어 상기 제 1 행거 층의 각각의 행거와 상기 제 1 행거 층의 대응 행거 사이의 상대적인 슬라이딩 운동을 허용하고 상기 제 2 행거 층의 행거들은 상기 제 1 행거 층의 행 거들을 지지하는,
    샤워헤드를 지지하기 위한 장치.
  30. 하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽,
    하나 이상의 가스 오리피스를 가지는 샤워헤드,
    하나 이상의 행거를 포함하는 제 1 행거 층, 및
    다수의 슬라이딩 조인트를 포함하며,
    상기 각각의 행거의 하부는 상기 샤워헤드에 부착되고,
    상기 각각의 슬라이딩 조인트는 슬롯 내에서 슬라이딩가능한 핀을 포함하며,
    상기 제 1 행거 층의 각각의 행거의 상부는 하나 이상의 슬라이딩 조인트에 의해 상기 가스 유입 매니폴드 상부 벽에 부착되어 상기 제 1 행거 층의 각각의 행거와 상기 가스 유입 매니폴드 상부 벽 사이의 상대적인 슬라이딩 운동을 허용하고 상기 가스 유입 매니폴드 상부 벽은 상기 제 1 행거 층의 행거를 지지하는,
    샤워헤드를 지지하기 위한 장치.
  31. 하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽,
    하나 이상의 가스 오리피스를 가지는 샤워헤드,
    3개 이상의 가요성 와이어를 포함하며,
    상기 각각의 와이어는 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이에 부착되어 상기 와이어가 상기 가스 유입 매니폴드 상부 벽에 상기 샤워헤드를 매다는,
    샤워헤드를 지지하기 위한 장치.
  32. 제 31 항에 있어서,
    상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이에 부착되는 하나 이상의 가요성 시트를 더 포함하며,
    상기 시트는 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이로 연장하는 용적부를 둘러싸도록 위치하며,
    상기 용적부는 하나 이상의 가스 유입 매니폴드와 상기 샤워헤드의 가스 오리피스와 유체 소통되는,
    샤워헤드를 지지하기 위한 장치.
  33. 제 32 항에 있어서,
    상기 가요성 와이어가 상기 용적부의 외부에 위치하는,
    샤워헤드를 지지하기 위한 장치.
  34. 제 32 항에 있어서,
    상기 가요성 와이어가 상기 용적부 내에 위치하는,
    샤워헤드를 지지하기 위한 장치.
  35. 제 31 항에 있어서,
    상기 가스 유입 매니폴드 상부 벽, 상기 와이어, 및 상기 샤워헤드가 각각 전기 전도성이고 서로 직렬로 부착되어 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이의 전기 전도성 연결을 제공하는,
    샤워헤드를 지지하기 위한 장치.
  36. 하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽,
    하나 이상의 가스 오리피스를 가지는 샤워헤드,
    세 개 이상의 로드를 포함하며,
    상기 각각의 로드는 상기 가스 유입 매니폴드 상부 벽에 부착되는 제 1 단부 및 상기 샤워헤드에 부착되는 제 2 단부를 가져서 상기 로드가 상기 가스 유입 매니폴드 상부 벽에 상기 샤워헤드를 매달며,
    상기 각각의 로드는 하나 이상의 관절형 조인트를 포함하는,
    샤워헤드를 지지하기 위한 장치.
  37. 제 36 항에 있어서,
    상기 각각의 로드는 상기 제 1 및 제 2 단부 사이로 연장하는 중앙 부분을 포함하며,
    상기 각각의 로드의 하나 이상의 관절형 조인트는 상기 로드의 중앙 부분과 상기 가스 유입 매니폴드 상부 벽 사이의 상기 로드의 제 1 단부에 위치하는,
    샤워헤드를 지지하기 위한 장치.
  38. 제 36 항에 있어서,
    상기 각각의 로드는 상기 제 1 단부와 상기 제 2 단부 사이로 연장하는 중앙 부분을 포함하며,
    상기 각각의 로드의 하나 이상의 관절형 조인트가 상기 로드의 중앙부와 상기 샤워헤드 사이에서 상기 로드의 제 2 단부에 위치하는,
    샤워헤드를 지지하기 위한 장치.
  39. 제 36 항에 있어서,
    상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이에 부착되는 하나 이상의 가요성 시트를 더 포함하며,
    상기 시트는 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이로 연장하는 용적부를 둘러싸도록 위치하며,
    상기 용적부는 상기 샤워헤드의 가스 오리피스와 상기 하나 이상의 가스 유입 오리피스와 유체 소통되는,
    샤워헤드를 지지하기 위한 장치.
  40. 제 39 항에 있어서,
    상기 가요성 로드는 상기 용적부의 외부에 위치하는,
    샤워헤드를 지지하기 위한 장치.
  41. 제 39 항에 있어서,
    상기 가요성 로드는 상기 용적부내에 위치하는,
    샤워헤드를 지지하기 위한 장치.
  42. 제 36 항에 있어서,
    상기 가스 유입 매니폴드 상부 벽, 상기 로드, 및 상기 샤워헤드는 각각 전기 전도성이며 서로 직렬로 부착되어 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이에 전기 전도성 연결을 제공하는,
    샤워헤드를 지지하기 위한 장치.
  43. 하나 이상의 가스 유출 오리피스를 가지는 샤워헤드,
    하나 이상의 가스 오리피스를 가지는 지지 부재, 및
    상기 지지 부재와 상기 샤워헤드 사이에 부착되는 스페이서를 포함하며,
    상기 스페이서는 상기 스페이서, 상기 지지 부재 및 상기 샤워헤드가 집합되어 상기 지지 부재의 가스 오리피스로부터 상기 샤워헤드의 가스 유출 오리피스로 가스가 유동할 수 있는 용적부를 둘러 싸도록 위치하며,
    상기 지지 부재는 상기 샤워헤드 보다 더 높은 열 팽창 계수를 가지는,
    샤워헤드를 지지하기 위한 장치.
  44. 제 43 항에 있어서,
    하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽, 및
    상기 가스 유입 매니폴드의 가스 유입 오리피스와 상기 지지 부재의 가스 오리피스 사이에 연결되는 가스 도관을 더 포함하는,
    샤워헤드를 지지하기 위한 장치.
  45. 제 44 항에 있어서,
    상기 가스 유입 매니폴드 상부 벽, 상기 가스 도관, 상기 지지 부재, 상기 스페이서, 및 상기 샤워헤드는 각각 전기 전도성이고 서로 직렬로 부착되어 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이의 전기 전도성 연결을 제공하는,
    샤워헤드를 지지하기 위한 장치.
  46. 제 43 항에 있어서,
    상기 각각의 스페이서의 제 2 단부가 상기 샤워헤드의 둘레 근방에서 상기 샤워헤드에 부착되는,
    샤워헤드를 지지하기 위한 장치.
  47. 제 43 항에 있어서,
    상기 샤워헤드는 직사각형이며,
    상기 스페이서는 개방 중앙부를 가지는 직사각형 프레임을 포함하는,
    샤워헤드를 지지하기 위한 장치.
  48. 제 43 항에 있어서,
    상기 샤워헤드는 4개의 측부를 구비한 직사각형이며,
    상기 스페이서는 상기 샤워헤드의 4개의 각각의 측부에 인접하여 각각 부착되는 4개의 스페이서 세그먼트를 포함하는,
    샤워헤드를 지지하기 위한 장치.
  49. 하나 이상의 가스 유출 오리피스를 가지는 샤워헤드,
    하나 이상의 가스 오리피스를 가지는 히터, 및
    상기 히터와 상기 샤워헤드 사이에 부착되는 스페이서를 포함하며,
    상기 스페이서는 상기 스페이서, 상기 히터 및 상기 샤워헤드가 집합되어 상기 히터의 가스 오리피스로부터 상기 샤워헤드의 가스 유출 오리피스로 가스가 유동할 수 있는 용적부를 둘러싸도록 위치하는,
    샤워헤드를 지지하기 위한 장치.
  50. 제 49 항에 있어서,
    하나 이상의 가스 유입 오리피스를 가지는 가스 유입 매니폴드 상부 벽, 및
    상기 가스 유입 매니폴드의 가스 유입 오리피스와 상기 히터의 가스 오리피스 사이에 연결되는 가스 도관을 더 포함하는,
    샤워헤드를 지지하기 위한 장치.
  51. 제 50 항에 있어서,
    상기 가스 유입 매니폴드 상부 벽, 상기 가스 도관, 상기 히터, 상기 스페이서 및 상기 샤워헤드는 각각 전기 전도성이며 서로 직렬로 부착되어 상기 가스 유입 매니폴드 상부 벽과 상기 샤워헤드 사이에 전기 전도성 연결을 제공하는,
    샤워헤드를 지지하기 위한 장치.
  52. 제 49 항에 있어서,
    상기 각각의 스페이서의 제 2 단부가 상기 샤워헤드의 둘레 근방에서 상기 샤워헤드에 부착되는,
    샤워헤드를 지지하기 위한 장치.
  53. 제 49 항에 있어서,
    상기 샤워헤드는 직사각형이며,
    상기 스페이서는 개방 중앙부를 가지는 직사각형 프레임을 포함하는,
    샤워헤드를 지지하기 위한 장치.
  54. 제 49 항에 있어서,
    상기 샤워헤드는 4개의 측부를 가지는 직사각형이며,
    상기 스페이서는 상기 샤워헤드의 4개의 각각의 측부에 인접하게 각각 부착되는 4개의 스페이서 세그먼트를 포함하는,
    샤워헤드를 지지하기 위한 장치.
  55. 제 49 항에 있어서,
    상기 히터는 몸체에 매립되는 전기 가열 부재를 포함하는,
    샤워헤드를 지지하기 위한 장치.
  56. 제 49 항에 있어서,
    상기 히터는 고온 유체가 펌핑될 수 있는 하나 이상의 채널을 가지는 몸체를 포함하는,
    샤워헤드를 지지하기 위한 장치.
KR1020050015466A 2004-02-24 2005-02-24 샤워헤드를 지지하기 위한 장치 KR100769963B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US54733804P 2004-02-24 2004-02-24
US60/547,338 2004-02-24

Publications (2)

Publication Number Publication Date
KR20060042164A true KR20060042164A (ko) 2006-05-12
KR100769963B1 KR100769963B1 (ko) 2007-10-25

Family

ID=35046181

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050015466A KR100769963B1 (ko) 2004-02-24 2005-02-24 샤워헤드를 지지하기 위한 장치

Country Status (5)

Country Link
US (1) US7722925B2 (ko)
JP (2) JP4698251B2 (ko)
KR (1) KR100769963B1 (ko)
CN (2) CN102212798B (ko)
TW (1) TWI290962B (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
WO2009116780A2 (ko) * 2008-03-17 2009-09-24 주식회사 아이피에스 진공처리장치
US7674394B2 (en) 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR20170065009A (ko) * 2015-12-02 2017-06-12 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20170077033A (ko) * 2015-12-25 2017-07-05 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
KR20210036807A (ko) * 2019-09-26 2021-04-05 가부시키가이샤 아루박 진공 처리 장치

Families Citing this family (464)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI306782B (en) * 2005-09-02 2009-03-01 Applied Materials Inc Suspension for showerhead in process chamber
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
KR100801711B1 (ko) * 2007-02-27 2008-02-11 삼성전자주식회사 반도체 식각 및 증착 공정들을 수행하는 반도체 제조장비들 및 그를 이용한 반도체 소자의 형성방법들
US20080236495A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (CVD) apparatus
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
EP2232958A4 (en) * 2007-12-25 2011-01-19 Applied Materials Inc ASYMMETRIC RF EXCITATION FOR PLASMA CHAMBER ELECTRODE
CN101933402B (zh) * 2008-01-31 2013-03-27 应用材料公司 用于等离子体腔室的电极的多相射频电源
US8373092B2 (en) * 2008-04-09 2013-02-12 The Boeing Company Purge and sealant cap for selective laser sintering build frame
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
KR101529669B1 (ko) * 2008-06-12 2015-06-18 주성엔지니어링(주) 기판처리장치
KR101569752B1 (ko) 2008-06-12 2015-11-19 주성엔지니어링(주) 기판처리장치
WO2010051233A2 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
WO2010094002A2 (en) * 2009-02-13 2010-08-19 Applied Materials, Inc. Rf bus and rf return bus for plasma chamber electrode
KR101190750B1 (ko) * 2009-02-19 2012-10-12 엘지전자 주식회사 실리콘 박막 제조방법 및 제조장치
CN102414777B (zh) * 2009-02-22 2014-12-03 迈普尔平版印刷Ip有限公司 真空腔中产生真空的带电粒子光刻设备及方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101099533B1 (ko) 2009-07-23 2011-12-28 세메스 주식회사 기판 처리 유닛 및 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011041332A2 (en) * 2009-09-29 2011-04-07 Applied Materials, Inc. Off-center ground return for rf-powered showerhead
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
JP5721132B2 (ja) * 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
KR101810065B1 (ko) * 2010-05-21 2017-12-18 어플라이드 머티어리얼스, 인코포레이티드 대면적 전극 상에 억지 끼워맞춤된 세라믹 절연체
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012216744A (ja) * 2010-11-10 2012-11-08 Sharp Corp 気相成長装置及び気相成長方法
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102154691B (zh) * 2011-05-30 2012-11-21 东莞市中镓半导体科技有限公司 狭缝式多气体输运喷头结构
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5753450B2 (ja) * 2011-06-30 2015-07-22 東京エレクトロン株式会社 成膜装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
CN103266310B (zh) * 2013-05-24 2015-05-20 上海和辉光电有限公司 分散板及具有该分散板的镀膜装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
DE102015110440A1 (de) * 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR102389078B1 (ko) * 2015-03-05 2022-04-22 주성엔지니어링(주) 기판 처리장치에 구비되는 샤워헤드
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6368732B2 (ja) * 2016-03-29 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
KR102195798B1 (ko) 2016-09-23 2020-12-28 어플라이드 머티어리얼스, 인코포레이티드 스퍼터링 샤워헤드
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10801106B2 (en) * 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) * 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP7140525B2 (ja) * 2018-03-29 2022-09-21 株式会社アルバック 真空処理装置
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN112823406B (zh) * 2018-09-26 2024-03-12 应用材料公司 用于等离子体处理腔室的导热间隔件
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
WO2020145190A1 (ja) * 2019-01-07 2020-07-16 株式会社アルバック 真空処理装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
CN113261078A (zh) 2019-01-07 2021-08-13 株式会社爱发科 真空处理装置、真空处理装置的清洁方法
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20200093754A (ko) * 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210105139A (ko) * 2020-02-18 2021-08-26 (주)포인트엔지니어링 가스 공급장치 및 이를 구비한 증착장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63187619A (ja) 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US5310453A (en) * 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
JPH05299382A (ja) * 1992-04-21 1993-11-12 Mitsubishi Electric Corp プラズマ処理装置およびその方法
JP3155844B2 (ja) * 1992-10-20 2001-04-16 日本真空技術株式会社 真空処理装置の高周波電極
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
JPH09153481A (ja) * 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5997642A (en) * 1996-05-21 1999-12-07 Symetrix Corporation Method and apparatus for misted deposition of integrated circuit quality thin films
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
JP3725325B2 (ja) * 1998-03-18 2005-12-07 株式会社日立製作所 半導体製造方法ならびに半導体製造装置
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6302057B1 (en) * 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6653212B1 (en) * 1999-04-20 2003-11-25 Sony Corporation Method and apparatus for thin-film deposition, and method of manufacturing thin-film semiconductor device
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6254742B1 (en) * 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP3501715B2 (ja) * 2000-03-21 2004-03-02 シャープ株式会社 プラズマプロセス装置
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
JP2002045683A (ja) * 2000-08-08 2002-02-12 Hitachi Kokusai Electric Inc 基板処理装置
JP4381588B2 (ja) * 2000-10-25 2009-12-09 ソニー株式会社 加熱を伴う処理装置
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
KR100377096B1 (ko) * 2001-05-08 2003-03-26 (주)넥소 개선된 샤워헤드를 구비한 반도체 제조장치
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7674394B2 (en) 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
WO2009116780A2 (ko) * 2008-03-17 2009-09-24 주식회사 아이피에스 진공처리장치
WO2009116780A3 (ko) * 2008-03-17 2009-12-30 주식회사 아이피에스 진공처리장치
KR100970201B1 (ko) * 2008-03-17 2010-07-14 주식회사 아이피에스 진공처리장치
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
KR20170065009A (ko) * 2015-12-02 2017-06-12 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20170077033A (ko) * 2015-12-25 2017-07-05 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20210036807A (ko) * 2019-09-26 2021-04-05 가부시키가이샤 아루박 진공 처리 장치

Also Published As

Publication number Publication date
JP4698251B2 (ja) 2011-06-08
KR100769963B1 (ko) 2007-10-25
TW200533776A (en) 2005-10-16
US20050183827A1 (en) 2005-08-25
TWI290962B (en) 2007-12-11
CN1673410A (zh) 2005-09-28
CN1673410B (zh) 2011-07-06
JP2005256172A (ja) 2005-09-22
JP5366923B2 (ja) 2013-12-11
CN102212798A (zh) 2011-10-12
US7722925B2 (en) 2010-05-25
JP2011089208A (ja) 2011-05-06
CN102212798B (zh) 2015-01-21

Similar Documents

Publication Publication Date Title
KR100769963B1 (ko) 샤워헤드를 지지하기 위한 장치
US9580804B2 (en) Diffuser support
KR101287100B1 (ko) 가스 분배 장치 및 상기 가스 분배 장치를 포함하는 챔버
KR100929455B1 (ko) 플라즈마 챔버용의 현가형 가스 분배 매니폴드
KR100434487B1 (ko) 샤워 헤드 및 이를 포함하는 박막 형성 장비
US7083702B2 (en) RF current return path for a large area substrate plasma reactor
US8080107B2 (en) Showerhead electrode assembly for plasma processing apparatuses
US7244311B2 (en) Heat transfer system for improved semiconductor processing uniformity
KR101354575B1 (ko) 프로세스 챔버의 샤워헤드용 현수부
US20040045813A1 (en) Wafer processing apparatus, wafer stage, and wafer processing method
CN113166939B (zh) 用于减少颗粒产生的气体扩散器安装板
KR101693145B1 (ko) 가스 히팅 장치 및 이를 가지는 프로세스 챔버
US10879090B2 (en) High temperature process chamber lid
JPWO2020145190A1 (ja) 真空処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140929

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160929

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181015

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 13