JP5366923B2 - 可動又は柔軟なシャワーヘッド取り付け - Google Patents

可動又は柔軟なシャワーヘッド取り付け Download PDF

Info

Publication number
JP5366923B2
JP5366923B2 JP2010278191A JP2010278191A JP5366923B2 JP 5366923 B2 JP5366923 B2 JP 5366923B2 JP 2010278191 A JP2010278191 A JP 2010278191A JP 2010278191 A JP2010278191 A JP 2010278191A JP 5366923 B2 JP5366923 B2 JP 5366923B2
Authority
JP
Japan
Prior art keywords
showerhead
shower head
rim
shelf
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010278191A
Other languages
English (en)
Other versions
JP2011089208A (ja
Inventor
エム. ホワイト ジョン
ノーマン スターリング ウィリアム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011089208A publication Critical patent/JP2011089208A/ja
Application granted granted Critical
Publication of JP5366923B2 publication Critical patent/JP5366923B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16BDEVICES FOR FASTENING OR SECURING CONSTRUCTIONAL ELEMENTS OR MACHINE PARTS TOGETHER, e.g. NAILS, BOLTS, CIRCLIPS, CLAMPS, CLIPS OR WEDGES; JOINTS OR JOINTING
    • F16B35/00Screw-bolts; Stay-bolts; Screw-threaded studs; Screws; Set screws
    • F16B35/04Screw-bolts; Stay-bolts; Screw-threaded studs; Screws; Set screws with specially-shaped head or shaft in order to fix the bolt on or in an object
    • F16B35/041Specially-shaped shafts
    • F16B35/044Specially-shaped ends
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D19/00Pallets or like platforms, with or without side walls, for supporting loads to be lifted or lowered
    • B65D19/38Details or accessories
    • B65D19/385Frames, corner posts or pallet converters, e.g. for facilitating stacking of charged pallets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Fire-Extinguishing By Fire Departments, And Fire-Extinguishing Equipment And Control Thereof (AREA)
  • Nozzles (AREA)

Description

発明の背景
フラットパネルディスプレイや集積回路のような電子デバイスは、通常、ワークピースに層を堆積する一連の堆積プロセスステップと、堆積された材料を希望のパターンにエッチングするエッチングプロセスステップとによって製造される。このようなプロセスは、一般に、真空チャンバー内で遂行される。
通常、堆積又はエッチングプロセスのための化学反応物は、集合的にプロセスガスと称される1つ以上のガスで構成され、これらのガスは、ワークピースの真上に配置されたシャワーヘッドを通して真空チャンバーへ付与される。このプロセスガスは、シャワーヘッドの表面にわたって分布された数百又は数千のオリフィスを経て付与され、ワークピース付近にプロセスガスの希望の空間分布を形成する。
プラズマプロセスでは、シャワーヘッドが、RF電源に電気的に接続されて、電極として機能してもよい。この場合には、シャワーヘッドとRF電源との間に信頼性のある低インピーダンスの電気的接続を設けなければならない。
このようなRF電力供給のシャワーヘッドが、チャン氏等に89年8月8日に発行された、共通に譲渡された米国特許第4,854,263号に説明されている。
通常、ワークピースは、実質的にフラットであり、シャワーヘッドは、ワークピースに対向する表面(ここでは、シャワーヘッドの「前面」と称される)を有し、これも実質的にフラットである。或いは又、シャワーヘッドの前面は、ワークピースに対して実行される堆積又はエッチングプロセスの空間的均一性を最大にするよう意図された若干凸状又は凹状の曲率を有してもよい。
シャワーヘッドは、一般に、真空チャンバーで実行されるプロセスにより加熱される。非プラズマプロセスでは、ワークピースは、電気抵抗加熱又は放射加熱のような何らかの手段により加熱され、これは、一般に、シャワーヘッドへの熱伝達を生じさせる。プラズマプロセスでは、プラズマが付加的な熱源となる。
加熱は、一般にシャワーヘッドの熱膨張を引き起こし、シャワーヘッドの前面の元々の輪郭(即ち平坦さ又は曲率)を歪ませてしまう。このような歪みは、ワークピースに対して実行されるプロセスの空間的均一性を損なうことがあるので、望ましいものではない。
シャワーヘッドの前面の平坦さ又は曲率の歪みは、多くの従来設計のように、シャワーヘッドの周囲が真空チャンバーの壁に堅固に取り付けられた場合に、特に生じ易い。周囲が固定されるので、シャワーヘッドが熱膨張すると、シャワーヘッドの表面に曲がりが生じる。
このように熱膨張に応答してシャワーヘッドの前面の平坦さ又は曲率が歪む問題に対する1つの解決策は、本出願が優先権を請求するところの前記特許出願第09/922,219号に説明されたような柔軟性シートによりシャワーヘッドを取り付けることである。
柔軟性シートは、シートへのダメージを回避するために取り扱いにある程度の注意を必要とする。従って、取り扱い易い別の設計が要望される。
好ましい実施形態の詳細な説明のセクション2及び3に述べる本発明の第1の態様においては、シャワーヘッド即ち拡散器が、シャワーヘッドリムを支持棚に載せることで支持される。シャワーヘッドリムは、支持棚の表面を自由にスライドし、これにより、シャワーヘッドは、温度変化に応答して半径方向に膨張及び収縮することができ、シャワーヘッドがチャンバーに固定されて非スライド取り付けされた場合に生じる応力及び曲げ力はほとんど又は全く生じない。その結果、本発明は、熱膨張又は収縮によるシャワーヘッドの前面の輪郭(即ち平坦さ又は曲率)の歪みを最小にするか又は排除することができる。
詳細な説明のセクション4に述べる本発明の第2の態様においては、スロット内をスライドするピンによりシャワーヘッド、チャンバー壁又はその両方に取り付けられた複数のハンガーによりチャンバー壁からシャワーヘッドが懸架され、ハンガーは、半径方向のシャワーヘッドの熱膨張を受け容れるように半径方向にスライドすることが許容される。
詳細な説明のセクション5に述べる本発明の第3の態様においては、複数の柔軟性ワイヤにより真空チャンバーの壁からシャワーヘッドが懸架される。
詳細な説明のセクション6に述べる本発明の第4の態様においては、複数のロッドにより真空チャンバーの壁からシャワーヘッドが懸架される。各ロッドの上端は、第1の関節ジョイントを経て真空チャンバーに取り付けられると共に、各ロッドの下端は、第2の関節ジョイントを経てシャワーヘッドに取り付けられる。関節ジョイントは、シャワーヘッドの熱膨張に応答してロッドが枢着回転するのを許容する。
詳細な説明のセクション7に述べる本発明の第5の態様においては、シャワーヘッドが、その周囲付近で、シャワーヘッドより熱膨張係数の大きい第2の材料に固定される。この第2材料は、一般に、シャワーヘッド程は加熱されないが、その大きな熱膨張係数は、チャンバー内で行われるプロセスからの熱に応答してシャワーヘッドとほぼ同じ量だけ膨張できるようにする。シャワーヘッドを取り付けるこの設計は、スライド式又は柔軟性懸架を必要とせずに、シャワーヘッドの熱膨張を受け容れる。
詳細な説明のセクション8に述べる本発明の第6の態様においては、シャワーヘッドの後方にヒーターが取り付けられる。ヒーターの1つの効果は、シャワーヘッドの温度を上昇させて、ワークピースからシャワーヘッドへの熱伝達を減少させることである。ヒーターの別の効果は、シャワーヘッドの前面が、チャンバー内で行なわれるプロセスからの熱伝達によりシャワーヘッドの後面より高温になるのを防止し、これにより、前面と後面との間の温度差で前面が曲がるのを減少又は排除できるようにすることである。
好ましい実施形態の詳細な説明
1.プラズマチャンバーのあらまし
図1は、本発明の一実施形態により懸架されたシャワーヘッドを備えた真空チャンバーを示し、シャワーヘッドに固定されたハンガーが、チャンバー壁に固定された棚の上を自由にスライドするようにされる。新規な懸架体について説明する前に、真空チャンバーの他のコンポーネントを説明する。
真空チャンバーは、半導体又は他の電子デバイスをワークピース上に製造する一連のステップの中の1ステップである化学的プロセスをワークピース即ち基板10に受けさせるよう意図される。ワークピースは、チャック又はサセプタとも称されるワークピース支持体12によりチャンバー内に支持される。チャンバー内で処理されるワークピース10は、その一般例として、フラットパネルディスプレイが製造される長方形ガラス基板、又は集積回路が製造される円形半導体ウェアを含む。
真空チャンバーは、チャンバー内部に対する真空包囲体を形成するハウジング又はチャンバー壁を有する。例示された実施形態では、チャンバーの側壁及び底壁が一体壁14として実施される。チャンバー壁の最上部には、ヒンジ式の蓋16と、ガス入口マニホールド最上壁18とが設けられる。個人は、蓋16を持ち上げることによりチャンバーの内部にアクセスすることができる。Oリング19(幾つかは図示せず)は、側壁14と蓋16とガス入口マニホールド最上壁18との間に真空シールを形成する。側壁及び底壁14、蓋16及びガス入口マニホールド最上壁18は、全て、チャンバー壁の部分であると考えられる。
本明細書内でチャンバーのコンポーネントの異なる側又は面を参照するときには、ワークピースに面した方の側又は面と、ワークピースから離れた方の側又は面を指すのに各々「前」及び「後」という語を使用する。例えば、ワークピース支持体12の上面は、ワークピース支持体の上面と称され、シャワーヘッド22の下面及び上面は、各々、シャワーヘッドの前面23及びシャワーヘッドの後面と称される。
ワークピース上に半導体デバイス又は他の電子デバイスを製造するプロセスを遂行するときには、1つ以上のガスがガス入口マニホールドを経てチャンバー内に付与される。ガス入口マニホールドは、ガスが貫通してガス入口マニホールドに入って来るところのガス入口マニホールド最上壁18と、ガス入口マニホールドからプラズマチャンバーの内部へガスが貫通して流れるところのシャワーヘッド22(拡散器又はガス分配プレートとも称される)とを備えている。ガス入口マニホールドは、更に、最上壁18とシャワーヘッド22との間に延びるガスシールを形成するガスシール側壁とも称されるガス入口マニホールド側壁も備えている。ガス入口マニホールド最上壁、側壁及びシャワーヘッドは、集合的に、ガス入口マニホールドの内部領域即ち充満部26と称される容積部を包囲する。
図示されていない外部ガス源は、ガス入口マニホールド最上壁18における少なくとも1つのガス入口オリフィス28にプロセスガスを供給し、これを経てプロセスガスはガス入口マニホールドの充満部26へ流れ込む。次いで、プロセスガスは、充満部から、シャワーヘッド22における1つ以上の、通常、数百又は数千のガス出口オリフィス30を経て、チャンバーの内部へ流れ込む。
図1から図5の実施形態では、ガス入口マニホールドのガスシール側壁は、チャンバー蓋16の内面を覆う誘電体ライナー24で主として構成される。図6の別の実施形態では、ガス入口マニホールドの側壁は、以下に説明するハンガー70で主として構成される。図12から図14及び図17から図20の種々の実施形態では、柔軟性シート88がガス入口マニホールドのガスシール側壁として機能する。
ガス入口マニホールドの側壁は、充分な気密シールを与え、即ちガス漏れを充分に防ぎ、ガス入口オリフィス28を経て充満部へ流れ込むほとんどのガスが、ガス入口マニホールド側壁のギャップを経て漏れるのではなく、シャワーヘッドガス出口オリフィス30を経て流れることにより、真空チャンバーの内部に入り込むようにしなければならない。受け容れられる漏れの量は、ワークピースにおいて実行されるプロセスに依存するが、ほとんどのプロセスでは、漏れが10%未満でなければならない。即ち、ガス入口オリフィス28を経て充満部に入るガスのうち、ガス入口マニホールド側壁を経て漏れるのは、10%(1/10)未満でなければならず、従って、少なくとも90%のガスは、ガス出口オリフィス30を経て真空チャンバーへ付与されねばならない。最悪でも、ガス入口マニホールド側壁を経て漏れるのは、充満部に入るガスの40%以下でなければならない。
図示されていない従来の真空ポンプが、チャンバー内に希望の真空レベルを維持し、プロセスガス及び反応生成物をチャンバーから環状の排気スリット32を経て環状の排気充満部33へ、次いで、図示されていない排気チャンネルを経てポンプへと排気する。
ここに示す好ましい実施形態では、シャワーヘッド22は、3cm厚みのアルミニウムプレートである。好ましくは、これは、チャンバー内に真空が形成されるときに大気圧のもとで著しく変形しないように充分な厚みでなければならない。
このようなチャンバー内で実行されるある形式のワークピース製造プロセス、例えば、化学気相堆積(熱CVD)プロセスは、プラズマが存在しない状態で行われる。他の多くのプロセス、例えば、プラズマエンハンスト化学気相堆積(PECVD)やプラズマエッチングプロセスは、プラズマを必要とする。プラズマプロセスに使用するよう意図された真空チャンバーは、プラズマチャンバーと称される。
一形式のプラズマチャンバーでは、チャンバー内の電極に接続された高周波(RF)電源でプラズマへ電力を容量性結合することによりチャンバー内にプラズマが発生され又は持続される。このようなプラズマチャンバーでは、シャワーヘッドは、電気的に接地されたチャンバー壁に接続されるか、或いはチャンバー壁から電気的に絶縁されてRF電源の非接地(RFホット)出力へ電気的に接続されることにより、電極の1つとして一般的に機能する。従って、通常、1キロワット程度の高レベルの高周波電力を導通するに充分な導電率及び信頼性の電気的接触をシャワーヘッドに与えることが重要である。
シャワーヘッドのガス出口オリフィス30は、プラズマチャンバー内のプラズマが、充満部26、即ちシャワーヘッド22とガス入口マニホールド最上壁18との間のガス入口マニホールドの内部領域に入るのを防止するために、その直径がプラズマダークスペースの巾より小さくなければならない。ダークスペースの巾、ひいては、ガス出口オリフィスの最適直径は、チャンバーの圧力と、チャンバー内で実行することが望まれる特定の半導体製造プロセスの他のパラメータとに依存する。或いは又、特に解離が困難な反応ガスを使用してプラズマプロセスを実行するために、前記チャン氏等の米国特許第4,854,263号に説明されたように、狭い入口及びフレアの付いた広い出口を有するオリフィスを使用することが望ましい。
又、ガス入口マニホールドは、ガス入口オリフィス28より直径が若干大きく且つ図示されないポストによりオリフィスの下に懸架された円盤34より成るガス入口偏向板も含むのが好ましい。この偏向板は、ガスが、ガス入口28から、シャワーヘッド中央の直接隣接ガス出口オリフィス30へまっすぐな経路に流れるのを阻止し、これにより、シャワーヘッドの中央及び周囲を通る各ガスの流量を等化する上で助けとなる。
ガス入口マニホールド最上壁及びシャワーヘッドがRFホットである用途では、これらRFホットのコンポーネントと、電気的に接地されたチャンバー蓋16との間に誘電体ライナー24、35が取り付けられる。ワークピース支持体12とシャワーヘッドとの間のチャンバー領域にプラズマを集中させるために、シャワーヘッド又はワークピース支持体の付近にあるチャンバーの他の金属面は、一般に、誘電体ライナーで覆われる。例えば、図1は、チャンバー蓋16の下面を覆う誘電体ライナー36と、チャンバー側壁14を覆う誘電体ライナー38とを示している。
カバー39は、一般に、チャンバー蓋16の上部に固定され、個人をRFホットの最上壁18又はシャワーヘッドに偶発的に接触することから保護する。カバー39は、ここに述べる他のチャンバーコンポーネントの機能に対して重要でないので、これ以上説明しない。
チャンバーのコンポーネントは、チャンバー内で実行されるべき半導体製造プロセスを汚染することない材料であって、プロセスガスによる腐食に耐える材料で構成されねばならない。アルミニウムは、以下に述べるように、Oリング並びに誘電体スペーサ及びライナー以外の全てのコンポーネントにとって好ましい材料である。
従来のプラズマCVD及びエッチングチャンバーの設計及び運転は、参考としてここに全体の内容を援用する共通に譲渡された以下の米国特許に説明されている。98年12月1日にホワイト氏等に発行された米国特許第5,844,205号、及び89年8月8日にチャン氏等に発行された米国特許第4,854,263号。
2.シャワーヘッドのためのスライド式支持体
前記「発明の背景」のセクションで述べたように、チャンバー内で実行されているプロセスからの熱は、シャワーヘッド22の熱膨張を引き起こす。シャワーヘッドがチャンバー壁18に堅固に且つ非可撓的に取り付けられた場合には、このような熱膨張によりシャワーヘッドが曲がり、シャワーヘッドの前面即ち下面23が変形され、即ち下面の平坦さ又は曲率が変化し又は歪むことになる。
図1から図3は、シャワーヘッドの周囲リム52をシャワーヘッド支持棚54に載せて、シャワーヘッドのリムが棚の上面を自由にスライドするようにシャワーヘッドを支持するという、この問題に対する1つの解決策を示す。従って、シャワーヘッドが温度の変化に応答して半径方向に膨張及び収縮するときには、シャワーヘッドのリムが棚を横切って各々外方及び内方にスライドする。この自由なスライドは、このような半径方向の熱膨張及び収縮に応答してシャワーヘッドにかかる応力を実質的に排除する。
棚54は、シャワーヘッドの重量を支持できる真空チャンバーのいかなる部分に固定することもできる。好ましくは、棚は、チャンバー壁18に直接的又は間接的に固定される。例示された好ましい実施形態では、棚は、蓋16の内面を覆う誘電体ライナー24の内方に突出する延長部である。
本特許出願が優先権を請求するところの米国特許第6,477,980号に説明されたように、シャワーヘッド22の周囲から、シャワーヘッドが直接的又は間接的に取り付けられる真空チャンバーの冷えたコンポーネント(例えば、チャンバー蓋16及びチャンバー側壁14)への熱伝達を最小にすることが望まれる。より詳細には、真空チャンバー内にシャワーヘッドを取り付けて支持する構造体は、好ましくは、シャワーヘッドとこのような冷えたチャンバーコンポーネントとの間に高い熱インピーダンスを介在させねばならない。このような熱伝達を最小にすることは、少なくとも2つの理由で効果的である。即ち、第1に、シャワーヘッドの温度を最大にして、ワークピースからシャワーヘッドへの熱ロスを最小にし、第2に、シャワーヘッドの周囲がシャワーヘッドの中央より速く熱を失う程度を最小にすることによりシャワーヘッドの下面の温度の空間的均一性を最大にする。
この原理を本発明に適用すると、支持棚54、又は支持棚とチャンバーの大きな冷えたコンポーネント(例えば、チャンバー蓋16及びチャンバー側壁14)との間のコンポーネントが、好ましくは、シャワーヘッドとこれらの冷えたコンポーネントとの間に高い熱インピーダンスを介在させねばならない。図3に例示された実施形態では、これは、誘電体ライナー24及びその一体的な支持棚54を熱伝導率の低い誘電体材料で製造して、誘電体ライナー及び支持棚がシャワーヘッドとチャンバー蓋16との間に高い熱インピーダンスを介在させることにより、達成される。
前記セクション1で述べたように、1つ以上のプロセスガスがガス入口マニホールドを経てチャンバーへ付与される。ガス入口マニホールドは、ガス入口マニホールド最上壁18と、シャワーヘッド22(拡散器又はガス分配プレートとも称される)と、ガス入口マニホールド最上壁、側壁及びシャワーヘッドが、集合的に、ガス入口マニホールドの内部領域即ち充満部26と称される容積部を包囲するように、最上壁18とシャワーヘッドとの間に延びるガスシール側壁と、を備えている。図1から図5の実施形態では、ガス入口マニホールドの側壁は、主として、誘電体ライナー24、支持棚54及びシャワーヘッドリム52で構成される。
又、前記セクション1で述べたように、ガス入口マニホールドのガスシール側壁は、ガス入口オリフィス28を経て充満部に流れ込むガスのほとんどが、ガス入口マニホールドの側壁のギャップを経て漏れるのではなく、シャワーヘッドのガス出口オリフィス30を経て流れることにより、真空チャンバーの内部に入るように、充分な気密シールを与えねばならない。従って、シャワーヘッドリム52及びシャワーヘッド支持棚54は、いずれの1つのコンポーネント内にも又は隣接コンポーネント間にも、プロセスガスの著しい部分が充満部から漏れ得るような著しく大きなギャップを有してはならない。好ましくは、ガス入口オリフィス28を経て充満部に入るガスのうち、ガス入口マニホールド側壁を経て漏れるのは、10%(1/10)未満でなければならず、従って、少なくとも90%のガスは、ガス出口オリフィス30を経て真空チャンバーへ付与されねばならない。それ故、前記ギャップの合成断面積(側壁ギャップ面積)は、好ましくは、シャワーヘッドの出口オリフィスの最も狭い部分の合成断面積(シャワーヘッドオリフィス面積)の10%(1/10)未満でなければならない。最悪でも、側壁ギャップ面積は、シャワーヘッドオリフィス面積の40%未満でなければならない。
リム及び棚の両方は、1つの連続する部片として製造することもできるし、或いは充分な気密シールを形成するように密接に当接する多数の部片として製造することもできる。例えば、図3は、シャワーヘッドリム52が連続部片である一方、支持棚54が突出する誘電体ライナー24が4つの個別の部片を有し、長方形のチャンバー蓋16の4つの内面を各々覆うところを示している。シャワーヘッドのリム52は、シャワーヘッドの一体的部分として加工することもできるし、又は中央が開いた長方形フレームで、その周囲付近でシャワーヘッドに固定されるような長方形フレームでもよい。
図4は、長方形ではなく円筒状のシャワーヘッド22にこの設計をいかに適応できるかを示す。この実施形態では、シャワーヘッドリム52、シャワーヘッド支持棚54及び誘電体ライナー24は、全て、円筒状で、シャワーヘッド22と同心的である。
信頼性のある高導電率のRF電気接続をシャワーヘッドに与えるために、図2に示すように、RF電気ケーブル56(上部ケーブルと称される)をガス入口マニホールド最上壁18に電気的に接続することができると共に、第2のRFケーブル57(下部ケーブルと称される)を最上壁18とシャワーヘッドとの間に直結することができる。
シャワーヘッドリム52とシャワーヘッド支持棚54との間のスライド接触における摩擦が接触面を磨耗して粒子を形成する。チャンバー蓋16の下面を覆う誘電体ライナー36は、このような粒子のほとんど又は全部を捕獲してそれらがワークピース10に落下するのを防止するように棚54の下に少なくとも部分的に延びるのが好ましい。任意であるが、シャワーヘッドは、誘電体ライナー36で捕え損なうことのある粒子を捕獲するように第1のリム52の下に配置された第2の周囲リム即ちトラフ58を含むことができる。
シャワーヘッドリム52の下面とシャワーヘッド支持棚54の上面との間の摩擦及び磨耗を減少するために、滑らかな低摩擦滑走体即ちベアリング61をこれらの面のいずれか又は両方に取り付けることができる。例えば、滑走体は、これら表面の1つに埋め込まれたロッド又は1つ以上のボールである。任意であるが、この滑走体は、このような面内のソケットであってその中で滑走体を自由に転がすことのできるソケットに嵌合させることもできる。
図5は、図2の設計の変形態様を示すもので、ここでは、シャワーヘッド支持棚54の内端が、上方に延びるリップ62を有し、棚とシャワーヘッドリム52との間の磨耗により発生された粒子を棚により捕獲して、ワークピースへ落下しないよう確保する。棚のリップ62のための間隙を与えるために、シャワーヘッドリム52の外端は、周囲リップ64を有し、これは、棚リップ62の高さより少なくとも若干大きな長さで下方に延びて、シャワーヘッドリップ64の下端がシャワーヘッドリム52と支持棚54との間の唯一の接触点となるようにしなければならない。シャワーヘッドリップ64は、支持棚リップ62の半径方向外方にある支持棚52の上方を向いた面に載せねばならない。好ましくは、上述した滑走体61がシャワーヘッドリップ64の下面に取り付けられる。
シャワーヘッドが外方にスライドしたときに支持棚の立ち上がったリップ62がシャワーヘッドの側部に当たって粒子を発生するのを防止するために、シャワーヘッドリム52は、好ましくは、その半径方向の巾が支持棚の半径方向の巾より大きくなければならない。より詳細には、シャワーヘッドリムのリップ64は、このシャワーヘッドリップ64がスライドする支持棚上面の半径方向巾より大きな長さで、シャワーヘッドの側部の半径方向外方に離間されねばならない。
3.ハンガーでシャワーヘッド支持棚を上壁から懸架する
図6は、図5の実施形態と同一であるが、シャワーヘッド支持棚54が誘電体ライナー24に固定されない別の実施形態を示す。むしろ、シャワーヘッド支持棚54は、ガス入口マニホールド最上壁18からハンガー70により懸架されている。図6の実施形態は、図1から図5の実施形態と同様に、シャワーヘッドリム52を、それが載せられた支持棚54の面にスライドさせるのを許容することにより、シャワーヘッドの半径方向熱膨張を受け容れる。以下に述べる相違点を除くと、図1から図5の実施形態を参照して説明した全ての変形及び設計事項が図6の実施形態にも適用される。
最上壁18、ハンガー70、支持棚54、滑走体61及びシャワーヘッドリム52の全部がアルミニウム又は他の金属のような導電性材料で構成される場合には、これらコンポーネントは、最上壁18をシャワーヘッド22に電気的に接続し、上壁からシャワーヘッドへRF電力を確実に導通させる。支持棚に載せられるシャワーヘッドの重みは、シャワーヘッドリムと支持棚との間の接触領域において信頼性のある高導電率の電気的接続を達成する上で助けとなる。それ故、図2の実施形態のように、上壁とシャワーヘッドとの間を個別の電気ケーブルで接続する必要はないであろう。
本特許出願が優先権を請求するところの米国特許第6,477,980号に説明された柔軟性懸架体とは異なり、シャワーヘッドリムが支持棚を自由にスライドするので、ハンガー70が柔軟性である必要はない。ハンガー70は、最上壁18と一緒に一体的なモノリシック部片として製造されてもよいし、又は最上壁18にボルト固定又は他の仕方で固定できる個別の部片でもよい。
前記セクション2に説明されたように、ガス入口マニホールドは、好ましくは、プロセスガスの過剰な部分が充満部26から漏れるのを防止するに充分な気密シールを与えねばならない。上述したように、漏れの量は、ワークピースに対して実行されるプロセスに大きく依存するが、ほぼ全ての用途では、漏れの量が、シャワーヘッドガス出口オリフィス30を通るガス流の40%未満、好ましくは10%未満、でなければならない。ハンガー70でシャワーヘッド支持棚をガス入口マニホールド最上壁18に取り付ける図6の実施形態では、ハンガー70が、シャワーヘッド支持棚54及びシャワーヘッドリム52と協働するガス入口マニホールドの側壁として機能する。それ故、これらコンポーネントの各々は、好ましくは、いずれの1つのコンポーネント内でも又は隣接コンポーネント間にも、プロセスガスの前記部分より多くが充満部から漏れ得るような実質的なギャップを有してはならない。これらコンポーネントは、どれも、1つの連続する部片として製造することもできるし、或いは充分な気密シールを形成するように密接に当接する多数の部片として製造することもできる。これら製造解決策の実施例は、前記セクション2に述べた。
図1から図5の実施形態の説明で述べたように、真空チャンバー内にシャワーヘッドを取り付けて支持する構造体は、好ましくは、シャワーヘッドとこのような冷えたチャンバーコンポーネントとの間に高い熱インピーダンスを介在させねばならない。ハンガー70を有する図5の実施形態では、これは、シャワーヘッドと最上壁18との間にハンガーが介在させる熱インピーダンスを最大にすることにより達成できる。このような熱インピーダンスは、ハンガーを非常に薄く作るか、或いはプラズマチャンバーの内部に使用するのに適したほとんどの他の電気導体より熱伝導率の低いステンレススチールのような低熱伝導率の材料でハンガーを製造することにより、最大にすることができる。1つの好ましい実施形態では、前者の解決策が使用され、即ち希望の低い熱伝導率を有するに充分なほど薄くハンガーが作られる。厚みが3mm以下、好ましくは1mm以下のアルミニウムシートでハンガー70を製造することが推奨される。
4.ピンがスロット内をスライドするハンガー
図7から図9は、複数のハンガー80がシャワーヘッド及び最上壁18にピン81により取り付けられ、ピン81はスロット82内をスライドし、半径方向のシャワーヘッドの熱膨張を受け容れるようにハンガーが半径方向にスライドするのを許容するような懸架体により、シャワーヘッド22がガス入口マニホールド最上壁18から懸架された実施形態を示す。
シャワーヘッド22の上面は、図7に示すように、シャワーヘッドの周囲付近で周辺方向に分布した位置に複数のスロット82を有する。各スロットの最も長い寸法(長さ)は、シャワーヘッドの長手軸55(図1及び7を参照)に対して半径方向に延びている。これらスロットは、シャワーヘッドの本体に製造できるが、図7から図9に示すように、シャワーヘッドの外方に延びるリム78にスロットを製造するのが容易である。
ここに示す長方形のシャワーヘッドでは、シャワーヘッドは、その上面の4つのコーナー各々の付近に1つのスロットを有すると共に、4つのコーナー各々の間の中間に1つのスロットを有する。或いは又、シャワーヘッドは、それより多数又は少数のスロットを含むこともでき、例えば、コーナー付近に4つのスロットだけを含むか、コーナー間の中間に4つのスロットだけを含むか、シャワーヘッドの上面の対向する側部に2つのスロットだけを含むか、又は周囲方向に分布した3つのスロットだけを含むことができる。
シャワーヘッドのスロット82ごとに、対応するハンガー80には、そのスロットを通して延びるピン81が設けられる。ピン81の下端から横方向に延びる肩部83は、スロットの横巾、即ちシャワーヘッドの方位又は周囲に平行なスロットの寸法より広い。従って、肩部83は、図9に示すように、スロットを横方向に境界定めするシャワーヘッドの部分85の下面に係合して支持する。(スロットを横方向に境界定めするシャワーヘッドの部分は、スロット側壁85と称する。)各ピン81及びその肩部83は、円形又は長方形断面のような断面形状をもつことができる。例えば、各ピンは、ねじ切りされたボルトとして実施することができ、各ピンの肩部は、ボルトの頭でよい。
上述したようにシャワーヘッドに直接係合して支持するハンガー80は、ハンガーの第1又は最下「層」と称される。考えられる1つの実施形態では、懸架体は、ハンガーの単一層しか含まず、この場合、各ハンガーは、シャワーヘッド22と最上壁18との間に延びるに充分なほど背が高くなければならない。
より詳細には、懸架体は、図8及び9に示すようにハンガー80の多数の層を含み、これら層の数を整数Nとすれば、各ハンガーの高さは、おおよそ、シャワーヘッドと上壁との間の距離をNで除算したものとなる。ここに示す実施形態では、シャワーヘッドと上壁との間にハンガーの2つの層があり、即ちN=2である。
各ハンガー80の上面は、シャワーヘッドにおける対応スロットと同じ方向のスロット82を有する。より詳細には、各ハンガーのスロットは、その最も長い寸法がシャワーヘッドの半径に平行に向けられ、即ちシャワーヘッドの長手軸55(図1)に垂直に向けられる。スロット82の真下でそれに隣接して、各ハンガーはグルーブ84も有し、このグルーブは、スロットを通して延びるピン81の肩部83より巾が広く、ピンがスロット内をスライドする間に肩部83をスライドできるところの空洞を形成する。
ガス入口マニホールド最上壁18の下面は、最も上の層のハンガー80の数に等しい多数の下方に突出するピン81を含む。これらピン81は、上壁の周囲付近で周囲方向に分布した位置に配置され、最上壁18の個別のピンが最も上の層の各ハンガーに係合するようにされる。又、各ハンガーの下面も、下方に突出するピン81を有する。上壁の各ピン81及びハンガーの各ピン81は、上述した肩部83を有する。
最上壁18の各ピンは、それに対応するハンガー80のスロット82を通過する。各ハンガーのスロットは、シャワーヘッドの各スロットの側壁85と同様に、側壁85を有するものとして説明する。即ち、スロット82を横方に境界定めし且つグルーブ84に垂直にオーバーハングするハンガーの部分を、スロット側壁85と称する。各ハンガーのスロット側壁85は、スロットを通過するピン81の肩部83に載せられる。というのは、ピンの肩部は、図9に示すようにスロットの横断巾より広いからである。従って、ピン及び肩部はスロットの側壁85を支持し、ひいては、それが一部分であるところのハンガーを支持する。
同様に、ハンガーの層が2つ以上ある場合には、最下層の上の1つ以上の層における各ハンガーが、その下のハンガーを支持する。というのは、このような各ハンガーは、その真下のハンガーのスロットを通過するピンを有し、且つ各ピンは、その真下のハンガーの対応スロット82の横断巾より広い肩部83を有するからである。
従って、最下層のハンガーの肩部及びピンは、集合的に、シャワーヘッドの全重量を支持する。上壁の肩部及びピンは、集合的に、ハンガーの最上層の重量を支持する。最下層以外のハンガーの各層の肩部及びピンは、集合的に、その真下のハンガーの次の層の重量を支持する。
ピン及びスロットは、各ハンガーが下ではシャワーヘッド及び上ではガス入口マニホールド最上壁に対して半径方向にスライドするのを許容するので、シャワーヘッドは、温度変化に応答して自由に膨張及び収縮することができる。
図10は、シャワーヘッドリム78と、それが載せられたピンの肩部との間の磨耗により発生される粒子を捕獲することが望まれる場合に、(1)スロット82の下のグルーブ84、及び(2)グルーブの下の内実部分79を含むようにリムを変更できることを示している。
図11は、図7から10に示す実施形態に対してピン及びスロットが逆転された別の実施形態を示すもので、ここでは、各ピン81がハンガー80又はその上の最上壁18のスロット82へと上方に延びると共に、各ピンの肩部83の下面が、ハンガー又はその上の上壁のスロット82を横方向に境界定めするスロット側壁85に載せられてそれにより支持される。しかしながら、各ピン81がスロット82へと下方に延びる実施形態が好ましい。というのは、上方を向いたグルーブ84が、肩部83とスロットの側壁85との間の磨耗により発生される粒子を捕獲して、このような粒子がワークピースへ落下しないようにできるからである。
前記セクション1に述べたように、1つ以上のプロセスガスがガス入口マニホールドを経てチャンバーに付与される。ガス入口マニホールドは、ガス入口マニホールド最上壁18と、シャワーヘッド22(拡散器又はガス分配プレートとも称される)と、これら最上壁18とシャワーヘッドとの間に延びるガス入口マニホールド側壁とを備え、ガス入口マニホールドの側壁、上壁及びシャワーヘッドは、集合的に、ガス入口マニホールドの内部領域即ち充満部26と称される容積部を包囲する。
図8から図11の各実施形態において、各ハンガー80の横断巾は、ハンガー内のグルーブ84の横断巾より著しく大きい必要はない。(横断巾とは、ハンガーがスライドする方向に垂直な方向におけるハンガーの巾で、図8において左から右へのハンガーの巾を意味する。)従って、ハンガー80は、ガス入口マニホールドのための側部シールとして機能するに充分な広さでなくてもよい。即ち、隣接ハンガー間に大きな横断間隔がある場合には、ハンガーがガス入口マニホールドのためのガスシール側壁を形成しない。
この場合には、ガス入口マニホールドのためのガスシール側壁は、好ましくは、ガス入口マニホールド最上壁18とシャワーヘッド22との間に1つ以上のガスシール部材88を取り付けることにより形成されねばならない。このガス入口マニホールド側壁88は、最上壁18のガス入口オリフィス28とシャワーヘッドのガス出口オリフィス30との間に延びる容積部即ち充満部26を包囲しなければならない。このガス入口マニホールド側壁88は、半径方向のシャワーヘッドの熱膨張を受け容れるに充分なほど柔軟性でなければならない。
図12は、ガス入口マニホールド最上壁18の周囲とシャワーヘッド22のリム78とに取り付けられてそれらの間に延びる1つ以上の柔軟性シート又は膜88で構成されたガス入口マニホールドのための1つの適当なガスシール側壁を示す。シャワーヘッドの長手軸55の方向におけるシート又は膜88の長さ又は高さは、このシートがシャワーヘッドの熱膨張を受け容れるに充分なたるみ又はゆるみをもつように最上壁18とシャワーヘッドとの間の距離をまたぐために必要以上に大きくなければならない。ここに示す長方形のシャワーヘッドの場合には、ガスシール88は、最上壁及びシャワーヘッドの全周にわたって延びる単一のシートでもよいし、或いは最上壁及びシャワーヘッドの4つの辺に各々取り付けられる4つの別々のシートを含んでもよい。
図13及び図14は、柔軟性シート又は膜88の下端が、ハンガー80の半径方向内方で且つガス出口オリフィス30の半径方向外方の面に沿ってシャワーヘッド22に取り付けられる別の実施形態を示す。
シャワーヘッドの重量は、シート88ではなくハンガー80により完全に支持される。それ故、シート88は、重たいシャワーヘッドを支持するに充分な強さではなく、入口マニホールドに供給されるガスとチャンバーの真空との間の圧力差に耐えるに充分な強さであればよい。従って、シートは、非常に柔軟であるに充分な薄い材料で構成することができる。
図15は、ハンガー80がガス入口マニホールドのガスシール側壁として機能し、付加的な柔軟性シール88の必要性を排除する別の実施形態を示している。これは、ハンガー80の各々が充分に大きな横断巾を有し、隣接するハンガーの横端を互いに充分に接近させて、充満部26内のプロセスガスの著しい部分がシャワーヘッドのガス出口オリフィス30を経て流れるのではなくハンガー間のギャップを経て漏れるのを防止することを必要とする。
前記セクション1で述べたように、受け容れられる漏れの量は、ワークピースに対して実行されるプロセスに依存するが、ほとんどのプロセスでは、漏れが10%未満でなければならない。即ち、ガス入口オリフィス28を経て充満部26に入るガスのうち、ガス入口マニホールド側壁を経て漏れるのは、10%(1/10)未満でなければならず、従って、少なくとも90%のガスは、ガス出口オリフィス30を経て真空チャンバーへ付与されねばならない。最悪でも、ガス入口マニホールド側壁を経て漏れるのは、充満部に入るガスの40%以下でなければならない。
それ故、好ましくは、ハンガーの横断巾が充分大きく、且つハンガー間のギャップが充分小さくて、ハンガー間のギャップの合成断面積(側壁ギャップ面積)が、シャワーヘッドの出口オリフィスの最も狭い部分の合成断面積(シャワーヘッドオリフィス面積)の10%(1/10)未満でなければならない。最悪でも、側壁ギャップ面積は、シャワーヘッドオリフィス面積の40%未満でなければならない。
ハンガーの隣接端が、隣接ハンガー間のギャップを橋絡する柔軟性シート又はベロー(図示せず)に取り付けられる場合には、隣接ハンガーの横端間のギャップを通るガス漏れを更に減少することができる。
図16は、ハンガー80が、充分に巾の広い横方向延長部89を含み、各対の隣接ハンガーの横方向延長部が重畳するような別の設計を示す。各対の重畳する延長部は、互いに充分接近離間されて、それらの間のギャップが充満部からのガスの漏れを防ぎ、充満部に入るガスのうち、シャワーヘッドのガス出口オリフィス30を経て流れるのではなく全ての前記ギャップを経て漏れるのが40%(2/5)を越えるのを防止し、好ましくは、10%(1/10)未満にしなければならない。或いは又、ハンガー間のギャップの合成断面積は、シャワーヘッドの出口オリフィスの最も狭い部分の合成断面積の40%(2/5)未満でなければならず、好ましくは、10%(1/10)未満でなければならない。
5.ワイヤ懸架体
図17及び図18は、シャワーヘッド22が3つ以上の柔軟なワイヤ86により懸架される実施形態を示す。各ワイヤの上端は、ガス入口マニホールド最上壁18の周囲付近のポイントに取り付けられ、一方、各ワイヤの下端は、シャワーヘッドの周囲付近のポイントに取り付けられる。上部取り付けポイントは、最上壁18において周囲方向に分布され、下部取り付けポイントは、シャワーヘッドにおいて周囲方向に分布される。
ここに示す好ましい実施形態では、シャワーヘッド及びガス入口マニホールド最上壁18は長方形であり、ワイヤの本数は4であり、ワイヤの取り付けポイントは、シャワーヘッド及びガス入口マニホールド最上壁の4つのコーナー付近である。(4本のワイヤ及びそれらの取り付けポイントをより明確に示すために、図17及び図18は、4本のワイヤ、シャワーヘッド、ガス入口マニホールド最上壁、及び以下に述べるガスシール88以外の全てのコンポーネントを省略している。)
ワイヤが柔軟であるので、シャワーヘッドは、温度変化に応答して、応力を生じることなく、自由に膨張及び収縮する。
好ましくは、ワイヤは、ガス入口マニホールド最上壁からシャワーヘッドへRF電力を導通するよう機能できるように導電性金属で構成される。
ワイヤの直径は、シャワーヘッドの横断巾の僅かな部分に過ぎないので、ワイヤは、ガス入口マニホールドの充満部即ち内部領域26を包囲する側壁として機能することができない。従って、ガス入口マニホールドの側部を包囲するために個別のガスシール88を設けねばならない。このガスシールは、シャワーヘッドの熱膨張を受け容れるために充分に柔軟でなければならない。
前記セクション4(図12から14)で述べたガスシール88の設計は、いずれも、ワイヤ懸架体86と組み合わせて使用するのに等しく適している。図17及び図18は、図12に示したものと同様の周囲シール88を示す。図19は、図13及び14に示すシール88と同様に、ワイヤ86の半径方向内方で且つガス出口オリフィス30の半径方向外方にある面に沿ってシャワーヘッド22に取り付けられた別のシール88を示す。
6.関節ジョイントを伴う懸架ロッド
図20は、図19と同様であるが、シャワーヘッドがワイヤではなくロッド90により懸架された実施形態を示す。各ロッドの上端及び下端は、関節ジョイント91によりシャワーヘッド及びガス入口マニホールド最上壁に各々取り付けられる。関節ジョイントは、ロッドが柔軟である必要性を排除し、従って、ロッドは、太くて堅固なものでよい。
関節ジョイントは、従来のボール及びソケットジョイントのように、ロッドがジョイントで枢着回転するのを許容する従来設計のものでよい。ボール及びソケットジョイントの低廉な近似は、図20に示すように、ボールに代わってショルダースクリューの頭を、そしてソケットに代わってホール又はスロットを使用することができる。スロットは、横断又は半径方向に細長くして、ロッドが枢着回転に加えて横断又は半径方向にスライドするのを許容する。
「ワイヤ懸架体」と題するセクション5で説明したように、ガス入口マニホールドの側部に対するガスシールを与えるために、シート88を設けねばならない。
7.高い熱膨張係数をもつ支持体への堅固な取り付け
図21及び22は、柔軟性又はスライド式コンポーネントを必要とせずにシャワーヘッドを堅固に取り付けるのを許し、しかも、半径方向の熱膨張及び収縮を受けるときにシャワーヘッドにかかる応力を緩和する別の設計を示す。この設計の独特の要素は、シャワーヘッド22の材料より高い熱膨張係数を有する材料で構成されたシャワーヘッド支持部材100である。シャワーヘッドは、熱膨張係数の高い支持部材100から堅固に懸架される。懸架体は少なくとも1つのスペーサ102を含み、各スペーサは、その上端が支持部材100の周囲付近に取り付けられ、又、その下端がシャワーヘッド22の周囲付近に取り付けられる。
シャワーヘッド支持部材100、スペーサ102及びシャワーヘッド22は、集合的に、プロセスガスがシャワーヘッドガス出口オリフィス30を経て真空チャンバーの内部へ付与される前に流れ込むところの容積部即ち充満部101を包囲する。これらコンポーネントは、充満部の周りに実質的な気密シールを与え、従って、シャワーヘッド出口オリフィス30を通過せずに充満部から真空チャンバーの内部へ漏れるガスの量が無視できる程度より多くならないようにしなければならない。
シャワーヘッドが円形である場合には、スペーサ102が円筒状であるのが好ましい。例示された実施形態では、シャワーヘッドが長方形であり、スペーサ102が、開いた中央部を取り巻く長方形フレームである。或いは又、多数のスペーサ102をシャワーヘッド22の周辺部に周囲方向に分布させることもできるが、このときには、隣接スペーサ間にガスシールを設けなければならない。
ガスコンジット106は、その上端が真空チャンバー最上壁18に取り付けられ、そこで、ガス入口オリフィス28に結合される。ガスコンジット106の下端は、シャワーヘッド支持部材100に取り付けられ、そこで、シャワーヘッド支持部材の少なくとも1つのガスオリフィス104に結合される。従って、ガスコンジット106は、プロセスガスが、ガス入口オリフィス28から、シャワーヘッド支持部材のガスオリフィス104を経て、充満部101を経て、次いで、シャワーヘッドのガス出口オリフィス30を経て流れるための流路を形成する。
この設計の動作原理は、次の通りである。充満部101内のプロセスガスによる熱伝導及び対流は、シャワーヘッド22とシャワーヘッド支持部材100との間に熱を伝達するように機能する。それ故、シャワーヘッドの温度が上下するときに、シャワーヘッド支持部材100の温度が上下する。又、スペーサ102も、シャワーヘッドと支持部材との間に熱を伝達することができる。ガス及びスペーサによる熱伝達は、効率が100%未満であるために、支持部材は、一般に、シャワーヘッドより冷たくなる。それ故、支持部材及びシャワーヘッドが同じ熱膨張係数を有する場合には、支持部材が、チャンバー内で実行されているプロセスからの熱に応答してシャワーヘッドほど膨張及び収縮しない。
しかしながら、本発明によれば、支持部材がシャワーヘッドとほぼ同じ量だけ半径方向に膨張するように、支持部材100の熱膨張係数は、シャワーヘッドの熱膨張係数を最適な量だけ越えねばならない。換言すれば、支持部材100は、これが高い温度に応答してシャワーヘッドの半径方向膨張にほぼ等しい量だけ半径方向に膨張するように、熱膨張係数がシャワーヘッドを最適な量だけ越える材料で構成されねばならない。支持部材の最適な熱膨張係数は、支持部材100を異なる材料で製造し、チャンバー内で実行されるプロセス中におそらく遭遇する温度変化に応答してシャワーヘッドとほぼ同じ量だけ支持部材を膨張及び収縮させる材料を選択することにより、実験で決定することができる。
ガスコンジット106は、シャワーヘッド支持部材100にその中央付近で取り付けられるので、そのポイントにおける支持部材の半径方向膨張は、その周囲付近での半径方向膨張に比して無視できるものである。それ故、ガスコンジットは、シャワーヘッド支持部材に堅固に取り付けることができる。1つの意図された実施形態では、ガスコンジットは、シャワーヘッド支持部材及びシャワーヘッドの全重量を支持する。より好ましくは、支持部材100の周囲と最上壁18との間に前記セクション2から6で述べた柔軟性又はスライド式懸架体を取り付けることにより、ヒーター及びシャワーヘッドの重量に対する付加的な支持を与えることができる。或いは又、「関連出願へのクロスレファレンス」という見出しで本特許明細書の初めに示した、本出願が優先権を請求するところの2つの以前の特許出願に説明された懸架設計のものを、支持部材100と最上壁18との間に取り付けることもできる。図21及び図22に示す好ましい実施形態では、このような支持は、4本の柔軟性ワイヤ86により与えられ、これらワイヤは、セクション5で述べた図17から図19の実施形態のように、シャワーヘッドの4つのコーナーに各々取り付けられる。
ガスコンジット106、支持部材100及びスペーサ102は、それらが全て導電性材料で構成された場合には、チャンバーの最上壁18からシャワーヘッドへRF電力を導通するように機能することができる。これらコンポーネントの各々は堅固に取り付けできるので、上述した取り付けポイントの各々は、優れたRF電気的コンダクタンスを与えるように容易に実施することができる。
8.シャワーヘッド後方のヒーター
上述した種々の懸架設計は、全シャワーヘッドの温度の上下に応答したシャワーヘッドの半径方向の膨張及び収縮による応力を防止することが意図される。これまでの設計で完全に対処されていない付加的な問題は、シャワーヘッドの前面(下面)が一般にシャワーヘッドの後面(上面)より高温になることである。というのは、前面が、チャンバー内の主熱源、即ち(1)ワークピース10を加熱するために通常ヒーターを含むワークピース支持体12、及び(2)プラズマプロセスの場合には、シャワーヘッドとワークピース支持体との間の領域のプラズマ、を向いているからである。これに対して、シャワーヘッドの後面は、ガス入口マニホールド最上壁18のように、シャワーヘッドより通常冷たい表面に露出される。
シャワーヘッドの前面が後面より高温になると、前面は後面より膨張して、シャワーヘッドを熱源に向って外方に曲げさせる。即ち、前面はより凸状になる。「発明の背景」で述べたように、シャワーヘッドの前面の輪郭(平坦さ又は曲率)に対する変化は、望ましくない。というのは、ワークピースに対して行なわれているプロセスの空間均一性を損なうことになるからである。
図23は、この曲がりの問題に対する解決策を示す。図23の設計は、図16に示す設計と同様であるが、高い温度係数を有するシャワーヘッド支持部材100がヒーター110に置き換えられる。このヒーターは、シャワーヘッドの後面に熱を供給することにより、前面より冷えた後面を有するというシャワーヘッドの問題を克服する。ヒーターは、シャワーヘッド全体の温度を上昇させ、ワークピースからの熱損失を減少するのに有益であるという付加的な効果を与える。
ヒーターは、ヒーターの本体に埋め込まれた電気加熱素子、或いはヒーターの本体を通して高温流体を圧送するチャンネルのような従来のいかなる熱源を使用することもできる。熱は、ヒーター110から、放射により、及びヒーターとシャワーヘッドとの間の充満領域101を経て流れるプロセスガス流を介しての伝導と対流により、シャワーヘッド22の後面へ伝達される。これらの熱伝達メカニズムは、スペーサ102を良熱導体にする必要がないほど優れている。
上述した熱伝達により、シャワーヘッドの温度は、ヒーターとほとんど同じであるか、それより若干冷たいだけである。それ故、ヒーターの本体が、シャワーヘッドと同じ又はそれより若干低い熱膨張係数を有する材料で構成される場合には、ヒーター及びシャワーヘッドは、温度に応答してほぼ同じ量の半径方向膨張を受ける。その結果、スペーサ102をヒーターとシャワーヘッドとの間に堅固に取り付けることができる。
ヒーター110は、前記セクション7で述べたシャワーヘッド支持体100のガスオリフィス104のように、少なくとも1つのガスオリフィス104を有していなければならない。同様に、セクション7で述べたように、ガスコンジット106は、真空チャンバーの最上壁18とヒーター110との間に堅固に取り付けて、ヒーター及びシャワーヘッドの重量を支持すると共に、プロセスガスをガス入口オリフィス28から、ヒーターのガスオリフィス104を経て、充満部101を経て、次いで、シャワーヘッドの出口オリフィス30を経てチャンバーの内部へ搬送することができる。
ガスコンジット106、ヒーター110、及びスペーサ102は、これら全てが導電性材料で構成された場合には、チャンバーの最上壁18からシャワーヘッドへRF電力を導通するように機能することができる。これらコンポーネント各々は堅固に取り付けできるので、上述した各取り付けポイントは、優れたRF電気コンダクタンスを与えるように容易に実施することができる。
スペーサ102は、セクション7で上述したように、ヒーター110及びシャワーヘッド22と組み合わせて、充満部101のための実質的に気密の包囲体を形成するように実施できる。又、セクション7で述べたように、ヒーター及びシャワーヘッドの重量に対する付加的な支持体を、ヒーターの周囲と最上壁18との間に前記セクション2から6で述べた柔軟性又はスライド式懸架体を取り付けることにより、設けることができる。或いは又、「関連出願へのクロスレファレンス」という見出しで本特許明細書の初めに示した、本出願が優先権を請求するところの2つの以前の特許出願に説明された柔軟性懸架設計のものを、ヒーター110と最上壁18との間に取り付けることもできる。図23に示す好ましい実施形態では、このような支持は、長方形ヒーター110の4つのコーナーと最上壁18との間に各々取り付けられた4本の柔軟性ワイヤ86により与えられる。
或いは又、図23に示す懸架ワイヤ86は、前記セクション2から4に説明した懸架体の1つに置き換えることができ、この場合、シャワーヘッドを支持する部材(支持棚54或いはハンガー70又は80)は、チャンバー壁18と組み合わされて、ガス入口マニホールド充満部26を密封包囲する。このような場合には、付加的なシールコンジット106が不要となる。この別の形態は、複数の空間的に分布されたガスオリフィス104をヒーターに設けて、充満部101においてプロセスガスの希望の空間的分布又は混合物を得ることが希望される場合に特に有用である。
より一般的には、前記セクション2から6に或いは上述した以前の特許出願に述べた柔軟性又はスライド式懸架体のいずれの設計においても、ここに述べたシャワーヘッド22を、ヒーター110、スペーサ102及びシャワーヘッド22で構成されるこのセクション8で述べた全アッセンブリに置き換えることができる。柔軟性又はスライド式懸架部材又はハンガーが前記設計の1つにおいてシャワーヘッドに取り付けられる場合には、このような懸架部材又はハンガーを、このセクション8で述べたアッセンブリにおいてヒーター110、スペーサ102又はシャワーヘッド22に取り付けることができる。
支持棚にスライド可能に載せられるシャワーヘッドリムで支持されたシャワーヘッドを備えたプラズマチャンバーの断面部分概略側面図である。 図1のシャワーヘッドリム及び支持棚の縦断面詳細図である。 図2の長方形シャワーヘッド及び支持棚のみを示す上面図である。 シャワーヘッドが円筒状である図3の設計の変形を示す上面図で、シャワーヘッド及び支持棚のみを示す図である。 粒子の落下を防止するためのリップを更に含むシャワーヘッドリム及び支持棚の改良形態を示す縦断面詳細図である。 ハンガーで支持棚をガス入口マニホールド最上壁に固定する図5のシャワーヘッドリム及び支持棚の別の実施形態を示す縦断面詳細図である。 シャワーヘッドがスロットを有し、これらスロット内をスライドするピンをもつハンガーと嵌合されるシャワーヘッドを示す横断面図である。 スロット内をスライドするピンをもつハンガーにより懸架されるシャワーヘッドの縦断面図である。 スロット内をスライドするピンをもつハンガーにより懸架されるシャワーヘッドの縦断面詳細図である。 シャワーヘッドリムが粒子を捕獲するためのグルーブを含むような図9の実施形態の変形を示す縦断面詳細図である。 ピン及びスロットが逆転された図9の実施形態の変形を示す縦断面詳細図である。 シャワーヘッドリムとガス入口マニホールド最上壁の周囲との間に延びるガスシールを有する図8及び図9のガス入口マニホールドの縦断面詳細図である。 ガス出口オリフィスとシャワーヘッドリムとの間でシャワーヘッドに固定されたガスシールを有する図8及び図9のガス入口マニホールドの縦断面詳細図である。 ガス出口オリフィスとシャワーヘッドリムとの間でシャワーヘッドに固定されたガスシールを有する図8及び9のガス入口マニホールドの分解斜視図である。 ハンガーがガス入口マニホールドのガスシール側壁として機能するに充分なほど巾が広い図8及び9のガス入口マニホールドの変形を示す縦断面図である。 ハンガーが重畳横方向延長部を含む図15のガス入口マニホールドの変形を示す横断面図である。 シャワーヘッドがガス入口マニホールド最上壁からのワイヤで懸架された実施形態の1つのコーナーを示す縦断面詳細図である。 図17の実施形態のシャワーヘッド、ワイヤ及びガス入口マニホールド最上壁のみを示す分解斜視図である。 入口マニホールドの側部をシールするためのシートが懸架ワイヤの内側にある図17の実施形態の変形の1つのコーナーを示す縦断面詳細図である。 シャワーヘッドが、関節ジョイントで取り付けられたロッドにより懸架される実施形態の1つのコーナーを示す縦断面詳細図である。 温度補償部材及びシャワーヘッドの縦断面図である。 温度補償部材及びシャワーヘッドの分解斜視図である。 ヒーター及びシャワーヘッドの縦断面図である。
10…ワークピース(基板)、12…ワークピース支持体、14…一体壁、16…蓋、18…最上壁、22…シャワーヘッド、23…前面(下面)、24、35、36、38…誘電体ライナー、26…充満部、28…ガス入口オリフィス、30…ガス出口オリフィス、32…環状排気スリット、33…環状排気充満部、39…カバー、52…シャワーヘッドリム、54…シャワーヘッド支持棚、55…シャワーヘッドの長手軸、56、57…RFケーブル、58…第2のリム、62…支持棚リップ、64…シャワーヘッドリップ、70、80…ハンガー、81…ピン、82…スロット、83…肩部、84…グルーブ、85…スロットの側壁、86…柔軟性ワイヤ、88…ガスシール部材、90…ロッド、91…関節ジョイント、100…シャワーヘッド支持部材、101…充満部、102…スペーサ、104…ガスオリフィス、106…ガスコンジット、110…ヒーター

Claims (15)

  1. プラズマチャンバー内のシャワーヘッドを支持する装置において、
    上方を向いた面を有する、プラズマチャンバー内の棚と、
    周囲リム、上面、下面、及び該上面と下面との間に延びる1つ以上のガスオリフィスを有するシャワーヘッドであって、上記シャワーヘッドの上記リムは、下方を向いた面を含む、上記シャワーヘッドと、
    上記シャワーヘッドの上記リムの上記下方を向いた面と上記棚の上記上方を向いた面との間にあるベアリング又は滑走体と、を備え、
    上記シャワーヘッドは、上記シャワーヘッドの上記リムの上記下方を向いた面が上記棚の上記上方を向いた面に載せられ且つ上記シャワーヘッドの上記リムが上記棚の上記上方を向いた面に沿って自由にスライドするように配置された装置。
  2. 上記ベアリング又は滑走体は、ベアリングである、請求項1に記載の装置。
  3. 上記ベアリング又は滑走体は、上記シャワーヘッドの上記リムの上記下方を向いた面と上記棚の上記上方を向いた面との間の摩擦を減少させるように、上記シャワーヘッドの上記リムの上記下方を向いた面と上記棚の上記上方を向いた面との間にあるベアリングである、請求項1に記載の装置。
  4. 上記ベアリング又は滑走体は、滑走体である、請求項1に記載の装置。
  5. 上記ベアリング又は滑走体は、上記シャワーヘッドの上記リムの上記下方を向いた面と上記棚の上記上方を向いた面との間の摩擦を減少させるように、上記シャワーヘッドの上記リムの上記下方を向いた面と上記棚の上記上方を向いた面との間にある滑走体である、請求項1に記載の装置。
  6. 上記ベアリング又は滑走体は、滑走体であり、
    上記シャワーヘッドリムの下方を向いた面は、ソケットを含み、
    上記滑走体は、回転できるように上記ソケットに嵌合されている、請求項1に記載の装置。
  7. 上記ベアリング又は滑走体は、滑走体であり、
    上記棚の上記上方を向いた面は、ソケットを含み、
    上記滑走体は、回転できるように上記ソケットに嵌合されている、請求項1に記載の装置。
  8. 上記滑走体は、ロッドである、請求項4〜7のいずれか一項に記載の装置。
  9. 上記滑走体は、ボールである、請求項4〜7のいずれか一項に記載の装置。
  10. プラズマチャンバー内シャワーヘッドを支持する方法において、
    上方を向いた面を有する棚を、上記プラズマチャンバー内に設けるステップと、
    周囲リム、上面、下面、及び該上面と下面との間に延びる1つ以上のガスオリフィスを有するシャワーヘッドを、上記プラズマチャンバー内に設けるステップであって、上記シャワーヘッドの上記リムが下方に向いた面を含む、上記ステップと、
    上記シャワーヘッドの上記リムの上記下方を向いた面が上記棚の上記上方を向いた面に載せられ且つ上記シャワーヘッドの上記リムが上記棚の上記上方を向いた面に沿って自由にスライドするように上記シャワーヘッドを配置するステップと、
    上記シャワーヘッドの上記リムの上記下方を向いた面と上記棚の上記上方を向いた面との間に滑走体を設けるステップと、を備える、方法。
  11. 上記滑走体が、上記シャワーヘッドの上記リムの上記下方を向いた面と上記との間の摩擦を減少させるように上記滑走体は、上記シャワーヘッドの上記リムの上記下方を向いた面と上記棚との間に設けられる、請求項10に記載の方法。
  12. 上記棚内にソケットを設けるステップ更に備え、
    上記滑走体、上記ソケット内を自由に転がることができるように、上記滑走体は、上記ソケットに嵌合されている、請求項10に記載の方法
  13. 上記シャワーヘッドの上記リム内にソケットを設けるステップ更に備え、
    上記滑走体、上記ソケット内を自由に転がることができるように、上記滑走体は、上記ソケットに嵌合されている、請求項10に記載の方法
  14. 上記滑走体がロッドである、請求項1013のいずれか一項に記載の方法。
  15. 上記滑走体がボールである、請求項1013のいずれか一項に記載の方法。
JP2010278191A 2004-02-24 2010-12-14 可動又は柔軟なシャワーヘッド取り付け Active JP5366923B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US54733804P 2004-02-24 2004-02-24
US60/547338 2004-02-24

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2005045994A Division JP4698251B2 (ja) 2004-02-24 2005-02-22 可動又は柔軟なシャワーヘッド取り付け

Publications (2)

Publication Number Publication Date
JP2011089208A JP2011089208A (ja) 2011-05-06
JP5366923B2 true JP5366923B2 (ja) 2013-12-11

Family

ID=35046181

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2005045994A Active JP4698251B2 (ja) 2004-02-24 2005-02-22 可動又は柔軟なシャワーヘッド取り付け
JP2010278191A Active JP5366923B2 (ja) 2004-02-24 2010-12-14 可動又は柔軟なシャワーヘッド取り付け

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2005045994A Active JP4698251B2 (ja) 2004-02-24 2005-02-22 可動又は柔軟なシャワーヘッド取り付け

Country Status (5)

Country Link
US (1) US7722925B2 (ja)
JP (2) JP4698251B2 (ja)
KR (1) KR100769963B1 (ja)
CN (2) CN102212798B (ja)
TW (1) TWI290962B (ja)

Families Citing this family (498)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
TWI306782B (en) * 2005-09-02 2009-03-01 Applied Materials Inc Suspension for showerhead in process chamber
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7674394B2 (en) 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
KR100801711B1 (ko) * 2007-02-27 2008-02-11 삼성전자주식회사 반도체 식각 및 증착 공정들을 수행하는 반도체 제조장비들 및 그를 이용한 반도체 소자의 형성방법들
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US8343592B2 (en) 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
KR101199644B1 (ko) * 2008-01-31 2012-11-08 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버의 전극에 대한 다중 위상 rf 전력
KR100970201B1 (ko) * 2008-03-17 2010-07-14 주식회사 아이피에스 진공처리장치
US8373092B2 (en) * 2008-04-09 2013-02-12 The Boeing Company Purge and sealant cap for selective laser sintering build frame
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
KR101569752B1 (ko) 2008-06-12 2015-11-19 주성엔지니어링(주) 기판처리장치
KR101529669B1 (ko) * 2008-06-12 2015-06-18 주성엔지니어링(주) 기판처리장치
WO2010051233A2 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
CN102365906B (zh) * 2009-02-13 2016-02-03 应用材料公司 用于等离子体腔室电极的rf总线与rf回流总线
KR101190750B1 (ko) * 2009-02-19 2012-10-12 엘지전자 주식회사 실리콘 박막 제조방법 및 제조장치
EP2399273B1 (en) * 2009-02-22 2017-06-28 Mapper Lithography IP B.V. Charged particle lithography apparatus and method of generating vacuum in a vacuum chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101099533B1 (ko) 2009-07-23 2011-12-28 세메스 주식회사 기판 처리 유닛 및 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011041332A2 (en) * 2009-09-29 2011-04-07 Applied Materials, Inc. Off-center ground return for rf-powered showerhead
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
JP5835722B2 (ja) * 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
JP6104157B2 (ja) 2010-05-21 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大面積電極にぴったりと嵌合されたセラミックス絶縁体
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012216744A (ja) * 2010-11-10 2012-11-08 Sharp Corp 気相成長装置及び気相成長方法
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
CN203746815U (zh) 2011-03-01 2014-07-30 应用材料公司 用于处理基板的腔室
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102154691B (zh) * 2011-05-30 2012-11-21 东莞市中镓半导体科技有限公司 狭缝式多气体输运喷头结构
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5753450B2 (ja) * 2011-06-30 2015-07-22 東京エレクトロン株式会社 成膜装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
CN103266310B (zh) * 2013-05-24 2015-05-20 上海和辉光电有限公司 分散板及具有该分散板的镀膜装置
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
DE102015110440A1 (de) * 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR102389078B1 (ko) * 2015-03-05 2022-04-22 주성엔지니어링(주) 기판 처리장치에 구비되는 샤워헤드
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6368732B2 (ja) * 2016-03-29 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
CN109477207A (zh) 2016-09-23 2019-03-15 应用材料公司 溅射喷淋头
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10801106B2 (en) * 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) * 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US11598003B2 (en) 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7140525B2 (ja) * 2018-03-29 2022-09-21 株式会社アルバック 真空処理装置
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020086173A2 (en) * 2018-09-26 2020-04-30 Applied Materials, Inc. Heat conductive spacer for plasma processing chamber
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113261390B (zh) * 2019-01-07 2024-06-14 株式会社爱发科 真空处理装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
KR102503465B1 (ko) * 2019-01-07 2023-02-24 가부시키가이샤 아루박 진공 처리 장치, 진공 처리 장치의 클리닝 방법
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102700366B1 (ko) * 2019-01-29 2024-08-30 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7282646B2 (ja) * 2019-09-26 2023-05-29 株式会社アルバック 真空処理装置
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210105139A (ko) * 2020-02-18 2021-08-26 (주)포인트엔지니어링 가스 공급장치 및 이를 구비한 증착장치
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220028710A1 (en) 2020-07-21 2022-01-27 Applied Materials, Inc. Distribution components for semiconductor processing systems
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
KR102603678B1 (ko) * 2020-10-13 2023-11-21 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230335377A1 (en) * 2022-04-15 2023-10-19 Applied Materials, Inc. Showerhead assembly with heated showerhead

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63187619A (ja) 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR0164618B1 (ko) * 1992-02-13 1999-02-01 이노우에 쥰이치 플라즈마 처리방법
JPH05299382A (ja) * 1992-04-21 1993-11-12 Mitsubishi Electric Corp プラズマ処理装置およびその方法
JP3155844B2 (ja) * 1992-10-20 2001-04-16 日本真空技術株式会社 真空処理装置の高周波電極
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
JPH09153481A (ja) * 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5997642A (en) * 1996-05-21 1999-12-07 Symetrix Corporation Method and apparatus for misted deposition of integrated circuit quality thin films
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JP3725325B2 (ja) * 1998-03-18 2005-12-07 株式会社日立製作所 半導体製造方法ならびに半導体製造装置
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6302057B1 (en) * 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
WO2000063956A1 (fr) * 1999-04-20 2000-10-26 Sony Corporation Procede et dispositif pour realiser un depot de couches minces, et procede pour la production d'un dispositif a semiconducteur a couches minces
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6254742B1 (en) * 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP3501715B2 (ja) * 2000-03-21 2004-03-02 シャープ株式会社 プラズマプロセス装置
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
JP2002045683A (ja) * 2000-08-08 2002-02-12 Hitachi Kokusai Electric Inc 基板処理装置
JP4381588B2 (ja) * 2000-10-25 2009-12-09 ソニー株式会社 加熱を伴う処理装置
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
KR100377096B1 (ko) * 2001-05-08 2003-03-26 (주)넥소 개선된 샤워헤드를 구비한 반도체 제조장치
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support

Also Published As

Publication number Publication date
JP4698251B2 (ja) 2011-06-08
KR20060042164A (ko) 2006-05-12
TWI290962B (en) 2007-12-11
CN1673410B (zh) 2011-07-06
US20050183827A1 (en) 2005-08-25
KR100769963B1 (ko) 2007-10-25
TW200533776A (en) 2005-10-16
CN102212798B (zh) 2015-01-21
US7722925B2 (en) 2010-05-25
JP2011089208A (ja) 2011-05-06
JP2005256172A (ja) 2005-09-22
CN102212798A (zh) 2011-10-12
CN1673410A (zh) 2005-09-28

Similar Documents

Publication Publication Date Title
JP5366923B2 (ja) 可動又は柔軟なシャワーヘッド取り付け
EP1046729B1 (en) CVD processing chamber
US7083702B2 (en) RF current return path for a large area substrate plasma reactor
KR100929455B1 (ko) 플라즈마 챔버용의 현가형 가스 분배 매니폴드
JP4430253B2 (ja) ガス分配プレートを備えたチャンバ及び装置とガス分配プレートの熱応力を最小限にする方法
US6368450B2 (en) Processing apparatus
US9580804B2 (en) Diffuser support
JP4511722B2 (ja) 化学気相堆積用リアクタ
US20130087309A1 (en) Substrate support with temperature control
US20080099145A1 (en) Gas sealing skirt for suspended showerhead in process chamber
JP2007002298A (ja) 載置台装置の取付構造、処理装置及び載置台装置における給電線間の放電防止方法
JP2004356624A (ja) 載置台構造及び熱処理装置
CN117431529A (zh) 用于减少颗粒产生的气体扩散器安装板
TW200302541A (en) Heated vacuum support apparatus
TWI738006B (zh) 真空處理裝置、支持軸
JPWO2020145190A1 (ja) 真空処理装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121016

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130115

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130118

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130218

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130221

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130315

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130321

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130412

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130813

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130910

R150 Certificate of patent or registration of utility model

Ref document number: 5366923

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250