CN113166939B - 用于减少颗粒产生的气体扩散器安装板 - Google Patents

用于减少颗粒产生的气体扩散器安装板 Download PDF

Info

Publication number
CN113166939B
CN113166939B CN201980078392.4A CN201980078392A CN113166939B CN 113166939 B CN113166939 B CN 113166939B CN 201980078392 A CN201980078392 A CN 201980078392A CN 113166939 B CN113166939 B CN 113166939B
Authority
CN
China
Prior art keywords
spokes
mounting plate
gas diffuser
diffuser assembly
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980078392.4A
Other languages
English (en)
Other versions
CN113166939A (zh
Inventor
刘家凌
安翔
赵来
周建华
R·L·迪纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202311209247.XA priority Critical patent/CN117431529A/zh
Publication of CN113166939A publication Critical patent/CN113166939A/zh
Application granted granted Critical
Publication of CN113166939B publication Critical patent/CN113166939B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

本公开内容的实施方式总体提供用于真空腔室的气体扩散器组件的设备和方法,气体扩散器组件包括安装板,安装板包括中心部;复数个弯曲辐条,从中心部在径向方向中延伸;角板部,耦接于中心部与这些弯曲辐条的每个弯曲辐条之间,这些角板部的每个角板部具有凸弯曲部,设置于轴向方向中;和一个或多个安装孔,耦接于这些弯曲辐条。

Description

用于减少颗粒产生的气体扩散器安装板
背景
领域
本公开内容的实施方式总体涉及一种安装板,所述安装板用于在等离子体腔室中使用的气体或等离子体扩散器。
相关技术的说明
等离子体增强化学气相沉积(Plasma enhanced chemical vapor deposition,PECVD)是一种沉积方法,处理气体通过背板、通过扩散器、并且接着至气体分配喷头而被引导至处理腔室中。喷头是电偏压的以将处理气体点燃成等离子体。与喷头相对地定位的底座是电接地的并且用作阳极以及基板支撑件。处理气体的等离子体在基板上形成一个或多个膜。
通过使清洁气体自由基(cleaning gas radical)的等离子体沿着与处理气体相同的流动路径流动并且流动通过与处理气体相同的流动路径来执行内部腔室部件的定期腔室清洁。举例来说,清洁气体在处理腔室的外侧被点燃成等离子体并且流动通过背板、扩散器、和通过喷头。清洁气体自由基的等离子体一般约为摄氏400度、或更高,并且造成流动路径的部分膨胀。在清洁之后,气体路径的所述部分逐渐冷却。此热循环在生产周期期间重复多次。
然而,热循环造成气体路径的部分以不同的速率膨胀或收缩。相邻部分之间的有差别膨胀(differential expansion)造成这些部分抵靠着彼此摩擦,而产生颗粒。这些颗粒接着被夹带至流动路径中并且污染腔室。留在流动路径中的颗粒可被夹带至处理气体流中,而在沉积工艺期间污染基板。基板的颗粒污染使产量降低。
因此,需要用于在处理腔室中支撑气体扩散器的安装板的设备和方法。
概述
本公开内容的实施方式一般提供用于真空腔室的气体扩散器组件的设备和方法,气体扩散器组件包括安装板,安装板包括中心部;复数个弯曲辐条,从中心部在径向方向中延伸;角板部,耦接于中心部和这些弯曲辐条中的每个弯曲辐条之间,这些角板部的每个角板部具有凸弯曲部,所述凸弯曲部设置于轴向方向中;和一个或多个安装孔,耦接于这些弯曲辐条。
在另一实施方式中,说明一种用于真空腔室的气体扩散器组件,气体扩散器组件包括安装板,安装板包括中心部;复数个辐条,从中心部在径向方向中延伸;角板部,耦接于中心部与这些辐条中的每个辐条之间,这些角板部中的每个角板部具有凸弯曲部,所述凸弯曲部设置于轴向方向中;一个或多个安装孔,耦接于这些弯曲辐条;和螺纹孔,形成于中心部中。
在另一实施方式中,说明一种用于真空腔室的气体扩散器组件,所述气体扩散器组件包括安装板;和气体偏转器,通过单个紧固件耦接于安装板。安装板包括中心部;复数个弯曲辐条,从中心部在径向方向中延伸;和一个或多个安装孔,耦接于这些弯曲辐条。
附图简要说明
为了能够详细地理解本公开内容的上述特征,可通过参考实施方式获得上文简要概述的本公开内容的更具体说明,在附图中图示实施方式中的一些。然而,值得注意的是,附图仅图示本公开内容的典型实施方式并且因此不视为限制本公开内容的范围,因为本公开内容也允许其他等效的实施方式。
图1是腔室的一个实施方式的示意性侧视横截面图。
图2是依照本公开内容的一个实施方式的具有气体扩散器支撑组件的腔室的局部示意性截面图。
图3A是沿着图2的线3A-3A的扩散器组件的一部分的底部平面图。
图3B是图3A的安装板的侧视图。
图4A是可用作图1的安装板的安装板的另一实施方式的底部平面图。
图4B是图4A的安装板的侧视图。
图5A是可用作图1的安装板的安装板的另一实施方式的底部平面图。
图5B是图5A的安装板的侧视图。
图6A是可用作图1的安装板的安装板的另一实施方式的底部平面图。
图6B是图6A的安装板的侧视图。
图7A是可用作图1的安装板的安装板的另一实施方式的底部平面图。
图7B是图7A的安装板的侧视图。
为了有助于理解,已经尽可能使用相同的参考数字来表示各图共有的相同元件。还应理解的是,一个实施方式的元件和特征可有利地并入其他实施方式,而无需进一步阐述。
具体说明
本公开内容的实施方式一般提供用于在处理腔室中支撑气体扩散器的设备和方法。将在下文关于等离子体增强化学气相沉积(PECVD)设备描述本公开内容,此PECVD设备可从美国AKT公司(AKT America,Inc.)获得,美国AKT公司公司为位于加利福尼亚州圣克拉拉(Santa Clara)的应用材料公司(Applied Materials,Inc.)的子公司。将理解的是,本公开内容也可具有在其他沉积腔室中的适用性,其他沉积腔室包括可从其他制造商获得的沉积腔室和PECVD设备。
图1是腔室100的一个实施方式的示意性侧视横截面图。腔室100适于PECVD工艺,以在由玻璃制成的大面积基板105、聚合物或其他适合的基板上制造电路。腔室100经配置以在大面积基板105上形成结构和装置,大面积基板105用于在液晶显示器(LCD)或平板显示器、用于太阳能电池阵列的光生伏打装置、或其他结构的制造中使用。这些结构可为复数个背通道蚀刻反转交错式(back channel etch inverted staggered)(下栅极)薄膜晶体管,可包括复数个顺序的沉积和遮蔽步骤。其他结构可包括p-n结,以形成用于光生伏打电池的二极管。
腔室100包括腔室侧壁110、底部115和基板支撑件120,基板支撑件120例如是在处理期间支撑大面积基板105的底座。气体分配喷头145与基板支撑件120和大面积基板105相对地定位。腔室100还具有口125,例如是狭缝阀开口,口125通过选择性地打开和关闭而有助于大面积基板105传送进入和离开腔室100。腔室100还包括盖结构130、背板140和气体分配喷头145。盖结构130包括盖板135。在一个实施方式中,盖结构130支撑背板140和气体分配喷头145。在一个实施方式中,背板140的内部表面146和腔室侧壁110的内部表面147界定可变压力区域148。在一个方面中,腔室100包括主体,所述主体包括腔室侧壁110、底部115和背板140,腔室侧壁110、底部115和背板140界定可变压力区域148。通过在界面处的合适o形环而将背板140在背板140的周围密封,背板140和盖结构130可在所述界面处彼此接触。当通过耦接于腔室100的真空泵提供负压时,o形环有助于电绝缘以及密封可变压力区域148。
在一个实施方式中,通过一个或多个中心支撑构件150,在气体分配喷头145的中心区域处通过背板140支撑气体分配喷头145。此一个或多个中心支撑构件150有助于在气体分配喷头145的中心区域处支撑气体分配喷头145,以控制气体分配喷头145的水平轮廓来减缓气体分配喷头145因热、重力和真空的一者或组合而导致的下垂(droop)或下弯(sag)的趋势。也可在气体分配喷头145的周围通过柔性悬架(suspension)155支撑气体分配喷头145。柔性悬架155经适配以从气体分配喷头145的边缘支撑气体分配喷头145,并且允许气体分配喷头145的横向(lateral)膨胀和收缩。
腔室100耦接于气体入口160,气体入口160耦接于气源和等离子体源165。等离子体源165可为直流电源或射频(RF)电源。RF电源可电感或电容地耦接于腔室100。气体入口160从气源通过孔162输送工艺气体至气体扩散器组件164。气体扩散器组件164包括穿孔的气体偏转器166和安装板168。安装板168通过复数个紧固件169耦接于背板140。气体偏转器166紧固于安装板168的中心。气体偏转器166接收从孔162穿过安装板168的气体。
背板140、气体偏转器166和安装板168全部都可包括金属材料,例如是铝。
气体流动通过孔162至安装板168,并且通过气体偏转器166散布至中间区域170中,中间区域170限定于背板140与气体分配喷头145之间。在操作的一个例子中,当腔室100的内部已经通过真空泵抽至合适的压力时,从气源输送工艺气体。一种或多种工艺气体流动通过气体入口160至安装板168和气体偏转器166而至中间区域170,中间区域170限定于背板140与气体分配喷头145之间。此一种或多种工艺气体接着从中间区域170通过复数个开口或气体通道175流动至处理区域180,这些开口或气体通道175穿过气体分配喷头145而形成,处理区域180限定于在气体分配喷头145下方并且在基板支撑件120上方的区域中。
通过使基板支撑件120朝向气体分配喷头145移动,大面积基板105从传送位置升高至处理区域180。基于在气体分配喷头145的下表面与基板支撑件120的基板接收表面190之间的间距,处理区域180的高度可作为工艺参数而变化。基板支撑件120可通过整体式加热器(integral heater)加热,整体式加热器例如是加热线圈或电阻加热器,耦接于基板支撑件120或设置于基板支撑件120内。
通过耦接于腔室100的等离子体源165,等离子体可形成于处理区域180中。等离子体激发的气体沉积于大面积基板105上以在大面积基板105上形成结构。在一个实施方式中,基板支撑件120处于接地电位,以有助于处理区域180中的等离子体形成。等离子体也可通过其他方式形成于腔室100中,例如是热致等离子体(thermally induced plasma)。虽然等离子体源165在此实施方式中被示出为耦接于气体入口160,但是等离子体源165可耦接于气体分配喷头145或腔室100的其他部分。
在处理基板105之后,将基板105传送出腔室100,并且执行清洁工艺。从清洁气源184提供例如是含氟气体的清洁气体。在远程等离子体腔室186中将清洁气体点燃成等离子体。清洁气体的等离子体流动通过气体入口160的孔162,并且通过安装板168,在安装板168等离子体通过气体偏转器166而散布。等离子体接着流动通过气体分配喷头145的气体通道175,以清洁腔室内部表面。
常规的气体扩散设备包括单独的部件,单独的部件以螺栓或其他方式紧固于作为用于偏转器的安装板的背板140的下表面。然而,热等离子体造成背板140与安装板之间的有差别膨胀,和/或安装板自身的主体内的有差别膨胀。腔室100的持续的热循环造成常规的气体扩散设备的部件抵靠着背板140摩擦,特别是常规的安装板,这产生颗粒。举例来说,常规的安装板的安装点膨胀并且抵靠着板摩擦而产生颗粒。此外,用来将常规气体扩散设备耦接于背板140的紧固件包括阳极化涂层,此阳极化涂层可能因有差别膨胀而磨损,进而产生更多的颗粒。已经发现在腔室100中以气流夹带颗粒,并且一部分颗粒污染基板。
图2是依照本公开内容的一个实施方式的具有气体扩散器组件164的腔室100的局部示意性截面图。气体扩散器组件164包括安装板168和气体偏转器166,安装板168耦接于背板140,气体偏转器166耦接于安装板168。
图3A是沿着图2的线3A-3A的气体扩散器组件164的一部分的底部平面图。图3B是图3A的安装板168的侧视图。
如图2、图3A和图3B中所示,安装板168包括复数个开口205A-205D(在图2中表示为205)。开口205A-205D中的每个开口通过辐条210分离。辐条210中的每个辐条在中心部(hub)215处在背板140的几何中心中连结。
在所示的实施方式中,辐条210中的每个辐条在所述辐条的周边连结至圆形安装结构300。圆形安装结构300包括复数个弧段305。复数个弧段305中的每一个包括安装孔310。每个安装孔310容置紧固件169(在图2中示出)中的一个紧固件。
辐条210中的每个辐条包括交叉结构(cross structure)220。在由辐条210分离的四分之一圆(quadrant)中提供开口205A-205D中的每个开口。开口205A-205D中的每个开口经定尺寸以最大化气流或传导。利用如本文所述的交叉结构220,开口205A-205D的开放区域(open area)自常规的气体扩散设备增加约50%,或更多。在一个实施方式中,开口205A-205D的开放区域是约7平方英寸。
如图3A中所示,辐条210具有从中心部215在径向方向中的第一端或近端312和第二端或远端315。辐条210中的每个辐条的远端315包括位于径向方向中的钝角部320和锐角部325。在一些实施方式中,辐条210的每个辐条的近端312包括在径向方向中的锐角部330和钝角部335。通过辐条210的钝角部320和锐角部325形成远端主体340。在一些实施方式中(在图4A、图5A、图6A和图7A中示出),安装孔310形成于远端主体340中。
参照图3B,安装板168包括在轴向方向342中的第一厚度或第一高度345。第一高度345包括中心部215。安装板168包括在轴向方向342中的第二厚度或第二高度350,第二厚度或第二高度350小于第一高度345。第二高度350包括安装孔310。安装板168包括在轴向方向342中的第三厚度或第三高度355,第三厚度或第三高度355小于第二高度350。第三高度355包括辐条210中的每个辐条的大部分的厚度。
在一些实施方式中,安装板168的中心部215包括平表面360。在图3B中示出的安装板168还包括角板部(gusset portion)365,设置于中心部215与辐条210之间。角板部365中的每个角板部具有在第一高度345与第三高度355之间的厚度或高度。在所示的实施方式中,角板部365包括在轴向方向342中的凸弯曲部370,凸弯曲部370从辐条210过渡至中心部215。
再度参照图2,安装板168通过复数个紧固件169耦接于背板140。气体偏转器166通过单个紧固件225耦接于安装板168。紧固件225是螺栓(bolt)或螺钉(screw),例如是肩螺钉(shoulder screw)。紧固件225耦接于螺纹孔275,螺纹孔275形成于中心部215中(以虚线示出于图3A中)。紧固件225还维持在气体偏转器166的上表面235与背板140和/或安装板168的下表面240之间的间隙230。
在操作中,来自图1的气源的气体或来自图1的远程等离子体腔室186的清洁气体的等离子体流动通过孔162。沿着穿过安装板168的开口205A-205D的传导路径将此流动提供至中间区域170中,中间区域170限定于背板140与气体分配喷头145之间。传导路径包括多个流动路径,例如是气体偏转器166附近的横向流动路径245和通过复数个通孔255的向下流动路径250,这些通孔255形成于气体偏转器166中。传导路径经由通过气体通道175的流动路径260继续至处理区域180,气体通道175穿过气体分配喷头145而形成。
辐条210在交叉结构220内以90度间隔定位。在一个实施方式中,辐条210沿着长度或径向方向弯曲。在温度波动期间,辐条210的弯曲配置和厚度(第三高度355)提供柔性,允许安装板168在远离紧固件169的区域处膨胀和收缩。这避免背板140与安装板168之间的摩擦接触,而减少颗粒产生。
在一个实施方式中,间隙230(在背板140与气体偏转器166之间)为约0.15英寸至约0.5英寸。在一个实施方式中,间隙230为约0.25英寸。
在一个实施方式中,通孔255跨气体偏转器166的主表面均匀地分布。在一个实施方式中,通孔255中的每个通孔具有在约0.05英寸至约0.2英寸之间的直径。在一个实施方式中,每个通孔255具有约0.1英寸的直径。
如图2中所示,气体偏转器166大体上阻挡大部分来自孔162的竖直向下气体或等离子体流265,并且产生大体上水平的横向流动路径245,横向流动路径245大体上平行于背板140和气体分配喷头145。小部分的竖直向下气体或等离子体流265通过气体偏转器166中的复数个通孔255并且产生向下流动路径250,向下流动路径250大致平行于背板140和/或腔室100的纵轴270。
图4A是可用作图1的安装板168的安装板400的另一实施方式的底部平面图。图4B是图4A的安装板400的侧视图。
安装板400在具有下述例外的情况下类似于安装板168。安装板400不包括如图3A中所示的圆形安装结构300。替代地,安装孔310在远端主体340中定位于辐条210的端上。此外,角板部365包括成角度的平面表面405,成角度的平面表面405从辐条210过渡至中心部215。
图5A是可用作图1的安装板168的安装板500的另一实施方式的底部平面图。图5B是图5A的安装板500的侧视图。
安装板500在具有下述例外的情况下类似于安装板400。安装板500的中心部215包括成圆形的表面505(示出于图5B中)。安装板500还包括角板部365,角板部365具有凸弯曲部510,凸弯曲部510的半径大体上类似于成圆形的表面505的半径。凸弯曲部510通过凹部515耦接于辐条210,凹部515在凸弯曲部510的径向外部。
图6A是可用作图1的安装板168的安装板600的另一实施方式的底部平面图。图6B是图6A的安装板600的侧视图。
安装板600在具有下述例外的情况下类似于安装板500。安装板600包括角板部365,角板部365具有凸弯曲部510,凸弯曲部510的半径大体上类似于成圆形的表面505的半径。凸弯曲部510通过倾斜表面605耦接于辐条210,倾斜表面605在凸弯曲部510的径向外部。
图7A是可用作图1的安装板168的安装板700的另一实施方式的底部平面图。图7B是图7A的安装板700的侧视图。
安装板700在具有下述例外的情况下类似于安装板600或图4A-图4B图的安装板400。安装板700包括角板部365(角板部365具有成角度的平面表面405),但还包括在中心部215的边缘上的成角度的周围区域705。
本文所述的气体扩散器组件164显著地减少颗粒形成以及其他常规气体扩散设备所面临的问题。相较于常规的气体扩散设备,在如本文所述的气体扩散器组件164中可能致使部件之间摩擦的应力显著地减小。举例来说,本文所述的安装板的最大横向变形减小了多于10%。利用如本文所述的安装板,最大竖直变形减小了多于98%。利用如本文所述的安装板,最大范式等效应力(Von-Mises stress)减小了约80%。利用如本文所述的安装板,反作用力(意指在背板140与常规的气体扩散设备之间的摩擦)减少了多于99%。利用如本文所述如本文所述的安装板,反作用力矩(意指常规的气体扩散设备的安装硬件之间的摩擦)减少了多于98%。
在前述内容针对本公开内容的实施方式的同时,可在不脱离本公开内容的基本范围的情况下设计本公开内容的其他和进一步的实施方式,并且本公开内容的范围由所附的权利要求书确定。

Claims (15)

1.一种气体扩散器组件,用于真空腔室,所述气体扩散器组件包括:
环形安装板,包括:
中心部;
复数个弯曲辐条,从所述中心部在径向方向中延伸并且在所述弯曲辐条的远端处与弧段对接,其中复数个开口形成在所述环形安装板中,所述复数个开口中的每个开口由所述中心部、所述弧段和所述复数个弯曲辐条中的两个弯曲辐条界定;
角板部,耦接于所述中心部与这些弯曲辐条中的每个弯曲辐条之间,所述角板部具有凸弯曲部,所述凸弯曲部设置于轴向方向中;和
一个或多个安装孔,相邻于这些弯曲辐条定位。
2.如权利要求1所述的气体扩散器组件,其中这些安装孔中的每个安装孔在这些弯曲辐条之间形成于圆形安装结构中。
3.如权利要求1所述的气体扩散器组件,其中所述一个或多个安装孔的其中一者定位在这些弯曲辐条中的每个弯曲辐条的所述远端。
4.如权利要求1所述的气体扩散器组件,其中这些弯曲辐条中的每个弯曲辐条包括远端主体。
5.如权利要求4所述的气体扩散器组件,其中这些弯曲辐条中的每个弯曲辐条包括锐角部和钝角部,所述锐角部和所述钝角部位于所述远端主体处。
6.如权利要求1所述的气体扩散器组件,其中这些弯曲辐条中的每个弯曲辐条包括锐角部和钝角部。
7.如权利要求1所述的气体扩散器组件,其中所述中心部包括平表面。
8.如权利要求1所述的气体扩散器组件,其中所述中心部包括成圆形的表面。
9.如权利要求1所述的气体扩散器组件,其中这些弯曲辐条具有从所述中心部在所述径向方向中的近端,并且其中这些弯曲辐条中的每个弯曲辐条的所述近端包括钝角部,所述钝角部相邻于所述角板部。
10.一种气体扩散器组件,用于真空腔室,所述气体扩散器组件包括:
环形安装板,包括:
中心部;
复数个辐条,从所述中心部在径向方向中延伸并且在所述辐条的远端处与弧段对接;
复数个角板部,耦接于所述中心部与这些辐条之间,这些角板部中的每个角板部具有凸弯曲部,所述凸弯曲部设置于轴向方向中;
一个或多个安装孔,在这些辐条之间形成在所述环形安装板中,其中复数个开口形成在所述环形安装板中,这些开口中的每个开口由所述中心部、所述弧段和所述复数个辐条中的两个辐条界定;和
螺纹孔,形成于所述中心部中。
11.如权利要求10所述的气体扩散器组件,其中这些安装孔中的每个安装孔设置在大于所述环形安装板的高度的高度处,所述环形安装板的所述高度对应于这些辐条中的每个辐条的大部分的厚度。
12.如权利要求10所述的气体扩散器组件,其中所述一个或多个安装孔的其中一者定位在这些辐条中的每个辐条的所述远端处。
13.如权利要求10所述的气体扩散器组件,其中这些辐条中的每个辐条包括远端主体。
14.如权利要求13所述的气体扩散器组件,其中这些辐条中的每个辐条包括锐角部和钝角部,所述锐角部和所述钝角部位于所述远端主体处。
15.如权利要求10所述的气体扩散器组件,其中这些辐条中的每个辐条包括锐角部和钝角部。
CN201980078392.4A 2018-11-27 2019-10-24 用于减少颗粒产生的气体扩散器安装板 Active CN113166939B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311209247.XA CN117431529A (zh) 2018-11-27 2019-10-24 用于减少颗粒产生的气体扩散器安装板

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/201,755 US10883174B2 (en) 2018-11-27 2018-11-27 Gas diffuser mounting plate for reduced particle generation
US16/201,755 2018-11-27
PCT/US2019/057947 WO2020112282A1 (en) 2018-11-27 2019-10-24 Gas diffuser mounting plate for reduced particle generation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311209247.XA Division CN117431529A (zh) 2018-11-27 2019-10-24 用于减少颗粒产生的气体扩散器安装板

Publications (2)

Publication Number Publication Date
CN113166939A CN113166939A (zh) 2021-07-23
CN113166939B true CN113166939B (zh) 2023-09-22

Family

ID=70770559

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202311209247.XA Pending CN117431529A (zh) 2018-11-27 2019-10-24 用于减少颗粒产生的气体扩散器安装板
CN201980078392.4A Active CN113166939B (zh) 2018-11-27 2019-10-24 用于减少颗粒产生的气体扩散器安装板

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202311209247.XA Pending CN117431529A (zh) 2018-11-27 2019-10-24 用于减少颗粒产生的气体扩散器安装板

Country Status (6)

Country Link
US (1) US10883174B2 (zh)
JP (2) JP7210730B2 (zh)
KR (2) KR20210081449A (zh)
CN (2) CN117431529A (zh)
TW (1) TWI819137B (zh)
WO (1) WO2020112282A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10927461B2 (en) * 2018-08-31 2021-02-23 Applied Materials, Inc. Gas diffuser support structure for reduced particle generation
CN211972444U (zh) * 2020-04-23 2020-11-20 京东方科技集团股份有限公司 一种导流器及等离子化学气相沉积设备
JP2023526591A (ja) * 2020-05-20 2023-06-22 ラム リサーチ コーポレーション リモートプラズマ洗浄(rpc)方向流装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1973059A (zh) * 2004-09-14 2007-05-30 新明和工业株式会社 真空成膜装置
JP2007246952A (ja) * 2006-03-14 2007-09-27 Uv Craftory Co Ltd 化学的気相成長装置及びガス流路装置
CN101223404A (zh) * 2005-06-23 2008-07-16 弗拉克特伍德斯股份有限公司 空气扩散器主体部分的支撑件
WO2013015281A1 (ja) * 2011-07-25 2013-01-31 東京エレクトロン株式会社 シャワーヘッド装置及び成膜装置
CN104302927A (zh) * 2012-02-17 2015-01-21 施乐百欧洲公司 扩散器、具有这种扩散器的通风机以及具有这种通风机的设备

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4893941A (en) * 1987-07-06 1990-01-16 Wayte Joseph M Apparatus for mixing viscous liquid in a container
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5685281A (en) * 1996-04-22 1997-11-11 Li; Yuan Gas vortex device for internal combustion engine
US5962822A (en) * 1998-06-23 1999-10-05 May; Daniel A. Muffler/exhaust extractor and method
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6797108B2 (en) * 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6986814B2 (en) * 2001-12-20 2006-01-17 General Electric Company Gas distributor for vapor coating method and container
KR100941959B1 (ko) 2003-05-31 2010-02-11 주성엔지니어링(주) 액정표시장치용 박막증착장치
US7431772B2 (en) 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US20070241023A1 (en) * 2004-08-30 2007-10-18 Ryouichi Ootsubo Deaeration Valve for Compression Bag and Compression Bag with Deaeration Valve
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
US8535443B2 (en) 2005-07-27 2013-09-17 Applied Materials, Inc. Gas line weldment design and process for CVD aluminum
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP4885000B2 (ja) * 2007-02-13 2012-02-29 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
KR101029695B1 (ko) 2008-12-01 2011-04-18 주식회사 테스 기판처리장치
US8910644B2 (en) * 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
KR101249999B1 (ko) * 2010-08-12 2013-04-03 주식회사 디엠에스 화학기상증착 장치
KR101306315B1 (ko) * 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
US20130004691A1 (en) * 2011-05-20 2013-01-03 William Maxwell Allen Molded articles of polymer-wax compositions
US20130004681A1 (en) 2011-06-30 2013-01-03 Applied Materials, Inc. Mini blocker plate with standoff spacers
US20140224176A1 (en) * 2011-08-09 2014-08-14 Samsung Electronics Co., Ltd. Mocvd apparatus
US9865437B2 (en) 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1973059A (zh) * 2004-09-14 2007-05-30 新明和工业株式会社 真空成膜装置
CN101223404A (zh) * 2005-06-23 2008-07-16 弗拉克特伍德斯股份有限公司 空气扩散器主体部分的支撑件
JP2007246952A (ja) * 2006-03-14 2007-09-27 Uv Craftory Co Ltd 化学的気相成長装置及びガス流路装置
WO2013015281A1 (ja) * 2011-07-25 2013-01-31 東京エレクトロン株式会社 シャワーヘッド装置及び成膜装置
CN104302927A (zh) * 2012-02-17 2015-01-21 施乐百欧洲公司 扩散器、具有这种扩散器的通风机以及具有这种通风机的设备

Also Published As

Publication number Publication date
TW202037748A (zh) 2020-10-16
CN113166939A (zh) 2021-07-23
US20200165726A1 (en) 2020-05-28
KR20210081449A (ko) 2021-07-01
JP7210730B2 (ja) 2023-01-23
CN117431529A (zh) 2024-01-23
WO2020112282A1 (en) 2020-06-04
JP2022508209A (ja) 2022-01-19
TWI819137B (zh) 2023-10-21
JP2023055713A (ja) 2023-04-18
KR20230155010A (ko) 2023-11-09
US10883174B2 (en) 2021-01-05

Similar Documents

Publication Publication Date Title
CN113166939B (zh) 用于减少颗粒产生的气体扩散器安装板
JP5937591B2 (ja) 改善されたガス流のためのシャワーヘッド支持構造
JP5826353B2 (ja) 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ
US9580804B2 (en) Diffuser support
JP5560267B2 (ja) プラズマ処理装置のためのシャワーヘッド電極アセンブリ、真空チャンバ、及び、プラズマエッチングを制御する方法
JP6824338B2 (ja) シャワーヘッド支持構造
CN112513325B (zh) 减少粒子产生的气体扩散器支撑结构
TW202417678A (zh) 用以減少粒子產生的氣體擴散器組件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant