CN109863589A - 界面结构及其形成方法 - Google Patents

界面结构及其形成方法 Download PDF

Info

Publication number
CN109863589A
CN109863589A CN201780064761.5A CN201780064761A CN109863589A CN 109863589 A CN109863589 A CN 109863589A CN 201780064761 A CN201780064761 A CN 201780064761A CN 109863589 A CN109863589 A CN 109863589A
Authority
CN
China
Prior art keywords
contact pad
pad designed
interface feature
structure according
thermal interface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780064761.5A
Other languages
English (en)
Other versions
CN109863589B (zh
Inventor
黄少武
贾维尔·迪拉克鲁兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Anglo Sai Bond Technology Co Ltd
Original Assignee
Anglo Sai Bond Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Anglo Sai Bond Technology Co Ltd filed Critical Anglo Sai Bond Technology Co Ltd
Priority to CN202410182007.3A priority Critical patent/CN118299352A/zh
Priority to CN202410181900.4A priority patent/CN118299351A/zh
Publication of CN109863589A publication Critical patent/CN109863589A/zh
Application granted granted Critical
Publication of CN109863589B publication Critical patent/CN109863589B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5228Resistive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0805Shape
    • H01L2224/0807Shape of bonding interfaces, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29199Material of the matrix
    • H01L2224/2929Material of the matrix with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19102Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device
    • H01L2924/19103Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device interposed between the semiconductor or solid-state device and the die mounting substrate, i.e. chip-on-passive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30101Resistance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/01Frequency selective two-port networks
    • H03H7/06Frequency selective two-port networks including resistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种经堆叠且电互连的结构。所述堆叠结构可以包括含有第一接触衬垫的第一元件和含有第二接触衬垫的第二元件。所述第一接触衬垫和所述第二接触衬垫可以藉由界面结构彼此电连接和机械连接。界面结构可以包括被动均衡电路,其包括在所述第一接触衬垫和所述第二接触衬垫之间的电阻电路径,以及在所述第一接触衬垫和所述第二接触衬垫之间的电容电路径。所述电阻电路径和所述电容电路径形成等效的并联电阻‑电容(RC)均衡电路。

Description

界面结构及其形成方法
技术领域
该领域是关于经堆叠和电互连的结构及其形成方法。特别地,该领域是关于与界面结构连接的元件(例如半导体晶粒),其中该界面结构定义一个或多个被动电子部件,诸如均衡电路。
背景技术
被动电子部件在系统板级、封装级及/或装置晶片级可能是重要的。在各种系统中,被动部件可用于实现较大电子系统的信号及/或电力完整性。例如,各种电子系统可能经历频率相关的信号损失,例如当信号从一个部件传送到另一个部件时。例如,各种高速信号装置(例如高带宽记忆体装置)可以利用中介物在记忆体晶粒(或记忆体晶粒的堆叠)和一个或多个相应的处理器晶粒之间传送信号。根据操作速度和通道长度,沿着每个通道的信号可能由于与频率相关的损失而衰减或以至少部分地基于信号行进的距离及/或用于电连接记忆体晶粒和处理器晶粒的材料的其他方式失去信号完整性。
因此,对改善将诸如被动部件的电气部件结合到电子系统或封装中仍然有着持续的需要。
附图说明
图1A是根据各种实施例的包括经堆叠和电互连的结构的装置的示意性侧视图。
图1B是示出使用被动均衡器来补偿有损耗通道的示意图。
图1C是根据各种实施例将一个或多个装置与经堆叠和电互连的结构合并的电子系统的示意图。
图2A是具有在不同半导体元件的两个接触衬垫之间的界面结构的堆叠结构的一部分的示意性透视图,其中该界面结构电性和机械地连接该两个半导体元件。
图2B是图2A所示的界面结构的示意性侧面截面图。
图2C是图2A-2B的经堆叠的互连结构的电性模型的示意图。
图3A是根据各种不同实施例的经堆叠和电互连的结构的一部分的示意性透视图,其该结构具有在不同半导体元件的两个接触衬垫之间的界面结构,其中该界面结构电连接和机械连接该两个半导体元件。
图3B是图3A所示的界面结构的示意性侧面截面图。
图3C是图3A-3B的界面结构的电性模型的示意图。
图3D是具有与第一接触衬垫耦合的第一导电界面特征的第一接触衬垫的示意性平面图。
图3E是第一导电界面特征和与第二接触衬垫耦合的第二导电界面特征之间的连接的示意性透视图。
图4A是示出包括与图2A-2C的布置相关联的结构和有损耗传输线的不均衡通道的频率响应的图。
图4B是示出包括与图3A-3E的实施例相关联的均衡装置和与用于图4A的有损耗传输线路相同的有损耗传输线路的均衡通道的频率响应的图。
图4C是沿着包括图2A-2C所示的结构和有损耗传输线的不均衡通道的发送信号的眼图(eye diagram)的电脑模拟结果。
图4D是沿着包括图3A-3E所示的装置和与用于图4C的有损耗传输线相同的有损耗传输线的均衡通道的发送信号的眼图的电脑模拟结果。
图5是根据一些实施例示出了与半导体元件相关联的接触衬垫的一种布置的装置的一部分的平面图。
图6A-6D示出了用于各种具有不同面积的接触衬垫的经堆叠和电互连的结构的眼图的曲线图。
图7是根据各种实施例的经堆叠和电互连的结构的一部分的侧面横截面图。
图8A-8D示出了具有不同有效介电常数的各种经堆叠和电互连的结构的眼图的曲线图。
图9是示出根据各种实施例的用于形成经堆叠和电互连的结构的方法的流程图。
图10A是具有电性和机械地连接两个半导体元件的界面结构的经堆叠的结构的一部分的示意性透视图,为了易于说明省略了其余半导体元件(包括可以类似配置的其他接触衬垫)。
图10B是图10A所示的界面结构的示意性侧面截面图。
图10C是图10A-10B的界面结构的电性模型的示意图。
图11A是具有电性和机械地连接两个半导体元件的界面结构的经堆叠的结构的一部分的示意性透视图,为了易于说明省略了其余半导体元件(包括可以类似配置的其它接触衬垫)。
图11B是图11A所示的界面结构的示意性侧面截面图。
图11C是图11A-11B的界面结构的电性模型的示意图。
具体实施方式
图1A是根据各种实施例的包括经堆叠和电互连的结构7(此处也称为堆叠结构)的装置1的示意性侧视图。如图1A所示,装置1可以包括中介物2(例如,第一半导体元件),并且第一装置晶粒3(例如,第二半导体元件)和第二装置晶粒4(例如,第三半导体元件)可以放置于中介物2。在图1A的实施例中,第一装置晶粒3包括一个或多个记忆体晶粒的堆叠,并且第二装置晶粒4包括一个或多个相应的处理器晶粒。在一些实施例中,第一装置晶粒3可以包括通讯晶粒。中介物2可以包括内部定义有导电迹线的载体(例如硅基板),以在装置晶粒3、4和中介物2的间路由信号。虽然第一和第二装置晶粒3、4被示出为安装到中介物2,应当理解的是,任何其它合适类型的半导体元件可以作为第一和第二晶粒3、4及/或中介物2使用。例如,在一些实施例中,中介物2可以是另一个整合装置晶粒(例如记忆体晶粒或处理器晶粒)。
如图1A所示,中介物2可以包括一个或多个通道5,其包括在第一晶粒3和第二晶粒4之间的一个或多个相应的信号路径。第一晶粒3可以藉由机械地和电性连接第一晶粒3和中介物2的相应的接触衬垫6的界面结构10而机械地和电性连接到中介物2。例如,第一晶粒3可以包括第一接触衬垫6A,并且中介物2可以包括第二接触衬垫6B。接触衬垫6A、6B可以一般地形成在晶粒的主动表面上或者可以是直通硅晶穿孔(TSV)结构的一部分。在各种实施例中,接触衬垫6A、6B可被非导电场区域11围绕。界面结构10可以在相应的接触衬垫6A、6B之间提供电信通讯。类似地,第二晶粒4可以包括第三接触衬垫6C,并且中介物2可以包括第四接触衬垫6D。另一个界面结构10可以在相应的接触衬垫6C、6D之间提供电信通讯。一个或多个通道5可以在第一晶粒3的第一接触衬垫6A和第二晶粒4的第三接触衬垫6C之间布线,以藉由中介物2在第一和第二晶粒3、4之间提供通讯。尽管在图1A中仅示出了一个通道5,但是应当理解的是,可以在定义于第一晶粒3、中介物2和第二晶粒4中的对应的多个接触衬垫6之间设置多个通道5。
如上所述,一个或多个通道5可能基于例如通道5的长度、半导体元件中使用的材料及/或其他可能引起电气干扰的附近电信号线而经历信号完整性的损耗。图1B是示出使用被动均衡器来补偿有损耗通道5的示意图。如图1B所示,可以用转换函数FL(f)来建立有损耗通道的模型,其绘制在图A中。理想的被动均衡器可以用转换函数Fpe(f)建立模型,其绘制在图表B中。补偿通道的转换函数FT(f)可以基于FL(f)与Fpe(f)的相乘来建立模型。图C示出了FT(f)的曲线。如图1B所示,系统的增益在较高的频率下可能会显著降低,从而导致有损耗电子通道。结合均衡器可有利地降低信号损耗的程度,如图C所示。如本文所解释的,所公开的实施例可以有益地将被动均衡器并入直接电连接两个经堆叠的半导体元件的电性界面中。
图1C是根据各种实施例将一个或多个装置1与经堆叠和电互连的结构结合的电子系统80的示意图。系统80可以包括任何合适类型的电子装置,诸如可移动电子装置(例如,智慧电话、平板电脑装置、膝上型电脑等)、桌上型电脑、汽车或其组件、立体声系统、医疗装置、相机或任何其他合适类型的系统。在一些实施例中,电子系统80可以包括微处理器、图像处理器、电子记录装置或数位记忆体。系统80可以包括例如藉由一个或多个主板而机械和电连接到系统80的一个或多个装置封装82。每个封装82可以包括一个或多个装置1。图1C所示的系统80可以包括本文所示和所述的任何堆叠结构7。
图2A是具有界面结构10的堆叠结构7的一部分的示意性透视图,该界面结构10电性和机械地连接两个半导体元件,为了便于说明,省略了其余的半导体元件(包括可以类似配置的其它接触衬垫)。图2B是图2A所示的界面结构10的示意性侧面截面图。图2C是图2A-2B的界面结构10的电性模型的示意图。尽管为了便于说明,并未在图2A-2B中示出,但是应当理解的是,接触衬垫6A、6B可以暴露在相关联的半导体元件的外表面处,诸如第一晶粒2、第二晶粒3及/或中介物2中任一个。本文所示的界面结构10可以定义两个半导体元件之间的一个或多个被动部件。在所示实施例中,如本文所解释的,被动部件可以包括被动均衡电路。然而,在其他实施例中,被动部件可以包括任何其它合适类型的被动电子部件,例如电阻器、电容器、电感器及其任何合适的组合。此外,尽管结合半导体元件和装置晶粒来描述图2A-2C的实施例,但是应当理解的是,其它类型的元件(例如,光学元件、电子元件或可以包括或不包括半导体材料的其它元件)可以与公开的实施例结合使用。在各种实施例中,一个元件可以包括半导体元件,另一个元件可以包括不同类型的元件,例如光学元件或装置。
图2A-2B所示的界面结构10包括在第一接触衬垫6A和第二接触衬垫6B之间的导电连接。例如,如图2B所示,接触衬垫6A可以直接电连接到接触衬垫6B,以在接触衬垫6A、6B之间仅提供导电互连。如图2C所示,互连结构10因此可以被电气地模拟为电阻R。对于具有非常低电阻的接触衬垫6A、6B,电阻可以近似为零。在其他布置中,接触衬垫6A、6B之间的电阻可以大于零。事实上,直接连接可以是接触衬垫6A、6B之间的直接接合而无需介入黏合元件或者存在中间导电特征(例如焊料)。
例如,在一些布置中,接触衬垫6A、6B可以包括金属或金属合金,例如铜、铝等。接触衬垫6A、6B可以在各种实施例中具有主要尺寸d(例如,宽度),其在5微米至150微米的范围内、在10微米至150微米的范围内、在25微米至150微米的范围内、在25微米至120微米的范围内、在30微米至120微米的范围内、在30微米至100微米的范围内、在40微米至100微米的范围内、在50微米至100微米的范围内、在50微米至90微米的范围内或在50微米至80微米的范围内。接触衬垫6A、6B可以是如图2A所示的多边形(例如,矩形或正方形)、圆形(例如,圆形、椭圆形等)或任何其它合适的形状。虽然图2A所示的主要尺寸d被认为是图2A所示的矩形接触衬垫的主要尺寸,但对于其他多边形形状来说,主要尺寸可被定义为多边形形状的最长边。对于圆形形状来说,主要尺寸可以被定义为圆形形状的主轴(例如,椭圆的长轴或圆的直径)。
相关联的半导体元件的接触衬垫6A、6B可以以各种方式直接电连接。在所示实施例中,并且实际上对于本文公开的每个实施例,界面结构10的直接电连接可以藉由接触衬垫6A、6B之间无需中间黏合剂的直接接合来定义,而不限于此。在一些实施例中,接触衬垫6A、6B可以被各个半导体元件(例如,晶粒3、4及/或中介物2)的非导电场区域11围绕(参见图1A)。为了实现直接接合,在一些实施例中,接触衬垫6A、6B和非导电场区11的各自的接合表面8、9可以被制备以用于接合。可以将接触衬垫6A、6B(和非导电场区域11)的接合表面8、9抛光到非常高度的平滑度(例如,小于20nm的表面粗糙度,或更特别地小于5nm的表面粗糙度)。在一些实施例中,待结合的表面可以在结合之前以合适的物质结尾(terminate)且被活化。例如,在一些实施例中,待接合的非导电表面(例如,场区域11)可以非常轻微蚀刻以用于活化且暴露于含氮溶液并以含氮物质结尾。作为一个示例,待结合的表面(例如,场区域11)可以在非常轻微的蚀刻及/或含氮电浆(具有或不具有单独的蚀刻)之后暴露于氨浸渍。
在一些实施例中,接触衬垫6A、6B可以与相应的半导体元件的外表面(例如,场区域11)齐平。在其他实施例中,接触衬垫6A、6B可以在相应半导体元件的外表面(例如,场区域11)上方延伸。在其它实施例中,接触衬垫6A、6B相对于相应的半导体元件的外表面(例如,场区域11)凹陷。
一旦制备了相应的表面,就可以使一个半导体元件的非导电场区域11与另一个半导体元件的相应非导电区域11接触。经活化的表面相互作用可以使得一个半导体元件的非导电区域11与另一个半导体元件的相应非导电区域11直接接合,而不需要中间黏合剂、不需施加外部压力、不需施加电压并且在室温下。在各种实施例中,非导电区域11的结合力可以包括大于凡得瓦力键的共价键并且在接触衬垫6A、6B之间施加显著的力。不管接触衬垫是否与非导电区域齐平、凹陷或突出,非导电区域11的直接接合可以促进接触衬垫6A、6B之间的直接金属对金属接合。在各种实施例中,可以在接合之后加热半导体元件,以加强非导电区域11之间、导电区域(例如,接触衬垫6A、6B)之间及/或相对的导电区域和非导电区域之间的结合,以导致半导体元件彼此结合,进而形成直接的电气和机械连接。
与所公开的实施例中的每一个结合使用的直接黏合制程的其它细节可以在美国专利第7126212号、第8153505号、第7622324号、第7602070号、第8163373号、第8389378号和第8735219号,以及美国专利申请第14/835379号、第62/278354号、第62/303930号和第15/137930号,其各自的内容通过引用整体并入本文且用于所有目的。
然而,在其他实施例中,半导体元件(例如,第一晶粒3和中介物2)可以使用导电黏合剂直接电连接。例如,在这样的其他实施例中,接触衬垫6A、6B可以使用导电环氧树脂、焊料或任何其它合适的导电黏合剂连接在一起。不同于在没有中间黏合剂的情况下采用直接接合的实施例,在其它实施例中,导电路径(以图2C的电阻器作为模型)可以由接触衬垫6A、6B和中间导电黏合剂定义。
图2A-2C的界面结构10可以是相对有损耗的,使得信号完整性在各种工作频率下降低。不受理论限制,仅使用导电的低电阻电路径可能导致频率相关的信号损耗,从而对系统的整体性能产生负面影响。
为了解决上述损耗通道,本文公开的各种实施例可以将被动均衡器结合到连接两个半导体元件的界面结构中。如本文所解释的,经整合的被动均衡器可以包括与电容电路径并联的电阻电路径,其可以有利地减轻信号衰减并提高系统的性能。
图3A-3E示出了经堆叠和电互连的结构7的一部分的一个实施例,其包括定义在两个接合的半导体元件之间的被动电子部件。在图3A-3E中,被动部件包括经整合的被动均衡器。图3A是根据各种实施例的具有界面结构10的堆叠结构7的部分的示意性透视图,该界面结构10电性和机械地连接两个半导体元件(图3A中未示出)。图3B是图3A所示的界面结构10的示意性侧面截面图。图3C是图3A-3B的界面结构10的电气模型的示意图。除非另有说明,图3A-3E中的元件符号代表与图2A-2C相同、类似或相似的部件。与图2A一样,为了便于说明,已经从图3A和3D-3E省略了其余的半导体元件(诸如晶粒3、4和中介物2)。图3B中示出了半导体元件,例如,作为第一晶粒3和中介物2。此外,尽管结合半导体元件的实施例描述于图3A-3E,但是应当理解的是,其他类型的元件(例如,光学元件、电子元件或可包括或不包括半导体材料的其它元件)可以与所公开的实施例结合使用。
如图2A-2C所示,图3A-3E的实施例包括与第二接触衬垫6B直接电连接和机械连接的第一接触衬垫6A。如上所述,接触衬垫6A、6B可以暴露在诸如第一晶粒2、第二晶粒3及/或中介物2中的任一个的相关联的半导体元件的外表面处。此外,接触衬垫6A、6B可以包括金属或金属合金,例如铜、铝等,并且可以包括表面层,例如阻挡层(例如,金属氮化物阻障材料,诸如氮化钛阻障材料)。接触衬垫6A、6B可以在各种实施例中具有主要尺寸d(例如,宽度),其在5微米至150微米范围内、在10微米至150微米范围内、在25微米至150微米的范围内、在范围为25微米至120微米内、在范围为30微米至120微米内、在范围为30微米至100微米内、在范围为40微米至100微米内、在范围为50微米至100微米内、在范围为50微米至90微米内或在50微米至80微米的范围内。接触衬垫6A、6B可以是如图3A所示的多边形(例如,矩形或正方形)、圆形(例如圆形,椭圆形等)或任何其它合适的形状。
然而,与图2A-2C所示的布置不同,在图3A-3E中,堆叠结构7可以包括整合到界面结构10中的被动均衡器15。有利地,将被动均衡器15整合到界面结构10可以减小装置1的尺寸,因为板及/或封装空间可以用于其他功能及/或装置。如图3C所示,被动均衡器15可以具有与电容器C并联的电阻器R的RC电路建立模型。均衡器15可以改善装置1的与频率相关的性能,同时减小装置1的总体尺寸。在其它实施例中,被动均衡器15可以包括其它非RC类型的被动均衡器,例如串联电阻器-电感器(RL)被动均衡器或电阻器-电感器-电容器(RLC)均衡器。
如图3B所示,被动均衡器15(整合到界面结构10中)可以包括:在第一接触衬垫6A和第二接触衬垫6B之间的导电界面特征12,以及在第一接触衬垫6A和第二接触衬垫6B之间的介电界面特征14。在所示实施例中,导电界面特征12可以包括:沉积在第一接触衬垫6A上或以其它方式与第一接触衬垫6A耦合的第一导电界面特征12A,以及沉积在第二接触衬垫6B上或以其他方式与第二接触衬垫6B耦合的第二导电界面特征12B。介电界面特征14可以包括沉积在第一接触衬垫6A上或以其他方式与第一接触衬垫6A耦合的第一介电界面特征14A,以及沉积在第二接触衬垫6B上或以其他方式与第二接触衬垫6B耦合的第二介电界面特征14B。在所示实施例中,第一和第二介电界面特征14A、14B可设置在相应的第一和第二导电界面特征12A、12B周围及/或环绕。
导电和介电界面特征12、14可以在各种实施例中沉积在相应的接触衬垫6上,例如通过任何合适的沉积制程(例如原子层沉积、化学气相沉积、旋涂等)。然而,在其他实施例中,导电和介电界面特征12、14可以黏附或层压到接触衬垫6上。在其它实施例中,第一和第二导电界面特征12A、12B以及第一和第二介电界面特征14A、14B可以仅与接触衬垫6A、6B中的一个耦合。
导电界面特征12A、12B可以包括任何合适的导电材料,例如铜或铝。界面特征12A、12B的顶表面及/或底表面可以包括具有不可忽略电阻的阻障层。例如,在一些实施例中,导电界面特征12A、12B的顶表面及/或底表面可以包括金属氮化物材料,例如氮化钛、氮化钽或氮化钽复合材料。在一些实施例中,附加的金属(例如附加的铜及/或铝层)可以沉积在阻障层之上或之下。在其他实施例中,导电界面特征12A、12B可以包括诸如铜或铝的金属,并且可以包括施加在金属上的一个或多个阻障层(例如,氮化钛或氮化钽)。还可以使用其它导电材料。此外,在一些实施例中,每个特征12A、12B可以由多个导电层定义。有利地,在各种实施例中,具有阻障层的导电界面特征12A、12B可以具有不可忽略的电阻,以便改善被动均衡器15的性能。例如,在一些实施例中,具有阻障层的导电界面特征12A、12B可以具有整体或等效电阻(在图3C中以R效仿),其电阻在10Ω至200Ω的范围内、在10Ω至100Ω的范围内或在20Ω至70Ω的范围内(例如约50Ω)。用于导电界面特征12A、12B的材料可以具有导电率,其导电率在1S/m至150S/m的范围内、在10S/m至100S/m的范围内、在10S/m至50S/m的范围内或在10S/m至30S/m的范围内(例如约20S/m)。界面结构10的电容电路径(在图3C中以C效仿)可以具有整体或有效电容,其电容在0.1pF至100pF的范围内、在0.5pF至20pF范围的内或在1pF至10pF的范围内。
介电界面特征14A、14B可以包括任何合适的电绝缘材料。例如,在一些实施例中,介电界面特征14A、14B可以包括氧化硅、氮化硅、原硅酸四乙酯(TEOS)或氧化硅和氮化硅的多层结构。介电界面特征14A、14B的有效介电常数可以在1至15的范围内、在1.1至9的范围内、在1至5的范围内(例如,氧化硅)、在1.2至9的范围内、在2至9的范围内、在3至9的范围内、在4至6的范围内、在2至4的范围内、在7至9的范围内(例如氮化硅)或超过9。如本文关于图7的解释,可以使用多个层来定义每个介电界面特征14A、14B。此外,如本文关于图7-8的解释,在一些实施例中,更高的介电常数可以改善装置1的信号完整性。可以使用具有高介电常数的高K材料来增加电容以增强均衡器性能。
与图2A-2B的布置一样,在图3B中,第一导电界面特征12A和第一介电界面特征14A的接合表面8可以直接接合到第二导电界面特征12B和第二介电界面特征14B的对应的接合表面9,没有中间黏合剂并且不施加压力或电压。如上面结合图2A-2C的解释,接合表面8、9可以被抛光或平坦化、活化并以合适的物质结尾。在不施加压力的情况下,表面8、9可以接触以形成直接接合13。在一些实施例中,可以加热元件2、3以加强接合13,例如导电特征之间的接合。如图3B所示,两个半导体元件2、3之间的接合13可以沿在第一和第二介电特征14A、14B之间的第一面积AC1延伸、沿在第一导电特征12A和第二介电特征14B之间的第二面积AC2延伸以及沿在第一和第二导电特征12A、12B之间的第三面积AR延伸。因此,有利的是,图3A-3E的实施例可以将导体与导体、非导体与非导体以及导体与非导体直接接合。此外,接合13可以包括共价键,其在半导体元件2、3之间产生强的机械和电性互连。
然而,在其他实施例中,第一导电特征12A和第一介电特征14A可以例如通过黏合剂被黏合或者以其它方式结合到第二导电特征12B和第二介电特征14B。在这样的其他实施例中,例如,导电特征12A、12B可以通过导电黏合剂而黏合,并且介电特征14A、14B可以通过绝缘黏合剂而黏合。取决于是否期望提供导电或非导电电性界面,导电特征12A可以用导电或非导电黏合剂黏附到介电特征14B。
尽管在图3B中示出了分开的层,但是在其他实施例中,介电和导电特征14、12可以定义在接触衬垫6A、6B中的仅一个上,并且介电和导电特征14、12可以被结合到接触衬垫6A、6B中的另一个。在其他实施例中,第一接触衬垫6A、介电特征14、导电特征12和第二接触衬垫6B可以定义在一个半导体元件上,并且该一个半导体元件可以结合到另一个半导体元件。因此,被动部件可以由两个半导体元件上的层定义,并且因此藉由直接接合而形成,或者可以首先形成在一个基板上并且直接结合到另一个基板。
被动均衡器15可以由在第一接触衬垫6A和第二接触衬垫6B之间的电阻电路径R以及在第一接触衬垫6A和第二接触衬垫6B之间的电容电路径C1定义。例如,如图3B所示,可以通过第一接触衬垫6A、第一导电界面特征12A、第二导电界面特征12B和第二接触衬垫6A来定义电阻电路径R。如上所述,可以提供一个或多个附加的阻障层以将等效电阻R调节到期望的范围。因此,可以通过形成在第一和第二导电界面特征12A、12B之间的导电接合(无论接合是直接接合还是通过黏合剂)来提供电阻路径R。
可以通过第一接触衬垫6A、第一和第二介电界面特征14A、14B和第二接触衬垫6B定义电容电路径C1。因此,电容电路径C1可以作为电容器运作,其中第一和第二接触衬垫6A、6B是电容器电极,并且中间介电界面特征14(第一介电间隙)是介电质。电容路径C1的面积AC1可以由暴露于中间介电质的第一和第二导电界面特征12A、12B的图示部分来定义(另见所附的图3D-3E)。如下面关于图3D的解释,面积AC1可以比图3B中所示的面积大得多,其仅示出了面积AC1的一部分以便于说明。
另外,在各种实施例中,可以在第一接触衬垫6A和第二接触衬垫6B之间定义第二电容电路径C2。如下所述,在一些实施例中,导电路径12A、12B可以相对于彼此横向配置,使得一些介电材料介于接触衬垫6(例如,接触衬垫6A或6B中的一个)和相对的导电界面特征12(例如,界面特征12A或12B中的另一个)之间。图3B所示的第二电容电路径C2可以作为电容器运作,其中第一导电界面特征12A和第二接触衬垫6B是电容器电极,并且中间非导电介电界面特征14B(第二介电间隙)是介电质。如下所述,第二电容路径C2的面积AC2可以由第二接触衬垫6B和第一导电界面特征12A的图示部分来定义(及/或藉由第一接触衬垫6B的部分和第二导电界面特征12B的部分来定义)。在图3B中,面积AC2似乎大于AC1,然而,如图3D-3E所示,面积AC2可以显著小于接触衬垫6上的AC1,因为在图3B的横截面中仅示出了接触衬垫6的一部分。尽管AC1中的介电间隙可能大于AC2中的介电间隙,但是由于在各个实施例中该区域中较大的面积,面积AC1可以显著地贡献总体电容。在所示实施例中,导电特征12A、12B可以具有加法符号形或交叉形轮廓,以便减少不对准,因为由于制造制程限制可能难以重复且一致地对准接触衬垫。对于没有未对准的情况,导电特征12A和12B可以完全彼此重叠。换句话说,导电特征12A和12B可以包括两个圆形或正方形的点,并且可以彼此重叠。在这种情况下,AC2可能非常低或近似为零,但可以使等效电阻最大化以增强RC均衡器的性能。例如,对于几乎没有或没有偏移的情况,导电特征12A和12B可以彼此重叠,并且可以包括微小点(例如,具有几平方微米数量级的直径)。在各种实施例中,接触衬垫6A和6B可以大至100um×100um。接触衬垫6A、6B可以比导电特征12A和12B大1000至10,000倍。
图3D是具有与第一接触衬垫6A耦合的第一导电界面特征12A的第一接触衬垫6A的示意性平面图。图3E是第一导电界面特征12A和与第二接触衬垫6B耦合的第二导电界面特征12B之间的连接的示意性透视图。为了便于说明,图3D-3E省略了介电界面特征14A、14B,而图3E省略了接触衬垫6A、6B。如图3B和3D-E所示,第一导电界面特征12A可以包括细长的导电特征,其中第一导电界面特征12A的长度L比第一导电界面特征12A的宽度W长。第二导电界面特征12B还可以包括细长的导电特征,如图3B的横截面所示。
有利地,细长界面特征12A、12B可以在接合期间改善相对的特征12A、12B和接触衬垫6A、6B的对准。应当理解的是,特征12A、12B的相对小的尺寸可以使得难以对准和接合紧凑的形状。利用细长的接触特征12A、12B可以提高对准精度,如美国临时专利申请案第62/269,412号所述,其全部内容通过引用整体并入本文并用于所有目的。如美国临时专利申请案第62/269,412号所示及/或描述,细长的导电特征或接触可以包括相对直的或线性结构、网格结构、圆形结构等。第一元件的细长导电特征12A的至少部分可以相对于第二元件的细长导电特征12B的对应部分非平行或横向设置,使得至少一部分导电特征12A、12B重叠以在接合之后形成电阻连接。因此,使用细长的导电界面特征12A、12B可以提供足够的电连接,同时增加不对准公差。此外,虽然在此仅示出了单交叉细长特征,但是应当理解的是,每个接触衬垫可以包括多个细长特征(例如,以网格或其他布置),使得用于定义电阻路径的单个接点的接触区域可以包括多个细长导电特征的多个重叠区域。
如图3D-3E所示,第一导电界面特征12A的总面积(即,图示特征的LxW)可以显著小于接触衬垫6A的剩余暴露面积AC。接触衬垫6A的暴露面积AC可以由第一电容面积AC1和第二电容面积AC2的总面积来定义。此外,如图3B和3E所示,面积AR可以表示由第一和第二导电界面特征12A、12B之间的界面定义的重叠电阻接触区域。尽管在图3D中仅示出了单个界面特征12A,但是应当理解的是,可以定义多个界面特征12A(或网格图案、多边形形状等),使得面积AR表示用于与接触衬垫6A相关联的所有界面特征12A的总重叠电阻接触面积。
被动均衡器15的性能可以是例如通过阻障层材料和介电材料的电性能选择以及设置电阻和电容的尺寸来调整电阻对电容的值。特别地,可以通过选择用于电容的介电间隙的大小以及通过设置接触衬垫6A的暴露面积AC与总重叠电阻接触面积AR之间的比例r来调节性能,并保持AC2尽可能的小。在各种实施方案中,例如,比例r可以在50:1至50,000:1的范围内、在100:1至50,000:1的范围内、在150:1至50,000:1的范围内、在范围为150:1至40,000:1内、在范围为200:1至40,000:1内、在范围为500:1至40,000:1内、在范围为1,000:1至40,000:1或范围为为5,000:1至40,000:1内。在一些实施方案中,比例r可以是至少50:1、至少100:1、至少150:1、至少200:1、至少500:1、至少1,000:1或至少5,000:1。
在各种实施例中,导电界面特征12A、12B的宽度W可以在0.2微米至10微米的范围内、在0.2微米至5微米的范围内、在0.2微米至4微米的范围内、在0.5微米至10微米的范围内、在0.5微米至4微米的范围内或0.5微米至2微米的范围内。导电界面特征12A、12B的长度L可以在1微米至50微米的范围内、在2微米至25微米的范围内、在2微米至10微米的范围内或在4微米至8微米的范围内。介电界面特征14(包括第一和第二特征14A、14B)的总厚度t(参见图3B)可以在10nm至1nm的范围内、在10nm至500nm的范围内、在10nm至200nm的范围内、在10nm至100nm的范围内、在10nm至60nm的范围内或在20nm至60nm的范围内。
图4A是图示通过包括有损耗传输线和具有图2A-2C的布置的接点的通道的信号的频率响应的图。图4B是图示通过包括有损耗传输线和具有图3A-3E的实施例的结构的接点的通道的信号的频率响应的图。如图4B所示,频率响应(转换函数)图可以有益地包括在更宽的频率范围上的较平坦的频率响应,其中随频率的信号转换函数比图4A的信号平坦。实际上,如图4B所示,区域50可以比图4A的对应区域宽。因此,本文公开的均衡电路15可以被配置为调整互连结构7的频率响应。如图4B所示,均衡器15可以被配置为调整互连结构7的频率响应,以便在小于10GHz的所有频率下信号相对于直流(DC)或0Hz点处的信号幅度维持幅度A在A±5dB的窗口范围内(例如,在-7dB和-17dB之间,或在-5dB和-15dB之间)。相比之下,相对于DC点处的信号幅度,图4A中的信号幅度在小于10GHz的所有频率处变化超过±5dB。使用公开的被动均衡器,低频信号幅度的绝对值可以更低。然而,所公开的被动均衡器可以提供频率上的转换函数的较小变化,例如更平坦的频率响应,这导致更好的发送信号性能。主动均衡器不仅提供平坦的转换函数,还提供更高的信号数值的绝对值。然而,主动均衡器消耗功率,并且可能比被动均衡器更复杂和昂贵。因此,图3A-3E所示的被动均衡器15可以产生比图2A-2C所示的结构的频率响应有更少损耗的频率响应,并且在更宽的频率范围内维持信号的完整性。
图4C是沿着通道的发送信号的眼图的电脑模拟结果,其中该通道包括有损耗传输线和图2A-2C所示的装置的结构。图4D是沿着通道的发送信号的眼图的电脑模拟结果,其中该通道包括有损耗传输线和图3A-3E所示的装置的互连结构。通过将有损耗通道分别与图2A-2C和3A-3E所示的互连联结来进行模拟。模拟数据速率为20Gbps,上升时间为20ps,并采用梯形波形。如图4D所示,图3A-3E的实施例的被动均衡器15产生明显优于图2A-2C所示结构的信号的信号完整性。
图5是示出与半导体元件相关联的接触衬垫6的一个布置的装置1的一部分的平面图。图5所示的接触衬垫6可以与任何类型的半导体元件(或其他类型的元件)相关联,例如装置晶粒(例如通信晶粒、记忆体晶粒或处理器晶粒)或中介物。每个接触衬垫6可以与将电信号传递到相关衬垫6的信号引脚22相关联。另外,可以在相邻接触衬垫6之间的间隙24中设置一个或多个接地引脚21和一个或多个电源引脚23。有利地,可以增加接触衬垫6的面积,并且因此可以通过将接触衬垫6定义为不规则或蛇形(serpentine)形状并且通过减小接地和电源引脚21、23的轮廓来增加相关联的电容。接触衬垫6的不规则形状可以使较小的接地和电源引脚21、23设置在衬垫6之间的小间隙24中,这允许接触衬垫6的面积增加,并且增加用于调谐通过界面结构形成的RC电路的电容。由此产生的电容增加可以改善信号完整性,如本文所解释的。
图6A示出了类似于图2A-2C的布置的在没有被动均衡器的结构上的传送信号的眼图。还示出了具有类似于图3A-3E和5的实施例的被动均衡器15且具有80微米×80微米(图6B)、60微米的×60微米(图6C)和50微米×50微米(图6D)尺寸的接触衬垫6的互连结构的电流密度随时间的曲线图。如图6A-6D所示,图6B所示的信号具有与最大接触衬垫6相关联的最佳信号品质。如本文所解释的,通常,与较小的接触衬垫6相比,或者与缺乏被动均衡器的系统相比,更大的接触衬垫6可导致更高的电容和改善的信号完整性。
图7是根据各种实施例的经堆叠和电互连结构7的一部分的侧面横截面图。除非另有说明,否则图7的部件的元件符号表示与图1A-3E中类似符号的部件相同或相似的部件。与图1A-3E的布置不同,介电界面特征14可以包括多个层。例如,介电界面特征14可以包括与第一衬垫6A耦合的第一特征14A和与第二衬垫6B耦合的第二特征14B。此外,第三介电界面特征14C可以与第一介电界面特征14A耦合或沉积在第一介电界面特征14A上。第四介电界面特征14D可与第二介电界面特征14B耦合或沉积在第二介电界面特征14B上。
在各种实施例中,可以选择相应的第一和第二特征14A、14B的材料和相对厚度t1和t2以及中间的第三和第四特征14C、14D的总厚度t3,以便提供期望的有效电容。在一些实施例中,厚度t3可以大于厚度t1或t2中的每一个,例如,厚度t1或t2的至少两倍。此外,也可以选择相应的介电特征14A-14D的材料,以便提供期望的有效电容。例如,特征14A-14D可以包括氧化硅、氮化硅、TEOS或任何其它合适的介电材料。在一些实施例中,例如,第一和第二介电界面特征14A、14B可以包括氧化硅,并且第三和第四介电界面特征14C、14D可以包括氮化硅。
图8A示出了类似于图2A-2C的布置的没有被动均衡器的堆叠结构上的发送信号的眼图。也示出了对于具有与图3A-3E和5的实施例类似的被动均衡器15并且具有有效介电常数为8(图8B)、有效介电常数为5(图8C)和有效介电常数为3.9(图8D)的堆叠结构的电流密度随时间的曲线图。如图8B所示,增加有效介电常数可有利地改善有损耗通道的信号完整性。
图9是示出用于形成堆叠结构的方法90的流程图。方法90从方块91开始,其中提供包括第一接触衬垫的第一半导体元件。如上所述,尽管结合半导体元件描述了图9的实施例,但是应当理解的是,其它类型的元件(例如,光学元件、电子元件或可以包括或不包括半导体材料的其他元件)可以与所公开的实施例结合使用。如本文所解释的,第一半导体元件可以包括任何合适的半导体元件,例如整合装置晶粒、中介物等。在一些实施例中,例如,第一半导体元件可以包括记忆体晶粒或处理器晶粒。第一接触衬垫可以具有主要尺寸,其在5微米至150微米的范围内、在10微米至150微米的范围内、在25微米至150微米的范围内、在25微米至120微米范围内、在30微米至120微米的范围内、在30微米至100微米的范围内、40微米至100微米的范围内、在50微米至100微米的范围内、在50微米至90微米的范围内或在50微米至80微米的范围内。接触衬垫可以是多边形(例如,矩形或正方形)、圆形(例如,圆形、椭圆形等)或任何其它合适的形状。接触衬垫可以包括平板,突出部分形成在其上或附接到其上。介电覆盖层可以覆盖底下的平板的非突出部分。突出部分可以是或包括在平行于平板的维度上延伸的节段。突出部分相对于平板突出,但也可以是相对于平面介电覆盖层凹陷、突出或齐平。
在方块92中,可以提供包括第二接触衬垫的第二半导体元件。与第一半导体元件一样,第二半导体元件可以包括任何合适的半导体元件(或其他类型的元件),例如整合装置晶粒、中介物等。在一些实施例中,例如,第二半导体元件可以包括通信晶粒、记忆体晶粒或处理器晶粒。第二接触衬垫可以具有主要尺寸,其在5微米至150微米范围内、10微米至150微米范围内、在25微米至150微米的范围内、在25微米至120微米范围内、在30微米至120微米的范围内、在30微米至100微米的范围内、40微米至100微米的范围、在50微米至100微米的范围内、在50微米至90微米的范围内或在50微米至80微米的范围内。接触衬垫可以包括平板,突出部分沉积或形成其上,并且在介电覆盖层覆盖底下的平板的非突出部分。突出部分可以是或包括在平行于平板的维度上延伸的节段。突出部分相对于平板突出,但也可以相对于平面介电覆盖层凹陷、突出或齐平。
移至方块93,第一半导体元件可以被接合到第二半导体元件以定义包括被动均衡电路的界面结构。被动均衡电路可以包括在第一接触衬垫和第二接触衬垫之间的电阻电路径以及在第一接触衬垫和第二接触衬垫之间的电容电路径。电阻电路径可以包括在第一接触衬垫和第二接触衬垫之间的导电界面特征,并且电容电路径可以包括在第一接触衬垫和第二接触衬垫之间的介电界面特征。例如,电阻路径可以由两个接触衬垫的突出部分的交叉延伸节段的重叠和直接接触部分来定义。电容电路径可以由接触衬垫的非接触部分之间的介电间隙来定义,包括具有第一介电间隙的突出部分的非重叠部分以及具有第二介电间隙的底下平板的重叠部分,因为突起的高度,第二介电间隙比第一介电间隙大。在一些实施例中,第一和第二半导体元件可以彼此直接接合而没有中间黏合剂并且不施加压力或电压。
图10A是具有界面结构10的堆叠结构7的一部分的示意性透视图,该界面结构10电性和机械地连接两个半导体元件(或其他类型的元件),为便于说明而省略其余的半导体元件(包括其他可以类似地配置的接触衬垫)。图10B是图10A所示的界面结构10的示意性侧面截面图。图10C是图10A-10B的界面结构10的电气模型的示意图。与图2A-9的实施例一样,在图10A-10C中,可以在两个经接合的半导体元件之间定义一个或多个被动电子部件。在图10A-10C的布置中,例如,可以与电容器C并联地提供包括不具有或可忽略电阻的电阻器R的被动电子部件。如图10B所示,被动电子部件可以由邻近(及/或围绕)介质界面特征14设置的导电界面特征12定义。在所示实施例中,导电界面特征12可以具有可忽略的电阻,例如可以包括没有电阻障层的铜,例如结合图3A-3E描述的阻障层。电阻路径R(其可能具有可忽略的电阻)可以由第一接触衬垫6A、导电特征12和第二接触衬垫6B定义。电容路径C可以由第一接触衬垫6A、介电特征14和第二接触衬垫6B定义。
虽然在图10B中示出为连续层,如本文所解释的,介电和导电特征14、12的一部分可以定义在第一衬垫6A上,并且介电和导电特征14、12的剩余部分可以定义在第二衬垫6B上,使得当装置结合在一起时,可以在两个半导体元件之间定义被动部件。然而,在其他实施例中,介电和导电特征14、12可以仅定义于接触衬垫6A、6B中的一个,并且介电和导电特征14、12可以接合到接触衬垫6A、6B中的另一个。在其他实施例中,第一接触衬垫6A、介电特征14、导电特征12和第二接触衬垫6B可以定义在一个半导体元件上,并且该一个半导体元件可以结合到另一个半导体元件。换句话说,被动电子部件可以由形成在半导体元件中的一个上的层或通过直接接合的两个半导体元件上的层的组合来定义。
图11A是具有电性和机械地连接两个半导体元件的界面结构10的堆叠结构7的一部分的示意性透视图,为了便以说明省略了其余的半导体元件(包括可以类似配置的其它接触衬垫)。图11B是图11A所示的界面结构10的示意性侧面截面图。图11C是图11A-11B的界面结构10的电气模型的示意图。图11A-11C的界面结构10可以在经接合的半导体元件之间定义被动电子部件。在所示的实施例中,例如,界面结构10可以包括在接触衬垫6A、6B之间具有介电界面特征14的电容器C,使得电容路径C可以由第一接触衬垫6A、介电界面特征14和第二接触衬垫6B所定义。
尽管在图11B中示出为连续层,如本文所解释的,介电特征14的一部分可以定义在第一衬垫6A上,并且介电特征14的剩余部分可以定义在第二衬垫6B上,使得当装置结合在一起时,可以在两个半导体元件之间定义被动部件。然而,在其他实施例中,介电特征14可仅定义于接触衬垫6A、6B中的一个,并且介电特征14可以接合到接触衬垫6A、6B中的另一个。在其它实施例中,第一接触衬垫6A、介电特征14和第二接触衬垫6B可以定义在一个半导体元件上,并且该一个半导体元件可以结合到另一个半导体元件。换句话说,被动电子部件可以由形成在半导体元件中的一个上的层或通过直接接合的两个半导体元件上的层的组合来定义。
在一个实施例中,公开了一种经堆叠和电互连的结构。该结构可以包括含有第一接触衬垫的第一元件和含有第二接触衬垫的第二元件。第一接触衬垫和第二接触衬垫可以通过界面结构彼此电连接和机械连接。界面结构可以包括被动均衡电路,其包括在第一接触衬垫和第二接触衬垫之间的电阻电路径以及在第一接触衬垫和第二接触衬垫之间的电容电路。
在一些实施例中,电阻电路径包括在第一接触衬垫和第二接触衬垫之间的导电界面特征,并且电容电路径包括第一接触衬垫和第二接触衬垫之间的第一介电间隙。第一介电间隙可围绕导电界面特征设置。导电界面特征可以包括细长界面特征,其中细长界面特征的长度大于细长界面特征的宽度。被动均衡器可以包括在第一接触衬垫和第二接触衬垫之间的第二导电界面特征,该第二导电界面特征包括相对于第一导电界面特征以交叉方向设置的第二细长界面特征。在一些实施例中,导电界面特征直接地结合到第二导电界面特征而没有中间黏合剂。电容电路径还可以包括在导电界面特征和第二接触衬垫之间的第二介电间隙。电阻电路径可以在导电界面特征的至少一部分上定义接触面积,并且电容电路径可以在第一接触衬垫和第二接触衬垫的重叠部分之间定义电容面积,电容面积大于接触面积。电容面积与接触面积的比例可以至少为50:1。该比例可以在150:1至50,000:1的范围内。第一介电间隙可以包括氧化硅。第一介电间隙的介电常数可以在2至9的范围内。导电界面特征可以包括金属氮化物阻障材料。第一元件可以包括整合装置晶粒,并且第二元件可以包括中介物。整合装置晶粒可以包括一个或多个通信晶粒、一个或多个记忆体晶粒或一个或多个处理器晶粒。第一接触衬垫的主要尺寸可以在30微米至120微米的范围内。电阻电路径可以具有5欧姆至70欧姆的范围内的有效电阻。电容电路径可以具有0.2pF至50pF范围内的有效电容。
在另一个实施例中,公开了一种经堆叠和电互连的结构。该结构可以包括含有第一接触衬垫的第一元件和含有第二接触衬垫的第二元件。该结构可以包括将第一接触衬垫与第二接触衬垫电连接和机械连接的界面结构。该界面结构可以包括在第一接触衬垫和第二接触衬垫之间的导电界面特征。可以在第一接触衬垫和第二接触衬垫之间提供介电界面特征。
在一些实施例中,第二导电界面特征可以设置在第一接触衬垫和第二接触衬垫之间,并且导电界面特征可以沉积到第一接触衬垫上,并且第二导电界面特征可以被沉积到第二接触衬垫上。该导电界面特征可以直接接合到该第二导电界面特征而无需中间黏合剂。第二介电界面特征可以在第一接触衬垫和第二接触衬垫之间,并且介电界面特征可以沉积到第一接触衬垫上,并且第二介电界面特征沉积到第二接触衬垫上。导电界面特征和第二导电界面特征中的每一个可以包括细长界面特征,其中该导电界面特征相对于该第二导电界面特征以不平行方式定向。该导电界面特征和该第二导电界面特征之间的重叠接触区域可以定义电阻接触面积,并且暴露于介电界面特征的第一接触衬垫的面积可以定义电容面积,该电容面积大于该电阻接触面积。电容面积与电阻接触面积的比例可以至少为50:1。
在另一个实施例中,公开了一种用于形成经堆叠和电互连结构的方法。该方法可以包括提供含有第一接触衬垫的第一元件。该方法可以包括提供含有第二接触衬垫的第二元件。该方法可以包括将第一元件接合到第二元件以定义包括被动均衡电路的界面结构。被动均衡电路可以包括在第一接触衬垫和第二接触衬垫之间的电阻器以及在第一接触衬垫和第二接触衬垫之间的并联电容器。
在一些实施例中,接合包括将第一元件直接接合到第二元件上而没有中间黏合剂。在一些实施例中,电容器可以由第一和第二接触衬垫和中间介电质定义。
在另一个实施例中,公开了一种经堆叠和电互连的结构。该结构可以包括含有第一接触衬垫的第一元件和含有第二接触衬垫的第二元件。第一接触衬垫和第二接触衬垫可以通过界面结构彼此电连接和机械连接。界面结构可以包括整合在界面结构内的等效均衡电路,该等效均衡电路被配置为调整包括等效均衡电路和有损耗传输线的通道的频率响应。
在一些实施例中,均衡电路可以被配置为调整结构的频率响应,以便在相对于直流(DC)下信号数值于小于10GHz的所有频率上保持信号幅度A在A±5dB的窗口范围内。均衡电路可以包括在第一接触衬垫和第二接触衬垫之间的电阻器以及在第一接触衬垫和第二接触衬垫之间的并联电容器。均衡电路可以包括串联电阻-电感(RL)被动均衡器或电阻-电感-电容(RLC)被动均衡器。
在另一个实施例中,公开了一种经堆叠和电互连的结构。所述结构可以包括第一元件和第二元件,所述第一元件和第二元件其中该第二元件沿着接合界面直接接合到所述第一元件而没有中间黏合剂。一个或多个被动电子部件可以沿着接合界面一体地形成在第一和第二元件之间。
在一些实施例中,一个或多个被动电子部件可以由形成在第一元件上的层形成。一个或多个被动电子部件可以通过形成在第一和第二元件上的层的组合形成。
在另一个实施例中,结构可以包括元件和至少一个被动电子部件。该至少一个被动电子部件可以通过直接接合来附接或形成。在一些实施例中,该结构可以包括第二元件。所述至少一个被动电子部件可以被夹在该元件和该第二元件之间而没有黏合剂层。
为了总结所公开的实施例和相对于现有技术实现的优点,本文已经描述了某些目的和优点。当然,应当理解的是,根据任何特定实施例,不一定都可以实现所有这些目的或优点。因此,例如,本领域技术人士将认知到,所公开的实现方式可以达成或优化本文所教导或建议的一个优点或一群优点而不一定达成如在本文教导或建议中的其他目的或优点的方式来具体实现或执行。
所有这些实施例都意图落于本公开的范围内。对于本领域技术人士来说,这些和其它实施例对于参考所附附图的实施例的以下详细描述将变得显而易见,申请专利范围不限于所公开的任何特定实施例。尽管本文中已经公开了某些实施例和示例,但是本领域技术人士将会理解,所公开的实现方式涵盖了具体公开的实施例至其他替代实施例及/或使用以及其明显的修改和等效物。此外,虽然已经显示和描述了若干变化,但是基于本公开内容,本领域技术人士将容易明白其他的修改。还可以想到,可以进行实施例的特定特征和态样的各种组合或子组合,并且仍然落在本发明范围内。应当理解的是,所公开的实施例的各种特征和态样可以彼此组合或替代,以便形成所公开实现方式的变化模式。因此,本文公开的所请标的的范围不应受上述特定公开的实施例的限制,而应仅通过对所附权利要求的合理解读来决定。

Claims (40)

1.一种经堆叠且电互连的结构,包括:
第一元件,包括第一接触衬垫;以及
第二元件,包括第二接触衬垫,
所述第一接触衬垫和所述第二接触衬垫藉由界面结构彼此电连接和机械连接,所述界面结构包括被动均衡电路,其包括所述第一接触衬垫和所述第二接触衬垫之间的电阻电路径以及所述第一接触衬垫和所述第二接触衬垫之间的电容电路径。
2.根据权利要求1所述的结构,其中所述电阻电路径包括所述第一接触衬垫和所述第二接触衬垫之间的导电界面特征,并且其中所述电容电路径包括所述第一接触衬垫和所述第二接触衬垫之间的第一介电间隙。
3.根据权利要求2所述的结构,其中所述第一介电间隙相关于所述导电界面特征而设置。
4.根据权利要求2到3中任一项所述的结构,其中所述导电界面特征包括细长界面特征,其中所述细长界面特征的长度大于所述细长界面特征的宽度。
5.根据权利要求4所述的结构,其中所述被动均衡器包括在所述第一接触衬垫和所述第二接触衬垫之间的第二导电界面特征,所述第二导电界面特征包括第二细长界面特征,其中所述第二细长界面特征系相对于所述第一导电界面特征以交叉方向设置。
6.根据权利要求5所述的结构,其中所述导电界面特征直接地结合到所述第二导电界面特征而没有中间黏合剂。
7.根据权利要求2到6中任一项所述的结构,其中所述电容电路径还包括在所述导电界面特征和所述第二接触衬垫之间的第二介电间隙。
8.根据权利要求2到7中任一项所述的结构,其中所述电阻电路径在所述导电界面特征的至少一部分上定义接触面积,并且其中所述电容电路径在所述第一接触衬垫和所述第二接触衬垫的重叠部分之间定义电容面积,所述电容面积大于所述接触面积。
9.根据权利要求8所述的结构,其中所述电容区域与所述接触区域的比例为至少50:1。
10.根据权利要求9所述的结构,其中所述比例在150:1至50,000:1的范围内。
11.根据权利要求2到10中任一项所述的结构,其中所述第一介电间隙包括氧化硅。
12.根据权利要求2到11中任一项所述的结构,其中所述第一介电间隙的介电常数在2至9的范围内。
13.根据权利要求2到12中任一项所述的结构,其中所述导电界面特征包括金属氮化物阻障材料。
14.根据权利要求1至13中任一项所述的结构,其中所述第一元件包括整合装置晶粒,并且所述第二元件包括中介物。
15.根据权利要求14所述的结构,其中所述整合装置晶粒包括一个或多个通信晶粒、一个或多个记忆体晶粒或一个或多个处理器晶粒。
16.根据权利要求1至15中任一项所述的结构,其中所述第一接触衬垫的主要尺寸在30微米至120微米的范围内。
17.根据权利要求1至16中任一项所述的结构,其中所述电阻电路径具有在5欧姆至70欧姆范围内的有效电阻。
18.根据权利要求1至17中任一项所述的结构,其中所述电容电路径具有在0.2pF至50pF的范围内的有效电容。
19.根据权利要求1至18中任一项所述的结构,其中所述第一元件和所述第二元件彼此直接结合。
20.根据权利要求1至19中任一项所述的结构,其中所述界面结构包括整合在所述界面结构内的等效均衡电路,所述等效均衡电路被配置为调整包括所述等效均衡电路和有损耗传输线之通道的频率响应。
21.一种经堆叠且电互连的结构,包括:
第一元件,包括第一接触衬垫;
第二元件,包括第二接触衬垫;以及
界面结构,电和机械地连接所述第一接触衬垫与所述第二接触衬垫,所述界面结构包括在所述第一接触衬垫和所述第二接触衬垫之间的导电界面特征,以及在所述第一接触衬垫和所述第二接触衬垫之间的介电界面特征。
22.根据权利要求21所述的结构,还包括在所述第一接触衬垫和所述第二接触衬垫之间的第二导电界面特征,其中所述导电界面特征沉积到所述第一接触衬垫上,并且所述第二导电界面特征沉积到所述第二接触衬垫上。
23.根据权利要求22所述的结构,其中所述导电界面特征直接结合到所述第二导电界面特征而无中间黏合剂。
24.根据权利要求22到23中任一项所述的结构,还包括所述第一接触衬垫和所述第二接触衬垫之间的第二介电界面特征,其中所述介电界面特征沉积到所述第一接触衬垫上,并且所述第二介电界面特征为沉积到第二接触衬垫上。
25.根据权利要求22至24中任一项所述的结构,其中所述导电界面特征和所述第二导电界面特征中的每一个包括细长界面特征,所述导电界面特征相对于所述第二导电界面特征以不平行方式定向。
26.根据权利要求22至25中任一项所述的结构,其中所述导电界面特征和所述第二导电界面特征之间的重叠接触区域定义电阻接触面积,并且其中暴露于所述介电界面特征的所述第一接触衬垫的面积定义电容面积,所述电容面积大于所述电阻接触面积。
27.根据权利要求26所述的结构,其中所述电容面积与所述电阻接触面积的比例为至少50:1。
28.一种用于形成经堆叠和电互连结构的方法,所述方法包括:
提供包括第一接触衬垫的第一元件;
提供包括第二接触衬垫的第二元件;以及
将所述第一元件接合到所述第二元件以定义包含被动均衡电路的界面结构,所述被动均衡电路包括在所述第一接触衬垫和所述第二接触衬垫之间的电阻器,以及在所述第一接触衬垫和所述第二接触衬垫之间的并联电容器。
29.根据权利要求28所述的方法,其中所述接合包括将所述第一元件直接结合到所述第二元件而无中间黏合剂。
30.根据权利要求28到29中任一项所述的方法,其中所述电容器由所述第一接触衬垫和所述第二接触衬垫与中间介电质所定义。
31.一种经堆叠且电互连的结构,包括:
第一元件,包括第一接触衬垫;以及
第二元件,包括第二接触衬垫,
所述第一接触衬垫和所述第二接触衬垫藉由界面结构彼此电连接和机械连接,所述界面结构包括整合在所述界面结构内的等效均衡电路,所述等效均衡电路经配置以调整包括等效均衡电路和有损耗传输线之通道的频率响应。
32.根据权利要求31所述的结构,其中所述均衡电路被配置以调整所述结构的频率响应,以便在相对于直流(DC)下的信号数值于小于10GHz的所有频率上保持信号幅度A在A±5dB的窗口范围内。
33.根据权利要求31到32中任一项所述的结构,其中所述均衡电路包括在所述第一接触衬垫和所述第二接触衬垫之间的电阻器,以及在所述第一接触衬垫和所述第二接触衬垫之间的并联电容器。
34.根据权利要求31到32中任一项所述的结构,其中所述均衡电路包括串联电阻器-电感器(RL)被动均衡器或电阻器-电感器-电容器(RLC)被动均衡器。
35.一种经堆叠且电互连的结构,包括:
第一元件;以及
第二元件,其沿着接合界面直接接合到所述第一元件而无中间黏合剂,
其中一个或多个被动电子部件沿着所述接合界面一体地形成在所述第一元件和所述第二元件之间。
36.根据权利要求35所述的结构,其中所述一个或多个被动电子部件由形成在所述第一元件上的层所形成。
37.根据权利要求35所述的结构,其中所述一个或多个被动电子部件由形成在所述第一元件和所述第二元件上的层的组合所形成。
38.根据权利要求35所述的结构,其与前述权利要求中任一项描述结合。
39.一种包括元件和至少一个被动电子部件的结构,其中所述至少一个被动电子部件藉由直接接合来附接或形成。
40.根据权利要求39所述的结构,还包括第二元件,其中所述至少一个被动电子部件被夹在所述元件和所述第二元件之间而无黏合剂层。
CN201780064761.5A 2016-09-30 2017-09-20 界面结构及其形成方法 Active CN109863589B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202410182007.3A CN118299352A (zh) 2016-09-30 2017-09-20 界面结构及其形成方法
CN202410181900.4A CN118299351A (zh) 2016-09-30 2017-09-20 界面结构及其形成方法

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662402913P 2016-09-30 2016-09-30
US62/402,913 2016-09-30
US15/709,309 US10446487B2 (en) 2016-09-30 2017-09-19 Interface structures and methods for forming same
US15/709,309 2017-09-19
PCT/US2017/052409 WO2018063877A1 (en) 2016-09-30 2017-09-20 Interface structures and methods for forming same

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN202410182007.3A Division CN118299352A (zh) 2016-09-30 2017-09-20 界面结构及其形成方法
CN202410181900.4A Division CN118299351A (zh) 2016-09-30 2017-09-20 界面结构及其形成方法

Publications (2)

Publication Number Publication Date
CN109863589A true CN109863589A (zh) 2019-06-07
CN109863589B CN109863589B (zh) 2024-02-09

Family

ID=61758441

Family Applications (3)

Application Number Title Priority Date Filing Date
CN202410181900.4A Pending CN118299351A (zh) 2016-09-30 2017-09-20 界面结构及其形成方法
CN202410182007.3A Pending CN118299352A (zh) 2016-09-30 2017-09-20 界面结构及其形成方法
CN201780064761.5A Active CN109863589B (zh) 2016-09-30 2017-09-20 界面结构及其形成方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN202410181900.4A Pending CN118299351A (zh) 2016-09-30 2017-09-20 界面结构及其形成方法
CN202410182007.3A Pending CN118299352A (zh) 2016-09-30 2017-09-20 界面结构及其形成方法

Country Status (6)

Country Link
US (2) US10446487B2 (zh)
EP (1) EP3520137A4 (zh)
KR (1) KR102168887B1 (zh)
CN (3) CN118299351A (zh)
TW (1) TWI712145B (zh)
WO (1) WO2018063877A1 (zh)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10886209B2 (en) * 2016-09-30 2021-01-05 Intel Corporation Multiple-layer, self-equalizing interconnects in package substrates
US10446487B2 (en) * 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10289796B2 (en) * 2016-12-06 2019-05-14 Synopsys, Inc. Automated place-and-route method for HBM-based IC devices
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
CN111279466B (zh) * 2017-12-28 2023-11-03 株式会社村田制作所 半导体装置
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
CN108988815B (zh) * 2018-06-06 2022-03-22 杭州电子科技大学 针对屏蔽差分硅通孔的rl无源均衡器结构及其设计方法
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) * 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
TWI756860B (zh) * 2020-10-08 2022-03-01 緯創資通股份有限公司 訊號傳輸之通道結構
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101510544A (zh) * 2008-02-15 2009-08-19 瑞昱半导体股份有限公司 前端集成的无源式均衡器及其方法
CN101577686A (zh) * 2008-05-09 2009-11-11 鸿富锦精密工业(深圳)有限公司 等化器及具有该等化器的连接器
US20130020704A1 (en) * 2011-07-18 2013-01-24 S.O.I.Tec Silicon On Insulator Technologies Bonding surfaces for direct bonding of semiconductor structures
US20130207234A1 (en) * 2011-12-19 2013-08-15 Hitachi, Ltd. Semiconductor apparatus, signal transmission system and signal transmission method
CN103594440A (zh) * 2012-08-15 2014-02-19 财团法人工业技术研究院 半导体基板
US20140370658A1 (en) * 2003-02-07 2014-12-18 Ziptronix, Inc. Room temperature metal direct bonding
US20150097298A1 (en) * 2012-08-15 2015-04-09 Industrial Technology Research Institute Semiconductor substrate assembly
US20160093583A1 (en) * 2014-09-25 2016-03-31 Micron Technology, Inc. Bond pad with micro-protrusions for direct metallic bonding

Family Cites Families (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5471090A (en) 1993-03-08 1995-11-28 International Business Machines Corporation Electronic structures having a joining geometry providing reduced capacitive loading
JPH07193294A (ja) 1993-11-01 1995-07-28 Matsushita Electric Ind Co Ltd 電子部品およびその製造方法
US5408053A (en) 1993-11-30 1995-04-18 Hughes Aircraft Company Layered planar transmission lines
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
JP3210889B2 (ja) 1997-01-14 2001-09-25 シャープ株式会社 直交2偏波導波管入力装置およびそれを用いた衛星放送受信用のコンバータ
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JP3307307B2 (ja) 1997-12-19 2002-07-24 株式会社村田製作所 多層型高周波電子部品
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6300161B1 (en) 2000-02-15 2001-10-09 Alpine Microsystems, Inc. Module and method for interconnecting integrated circuits that facilitates high speed signal propagation with reduced noise
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6418029B1 (en) 2000-02-28 2002-07-09 Mckee James S. Interconnect system having vertically mounted passive components on an underside of a substrate
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6970362B1 (en) 2000-07-31 2005-11-29 Intel Corporation Electronic assemblies and systems comprising interposer with embedded capacitors
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
GB2373344A (en) 2001-03-16 2002-09-18 Bookham Technology Plc Optical coupling using direct bonding
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
JP2003043281A (ja) 2001-07-26 2003-02-13 Kyocera Chemical Corp 光導波回路及びその製造方法
US20030081906A1 (en) 2001-10-26 2003-05-01 Filhaber John F. Direct bonding of optical components
US6759692B1 (en) * 2002-02-04 2004-07-06 Ixys Corporation Gate driver with level shift circuit
US6897492B2 (en) * 2002-02-04 2005-05-24 Ixys Corporation Power device with bi-directional level shift circuit
US6638808B1 (en) * 2002-02-04 2003-10-28 Ixys Corporation Method of manufacturing gate driver with level shift circuit
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
KR100438160B1 (ko) * 2002-03-05 2004-07-01 삼성전자주식회사 인덕터와 캐패시터를 갖는 소자 및 그의 제작방법
US6713871B2 (en) 2002-05-21 2004-03-30 Intel Corporation Surface mount solder method and apparatus for decoupling capacitance and process of making
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4037711B2 (ja) * 2002-07-26 2008-01-23 株式会社東芝 層間絶縁膜内に形成されたキャパシタを有する半導体装置
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US6822326B2 (en) 2002-09-25 2004-11-23 Ziptronix Wafer bonding hermetic encapsulation
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
KR100486303B1 (ko) * 2003-02-05 2005-04-29 삼성전자주식회사 집적 회로용 평판형 캐패시터 및 그의 제조방법
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US7177135B2 (en) * 2003-09-23 2007-02-13 Samsung Electronics Co., Ltd. On-chip bypass capacitor and method of manufacturing the same
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US6943294B2 (en) 2003-12-22 2005-09-13 Intel Corporation Integrating passive components on spacer in stacked dies
US7132743B2 (en) 2003-12-23 2006-11-07 Intel Corporation Integrated circuit package substrate having a thin film capacitor structure
JP4260650B2 (ja) 2004-02-26 2009-04-30 新光電気工業株式会社 光電気複合基板及びその製造方法
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
TW200535878A (en) 2004-04-16 2005-11-01 Ind Tech Res Inst Tunable passive device
JP4657640B2 (ja) * 2004-07-21 2011-03-23 株式会社日立製作所 半導体装置
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
US7680464B2 (en) 2004-12-30 2010-03-16 Valeo Radar Systems, Inc. Waveguide—printed wiring board (PWB) interconnection
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
US7355836B2 (en) 2005-06-07 2008-04-08 Intel Corporation Array capacitor for decoupling multiple voltage rails
JP4572759B2 (ja) 2005-07-06 2010-11-04 セイコーエプソン株式会社 半導体装置及び電子機器
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
JP4509972B2 (ja) 2005-09-01 2010-07-21 日本特殊陶業株式会社 配線基板、埋め込み用セラミックチップ
US7705691B2 (en) 2005-10-18 2010-04-27 Agency For Science, Technology & Research Capacitor interconnection
KR100735521B1 (ko) * 2005-10-19 2007-07-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
TWI305119B (en) 2005-12-22 2009-01-01 Phoenix Prec Technology Corp Circuit board structure having capacitance array and embedded electronic component and method for fabricating the same
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US8130821B2 (en) 2006-05-18 2012-03-06 Oracle America, Inc. Equalization in capacitively coupled communication links
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US20080124835A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Hermetic seal and reliable bonding structures for 3d applications
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8558636B2 (en) 2007-03-30 2013-10-15 Intel Corporation Package embedded equalizer
JP2008258258A (ja) 2007-04-02 2008-10-23 Sanyo Electric Co Ltd 半導体装置
US7899407B2 (en) 2007-05-01 2011-03-01 Broadcom Corporation High frequency signal combining
JP2009239247A (ja) 2008-03-27 2009-10-15 Ibiden Co Ltd 多層プリント配線板の製造方法
US8009763B2 (en) 2008-04-02 2011-08-30 Oracle America, Inc. Method and apparatus for equalizing a high speed serial data link
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
JP2010103982A (ja) 2008-09-25 2010-05-06 Sony Corp ミリ波伝送装置、ミリ波伝送方法、ミリ波伝送システム
US8344503B2 (en) 2008-11-25 2013-01-01 Freescale Semiconductor, Inc. 3-D circuits with integrated passive devices
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
WO2010114079A1 (ja) 2009-03-31 2010-10-07 京セラ株式会社 回路基板、ならびに、高周波モジュールおよびレーダ装置
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
US8111730B2 (en) 2009-08-20 2012-02-07 International Business Machines Corporation 3D optoelectronic packaging
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
WO2011114774A1 (ja) 2010-03-18 2011-09-22 日本電気株式会社 半導体素子内蔵基板およびその製造方法
US9048112B2 (en) 2010-06-29 2015-06-02 Qualcomm Incorporated Integrated voltage regulator with embedded passive device(s) for a stacked IC
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
KR101141457B1 (ko) 2010-12-08 2012-05-04 삼성전기주식회사 적층 세라믹 콘덴서 및 그 제조방법
TWI405322B (zh) 2010-12-29 2013-08-11 Ind Tech Res Inst 內藏電容基板模組
FR2970594B1 (fr) 2011-01-13 2013-01-18 Batscap Sa Ensemble de stockage d'energie electrique a element empile en accordeon
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8686537B2 (en) 2011-03-03 2014-04-01 Skyworks Solutions, Inc. Apparatus and methods for reducing impact of high RF loss plating
WO2012125237A2 (en) 2011-03-15 2012-09-20 Rambus Inc. Area and power efficient clock generation
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
KR102378636B1 (ko) 2011-05-24 2022-03-25 소니그룹주식회사 반도체 장치
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US20130009595A1 (en) 2011-07-08 2013-01-10 Brown Kevin L Devices for receiving periodic charging
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
TWI438882B (zh) 2011-11-01 2014-05-21 Unimicron Technology Corp 嵌埋電容元件之封裝基板及其製法
US20130265733A1 (en) 2012-04-04 2013-10-10 Texas Instruments Incorporated Interchip communication using an embedded dielectric waveguide
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8698323B2 (en) 2012-06-18 2014-04-15 Invensas Corporation Microelectronic assembly tolerant to misplacement of microelectronic elements therein
US9502424B2 (en) * 2012-06-29 2016-11-22 Qualcomm Incorporated Integrated circuit device featuring an antifuse and method of making same
US20140026475A1 (en) * 2012-07-24 2014-01-30 Alejandro Centeno Flower Pot Protection Device
US9036952B2 (en) 2012-07-25 2015-05-19 International Business Machines Corporation Electro-optical assembly for silicon photonic chip and electro-optical carrier
US8829673B2 (en) 2012-08-17 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded structures for package and substrate
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
KR101420517B1 (ko) 2012-10-31 2014-07-16 삼성전기주식회사 적층 세라믹 캐패시터 및 이를 포함하는 인쇄회로기판
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
TWI479640B (zh) 2012-12-25 2015-04-01 Ind Tech Res Inst 晶片堆疊結構
KR101375938B1 (ko) 2012-12-27 2014-03-21 한국과학기술원 저전력, 고속 멀티-채널 유전체 웨이브가이드를 이용한 칩-대-칩 인터페이스
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9263186B2 (en) 2013-03-05 2016-02-16 Qualcomm Incorporated DC/ AC dual function Power Delivery Network (PDN) decoupling capacitor
US9105485B2 (en) 2013-03-08 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures and methods of forming the same
US9029983B2 (en) * 2013-03-12 2015-05-12 Qualcomm Incorporated Metal-insulator-metal (MIM) capacitor
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9508637B2 (en) 2014-01-06 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Protrusion bump pads for bond-on-trace processing
US10090327B2 (en) * 2014-01-17 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and method for forming the same
CN106165088B (zh) 2014-01-31 2019-03-01 康宁股份有限公司 提供用于使半导体芯片相互连接的中介基板的方法和设备
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9418924B2 (en) 2014-03-20 2016-08-16 Invensas Corporation Stacked die integrated circuit
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9853361B2 (en) 2014-05-02 2017-12-26 The Invention Science Fund I Llc Surface scattering antennas with lumped elements
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9372316B2 (en) 2014-09-11 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon interface for dielectric slab waveguide
US9671572B2 (en) 2014-09-22 2017-06-06 Oracle International Corporation Integrated chip package with optical interface
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US10018788B2 (en) 2014-10-28 2018-07-10 Hewlett Packard Enterprise Development Lp Photonic interposer with wafer bonded microlenses
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
DE102014117723B4 (de) * 2014-12-02 2019-01-24 Infineon Technologies Ag Halbleitervorrichtung und Verfahren zum Herstellen einer Halbleitervorrichtung
KR101793967B1 (ko) 2014-12-24 2017-11-06 인텔 코포레이션 적층형 집적 회로 패키지에서의 통합형 수동 구성요소 장치 및 이를 포함한 컴퓨팅 디바이스
JP2016143853A (ja) * 2015-02-05 2016-08-08 富士通株式会社 積層型半導体装置
US20160254345A1 (en) * 2015-02-27 2016-09-01 Globalfoundries Inc. Metal-insulator-metal capacitor architecture
US9537199B2 (en) 2015-03-19 2017-01-03 International Business Machines Corporation Package structure having an integrated waveguide configured to communicate between first and second integrated circuit chips
US20160291269A1 (en) 2015-04-01 2016-10-06 Coriant Advanced Technology, LLC Photonic integrated circuit chip packaging
KR101681410B1 (ko) 2015-04-20 2016-11-30 삼성전기주식회사 커패시터 부품
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
WO2017011267A1 (en) 2015-07-15 2017-01-19 Dueweke Michael J Tunable reactance devices, and methods of making and using the same
GB2543879A (en) 2015-07-17 2017-05-03 Conocophillips Co Well abandonment using vibration to assist cement placement
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9627373B2 (en) * 2015-08-25 2017-04-18 International Business Machines Corporation CMOS compatible fuse or resistor using self-aligned contacts
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10522400B2 (en) * 2016-05-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded temperature control system for a biosensor
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
WO2018125673A2 (en) 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140370658A1 (en) * 2003-02-07 2014-12-18 Ziptronix, Inc. Room temperature metal direct bonding
US20160086899A1 (en) * 2003-02-07 2016-03-24 Ziptronix, Inc. Room temperature metal direct bonding
CN101510544A (zh) * 2008-02-15 2009-08-19 瑞昱半导体股份有限公司 前端集成的无源式均衡器及其方法
CN101577686A (zh) * 2008-05-09 2009-11-11 鸿富锦精密工业(深圳)有限公司 等化器及具有该等化器的连接器
US20130020704A1 (en) * 2011-07-18 2013-01-24 S.O.I.Tec Silicon On Insulator Technologies Bonding surfaces for direct bonding of semiconductor structures
US20130207234A1 (en) * 2011-12-19 2013-08-15 Hitachi, Ltd. Semiconductor apparatus, signal transmission system and signal transmission method
CN103594440A (zh) * 2012-08-15 2014-02-19 财团法人工业技术研究院 半导体基板
US20150097298A1 (en) * 2012-08-15 2015-04-09 Industrial Technology Research Institute Semiconductor substrate assembly
US20160093583A1 (en) * 2014-09-25 2016-03-31 Micron Technology, Inc. Bond pad with micro-protrusions for direct metallic bonding

Also Published As

Publication number Publication date
TW201828443A (zh) 2018-08-01
CN118299352A (zh) 2024-07-05
US20200043848A1 (en) 2020-02-06
WO2018063877A1 (en) 2018-04-05
TWI712145B (zh) 2020-12-01
US20180096931A1 (en) 2018-04-05
EP3520137A1 (en) 2019-08-07
CN109863589B (zh) 2024-02-09
US10998265B2 (en) 2021-05-04
CN118299351A (zh) 2024-07-05
EP3520137A4 (en) 2020-03-11
KR102168887B1 (ko) 2020-10-22
KR20190049870A (ko) 2019-05-09
US10446487B2 (en) 2019-10-15

Similar Documents

Publication Publication Date Title
CN109863589A (zh) 界面结构及其形成方法
CN101095380B (zh) 用于高频应用之包括贯穿连接之多层印刷电路板
CN104900374B (zh) 片式电子元件及其制造方法
TWI305119B (en) Circuit board structure having capacitance array and embedded electronic component and method for fabricating the same
CN106332434B (zh) 柔性线路板及其制作方法
CN101562433B (zh) 具有滤波器结构的电容器装置
JP3585851B2 (ja) 防護装置および該防護装置を有する電気的な構成部材
US9502161B2 (en) Power resistor with integrated heat spreader
CN110402615A (zh) 高频传输用印刷线路板
JPS6037610A (ja) 容量性多層導体バーおよびその製造法
TW201407729A (zh) 半導體基板
US20110042808A1 (en) Semiconductor device and method for manufacturing same
US9773725B2 (en) Coreless multi-layer circuit substrate with minimized pad capacitance
CN108633165A (zh) 软性电路板的信号抗衰减屏蔽结构
US10166747B2 (en) Resin multilayer substrate and method of manufacturing the same
KR102095068B1 (ko) 평탄화 커버층 구조를 가진 연성회로기판
US20190373732A1 (en) Printed wiring board
US20220122771A1 (en) Layered capacitor with two different types of electrode material
US7817008B2 (en) Magnetic element
TWI343110B (en) Process of embedded circuit board having a conductive hole
TWI295909B (en) Capacitor structure formed in circuit board
JP2004327946A (ja) 低いインダクタンス、低いインダクタンス変化を有する高周波インダクタ及びその製造方法
CN100501952C (zh) 具有埋入被动元件的晶粒承载用封装基板及其制造方法
CN108093562B (zh) 一种电路板及其制作方法
US20130271241A1 (en) Balance filter

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information
CB02 Change of applicant information

Address after: california

Applicant after: Edya Semiconductor Bonding Technology Co.,Ltd.

Address before: California, USA

Applicant before: INVENSAS BONDING TECHNOLOGIES, Inc.

GR01 Patent grant
GR01 Patent grant