CN1073476C - 流动分配和转变装置 - Google Patents

流动分配和转变装置 Download PDF

Info

Publication number
CN1073476C
CN1073476C CN96197686A CN96197686A CN1073476C CN 1073476 C CN1073476 C CN 1073476C CN 96197686 A CN96197686 A CN 96197686A CN 96197686 A CN96197686 A CN 96197686A CN 1073476 C CN1073476 C CN 1073476C
Authority
CN
China
Prior art keywords
passage
flow
section
described device
along
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN96197686A
Other languages
English (en)
Other versions
CN1200057A (zh
Inventor
约翰尼斯·齐默
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CN1200057A publication Critical patent/CN1200057A/zh
Application granted granted Critical
Publication of CN1073476C publication Critical patent/CN1073476C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41FPRINTING MACHINES OR PRESSES
    • B41F15/00Screen printers
    • B41F15/14Details
    • B41F15/40Inking units
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C1/00Apparatus in which liquid or other fluent material is applied to the surface of the work by contact with a member carrying the liquid or other fluent material, e.g. a porous member loaded with a liquid to be applied as a coating
    • B05C1/04Apparatus in which liquid or other fluent material is applied to the surface of the work by contact with a member carrying the liquid or other fluent material, e.g. a porous member loaded with a liquid to be applied as a coating for applying liquid or other fluent material to work of indefinite length
    • B05C1/08Apparatus in which liquid or other fluent material is applied to the surface of the work by contact with a member carrying the liquid or other fluent material, e.g. a porous member loaded with a liquid to be applied as a coating for applying liquid or other fluent material to work of indefinite length using a roller or other rotating member which contacts the work along a generating line
    • B05C1/10Apparatus in which liquid or other fluent material is applied to the surface of the work by contact with a member carrying the liquid or other fluent material, e.g. a porous member loaded with a liquid to be applied as a coating for applying liquid or other fluent material to work of indefinite length using a roller or other rotating member which contacts the work along a generating line the liquid or other fluent material being supplied from inside the roller
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • B05C11/10Storage, supply or control of liquid or other fluent material; Recovery of excess liquid or other fluent material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S366/00Agitating
    • Y10S366/03Micromixers: variable geometry from the pathway influences mixing/agitation of non-laminar fluid flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85938Non-valved flow dividers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • Y10T137/87877Single inlet with multiple distinctly valved outlets

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Paper (AREA)
  • Coating Apparatus (AREA)
  • Vehicle Body Suspensions (AREA)
  • Confectionery (AREA)
  • Massaging Devices (AREA)
  • Diaphragms For Electromechanical Transducers (AREA)
  • Nozzles (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • External Artificial Organs (AREA)
  • Nuclear Medicine (AREA)
  • Audible-Bandwidth Dynamoelectric Transducers Other Than Pickups (AREA)
  • Chairs Characterized By Structure (AREA)

Abstract

本发明涉及一种流动分配和转变装置(1),包括一个分配系统,在该系统中,从一个将物质引导成一个复合流的总通道(K1)中将物质引入一系列口(3)中。在第一分配点(T1)处,总通道(K1)被分成两条通道。在预定的分配级中的各通道端再分成两条通道,这两条通道沿装置(1)长度在相反的方向上分配流动并偏转所分配的流动。为了改变分配作用,总通道(K1)在第一分配点(T1)分成分流通道(K2a,K2b)的两条平行相邻的段,物质在分流通道沿同向流动。在分配点(T1)之前、之后以及于该点处,流动是线性的或大致为线性的。

Description

流动分配和转变装置
本发明涉及一种流动分配和转变装置,用于可流动和/或气态物质的流动分配和流动转变,它包括一个沿纵向延伸具有机体纵轴线的机体和至少一个分配系统,在此系统中物质从导引物质聚合流动的流动总通道流到沿机体纵向排列的一排口中,这些口配设于一个沿机体纵向延伸的狭窄的排出区,其中,流动总通道分路成两个在第一分配点处分配总流动的第一分配级的物质导引通道,以及在下游至少有另一个分配级,在此另一个分配级中,在前面的级的每个通道端所属的分配点处,各分路成两个分配流动并使流动转向为按反向沿机体纵向的通道。为设在流动通道机体内部的流动通道系统配设尤其是沿两个流动方向的作业功能。流动通道机体最好是涂刷装置的一部分,例如是圆筒筛-圆形刻板印刷机的一部分。它可以安装在这种机器的支承梁内或装在支承梁上。但此流动通道机体也可以用于沿宽度均匀分配流体的其他目的。
由WO94/17927已知一种此类流动通道机体。从设在端面的连接口出发的流动总通道一直通入流动通道机体的纵向中央。在那里借助一T形的通道分路器实现流动分配。此已知的流动分配装置经90°流动转向从纵向到横向后直接加上一个紧接着的90°双转向,此双转向构成直正的分配。此类已知的装置只满足对它们所提出的要求中的一部分,并且有一些限制。尤其是迄今还缺乏一种装置,借助于这种装置可以在具有分配精度的情况下用于很大的流量范围和所有从水状的到高粘度性质的物质以及尤其用于较大的作业宽度,亦即具体而言为3至5米。尤其结合圆形刻板印刷机,亦即在考虑到圆形刻板拥挤的空间状况时更感到这种缺乏。例如,大多数常用的圆形刻板的孔径只有130至最大160mm。不足之处还由于所要求的稳定性,亦即沿整个机体长度的直线度。已知流动通道机体的重要缺点可认为是分配的不准确和不可靠,尤其在使用粘度和/或流量差别很大的物质时。物质的流量、机体的长度和/或粘度的差别越大,这些缺点起的作用便越重要。已知流动通道机体的一些缺点和原理借助于示意表示先有技术的图A至C说明。
图A表示已知的普通的T形管分路器。在图B中表示在流动分路前的一个比较长的流动距离Q和两个具有相关流出阻力G1和G2的长度相等的较短的T形分路距离L1和L2。只有当距离Q足够长以及距离L1和L2等长时,才能指望流量平分。图C表示了一种已知的流动通道机体,它有一块长板,板中加工了一个具有连续平分的流动通道系统。整个机体包括两块这样的板,它们制成对称的并通过在图C内表示的视图面密封地组合成一个整体。在图C中表示的流动通道机体的纵向长度已被压缩。它例如可以设想有10倍那么大。若例如以直径150mm的圆形刻板口为基础,其中,在此尺寸中至少50mm需用于涂刷装置,那么在作业宽度为例如3m时为流动通道机体提供的横向尺寸与纵向尺寸的比例为1与30之比。由图C中可见用Q1至Q4表示的各分配级的横向尺寸很小,所以导致已提及的流量平分的不可靠性。由此还可看出,流动通道的有关横截面越大,平分的误差就越大。因此,平分分配的不可靠性在用T1表示的第一个然而对于沿宽度分配为特别重要的分配点处为最严重。所说明的情况原则上针对迄今所有已知的有关类型的装置。
本发明的主要目的在于提供一种流动通道机体,用于尤其是为涂刷设备如印刷机等进行多重的流动分配和转变,借助于这种流动通道机体显著改善在沿宽度均匀地分配方面的物质导引,而且可用于稀薄的物质直至粘稠的物质,也可用于特别大的作业宽度、大的物质流量和/或提高涂刷机的生产速度,尤其是在结构的截面尺寸比较小时也仍应能改善机体的机械强度。
结合前言所述的流动通道机体的特征这些目的这样来达到,即,流动总通道在第一分配点处过渡为两个平行和并列延伸、沿同向导引物质的分流动通道段,其中,在分配点前、在分配点处和在分配点后的区域内,流动沿直线或至少大体沿直线延伸。按本发明准确的平分分配尤其采取这样的措施办到,即,在分配点前、分配点处和分配点后的流动区由一整体上至少几乎直线的流动途径构成。按本发明至少为第一次流动分配在流动通道机体内规定直线的流动分配也是至关重要的。此流动分配与第一个后续的方向分岔和流动转向无关地进行。如已证实的那样,分配质量由于平行地流动分路和第一次紧接着的方向分岔而得到显著提高。当下游的一些级由传统的T形分路器构成时,在第一分配级内按本发明的物质分配自动导致显著改善沿宽度的分配。这种改善可在物质粘度差别很大、物质流量较大和作业宽度较大的情况下获得。在第一级和后续级之间的通道过渡区内,与先有已知的流动通道机体不同,可以设很小的壁厚或取代它设相应的较大的通道截面。在物质引入区可获得大的通道截面并因而达到大的输送容积,还允许尤其是用特别粘稠的正好还能流动的物质进行工作。此外业已证实,由于按本发明进行平行的流动分配,所以可以通过流动通道机体以与物质分配相反的流动方向非常有效地实施尤其是为清洗目的所规定的吸走物质或气体,在这种情况下通过平行的共同导引,改善了抽吸的均匀性。
通过一个最好直径约20-50mm的构成连接口的管接头流入的水状液态的任意粘度或气态性质的物质,在连续的分配级中可靠均匀地总是按准确平分地分配,亦即多重平分,其中,分流动在尤其是约2至5m的长度上延伸,也就是说彼此分开导引和伸展。在一种例如用于圆形刻板涂刷的涂刷装置中,分配长度的尺寸与幅宽并因而与印刷或作业宽度对应。沿作业宽度经多重平分地分配后的物质的流出,以形式上为均质和沿宽度均匀排出的物质层进行。至少达到基本上接近这样的层状、薄膜状或漫射状流出。涂刷物质的流出在压力极低的情况下进行,也就是说几乎没有压力,而且非常接近涂刷区。在压力下喷射状排出会引起涂刷缺陷。按本发明的流动通道机体还适用于清洗的目的,在这种情况下与涂刷物质不同,清洗液以高压流出。流动通道设计为即使为了排空流动通道系统和为了通过排出口区从涂刷区吸走物质和物质与水的混合物在流动反向运行时,仍能造成最佳的流动。此流动通道机体不仅适合于通过单纯地流过不同的物质用于自动清洗,而且也可利用于其他的清洗目的,例如用于清洗涂刷装置的零件,尤其是还可用于清洗圆形刻板。在完成清洗后,清洗液最好通过流过气体(压缩空气)除去。
合乎目的的是可以在此机体内加工或在机体外延伸的管道内设置直接连接在一个具有尤其是管接头或软管接头的端面连接口上的总流动导引通道和与之相连的进一步沿直线延长并具有两个直至机体纵向中央的平行通道段的流动途径。在此直线流动过程的途径上在机体端面与机体纵向中央之间的区域内,分割的平行通道段最好在途径的第一个三分之一内开始,但至少在途径的最后一个四分之一起始处开始。在一根管内的横截面中心最好设一尺寸准确设计的内壁,以便借助于此平分管构成两个分割的平行通道段。沿直线延伸的平分流动段最好包括具有相同流动截面尺寸和相同截面形状的平行通道段,它们沿机体横向分开并保持分开地从横向转向为沿180°相反的机体纵向。本发明的一种特别有利的设计在于,在平行于机体纵轴线延伸的狭窄的物质排出区,设具有相对于机体纵轴线横向延伸的倾斜的排出通道。最有利的是设置一横向于机体纵轴线倾斜布置的沿整个作业宽度延伸的排出缝,它的缝截面宽度最好在0.2至2.0mm范围内,以及排出缝相宜地也可以借助于一个装在流动通道机体外面的壁设置。
非常有利的是按本发明的流动通道机体流动通道横截面尤其在最后一个分配级内在物质排出区前和在物质排出区内以及必要时也包括排出缝的流动截面,它们的尺寸设计为使流出的涂刷物质实际上没有压力亦即基本上卸压后排出和在重力作用下流出,而用于清洗涂刷器零件的清洗液则在排出区前在压力下喷出,而且最好使喷出似乎是通过一个沿作业宽度延伸的漫射喷嘴产生的,在这种情况下产生一个沿作业宽度封闭的液体漫射,它在离排出口或离排出缝口约20至80mm距离处有强大的清洗力。与之相关地设一物质供给装置例如一台泵再加上始终最佳地输送的供给控制器,以避免在物质供给装置中的压力冲击。
                                                借助于下面对示意图中表示的实施例的说明,进一步介绍本发明特别合乎目的和有利的结构形式或设计可能性。其中:
图1按本发明的流动通道机体在与管体和长方六面体组合的结构形式中的纵向视图;
图2按本发明的流动通道机体和装入管体内的实心体的局部纵剖面俯视图,
图3和4按本发明的由多个分机体组成的流动通道机体横剖面图;
图5按图3的流动通道机体端部局部纵向视图;
图6至7a按本发明的流动通道机体局部纵向视图和截面图;以及
图8至10按本发明的流动通道机体局部纵向视图和截面图。
首先借助于图4说明处于在一种涂刷装置中的装入状态下的按本发明的流动通道机体1。
此流动通道机体1由一个具有连接口的连接通道机体101和另一些所谓附加通道机体102与103组成。各个机体最好互相表面贴合粘结在一起。连接通道机体101包括一根圆形截面的管子,管内设有两个分配级。管子构成支承梁管16,它沿装置的纵向在作业涂刷面81如织物幅等的涂刷宽度范围延伸。作业涂刷面在水平位置可沿作业方向B运动,与此同时它被放在一磁性工作台82上。配备有可磁化的材料92可借助于支架91摆动地固定着的形式上为涂刷器9的刮墨件,可将其涂刷边压靠在织物幅81上和必要时圆筒筛-圆形刻板80上。在支承梁管16下方延伸的支架91固定在一个沿作业宽度平行于管子纵轴线延伸的位于作业方向B后方的壁17上。支承梁管以其端部固定在没有进一步表示的涂刷机的支座中,在这种情况下连接通道机体101可绕一平行于纵轴线的机体轴线回转并在必要时可固定在此回转位置上。
在图1中所表示的按本发明的现在来详细说明的流动通道机体1,与其所带的板状或梁状的分机体15一起,也可在涂刷装置中利用来有利地作为支承梁装置。流动通道机体1包括一根由管140、141和142组成的管道14和整体的通道机体15。后者纵向沿其机体纵轴线10延伸。管道14设在通道机体15上部纵侧151的上方,借助于通道机体它与纵轴线平行地从一个端面一直延伸到机体纵向的中央。
管道14在端部有一具有矩形的最好正方形截面的总通道管140。输入管或输入软管143通过连接装置可连接在管140的端部连接口2上。在直管140的另一端密封连接地插入两根直的互相平行并列的分通道管141、142每根管141、142有有除壁厚外恰好一半管140的截面,亦即最好有管140正方形截面的一半。按本发明管140构成与分通道管141、142直线连接的流动总通道K1,分通道管141、142构成分流动通道K2a和K2b沿直线继续的段。按本发明平行流动分配的分配点T1在管141、142的端面齐平的入口截面处形成。从连接口2那里观察,此分配点T1设在机体端面与机体纵向中央之间的区域内管道14整个沿直线延伸长度的第一个三分之一的末端处。这意味着每根管141、142的直的长度两倍于流动总通道K1的长度。
半流动管141、142在机体纵向中央区分别通过一弯弧回转90°,以及,它们按相对于整体通道机体15中央横向平面M1镜像对称的配置通过法兰连接在此机体上。因此通道K2a和K2b通过加工在通道机体15内的通道延续,这些通道有与管140、141相同的截面积和相同的截面形状。在通道机体15内实现继续的流动分配。在紧接着通道K2a和K2b的与横向平面M1平行和垂直于机体纵轴线10的延伸段后,实施两个通道的另一次各自的方向转变,它们转90°成为沿180°背道而驰地平行于机体纵轴线10延伸的通道K2a和K2b的直段。
后续的分配级可按传统的方式设计。于是在分配点T3、T4处垂直于机体纵轴线10的通道段按一般的方式分路成后续分配级的两个T形臂段。其中,在同一个地点进行方向和流动的分路,因此与按本发明在第一级中规定的分配全然不同。通过连续地分配,物质流分割为所期望的通道的数量Z=2N,式中N是级数。在此分配系统末端处垂直于机体纵轴线10延伸的分流动通道的通道段,亦即在图1中通道K5的段,在通道机体15的纵向下侧152处通入物质排出口3中。在图1中例如在机体下侧设有16个排出口。
尤其在多级式分配中特别有利的是,在第一分配级下游的一个或多个分配级配备按本发明的分配器。在图1中针对第二个分配级表示了这一点。通道K2a和K2b在通道机体15内与其纵向轴线平行地延伸的直线段,在相关的分配点T2a或T2b过渡为分流动通道K3a1、K3a2或K3b1、K3b2的两个平行并列延伸的段。这些直线段分别借助于隔板40的一部分形成,隔板40在通道K2a、K2b直的延续段内延伸,在这种情况下,由此所形成的分流动通道段恰好有通道K2a、K2b的一半流动截面。因此按本发明此方向相同的直线的流动分配再次独立和分开地首先紧接着进行绕90°的方向改变到沿垂直于机体纵轴线10的方向,然后再一次地绕90°改变到沿平行于机体纵轴线10的方向。通道K3a1、K3a2和K3b1、K3b2在第一个弯曲段和紧接着此弯曲段的垂直于机体纵轴线10的直段中也都用隔板40分开。
借助于表示图4中按视图A-B的纵剖面的图2,说明按本发明分配结构的另一种实施形式。在支承梁管16内插入一个沿纵向和横截面在尺寸上均与管16相配的实体160,它最好密封和配合准确地粘结在管16中。在此内部机体160内设计并加工有按本发明分配的通道K1、K2a、K2b以及后续的分配级的通道K3。
引入管143端面装在具有圆形截面的连接口2中的连接装置内。从那里起,流动截面通过一扁平的凸的弯曲内表面变形为管16通道K1的一半圆形内截面。在流动总通道K1内,流动沿直的途径进行并到达分配点T1。分配点T1由隔板4的端边构成,隔板沿管子16的中心纵轴线10延伸并准确地平分流动总通道K1的半圆流动截面。因此在上部的第一和第二横截面象限内形成各具有四分之一圆形横截面的分流动通道K2a、K2b的沿直线延伸的段。沿流动方向从连接口2那里看,分配点T1设在通道K1、K2a和K2b的整个直的流动途径长度的第一个三分之一的末端。
由按图2的局部纵剖面图再结合按图4的横剖面图,可以看出,彼此靠近平行的通道段K2a、K2b过渡为这些通道在相对于方向垂直于机体纵轴线10的中央横向平面M1镜像对称的管子16的下半部内延伸的段,确切地说过渡为在用K2a+b表示的第三象限的横截面区内延伸的段。从分配点T1出发的直的通道段K2b沿流动方向汇入有圆形横截面的底部孔41内,因此流动偏转180°,它在象限K2a+b区域内沿管子纵向朝着有连接口2的端部方向回流。通道K2b位于管子16上部和回转180°后位于下部的段,有相同的四分之一圆形横截面。
通道K2a的从分配点T1出发的直线段这样过渡到象限K2a+b的横截面区内,即,它通过倾斜的底部对角通孔42通入通道K2a在管子16沿纵向另一个二分之一内的直线延伸段中。在象限K2a+b的区域内通道K2a、K2b沿180°反方向延伸的通道段有相同的长度。在它们的通道端头按传统的方式继续进行通道系统的分配。因此,在通过通路43流动转向90°后,过渡到一个具有相关的与纵轴线平行的通道K3的T形分配器中。由图4可见,通道K3在管子16的第四横截面象限区域内延伸。显然,采用所说明的管子16的横截面,分割在成为节省材料和重量轻的结构方式的同时还获得了支承梁16的特别高的结构强度。管子16的横截面内部或内部机体160的横截面,在管子16的部分纵向段内有用作通道的具有游离的象限区的十字形结构。通过在横截面内角处通道壁呈凹圆形,进一步提高了机体强度。
在90°转向后通道K3的通道端终止在管子16的壁中的通道孔44内,确切地说是在第四象限的外壳段内。为了继续进行流动分配,四个沿管纵向分布的第二分配级的通道44与五个后续的分配级连接。这五个传统类型的分配级都加工在附加通道机体102的壁内。附加通道机体在支承梁管16下方一直延伸到圆形刻板80的内壁区。
排出口3从口的中央到口的中央的间距尺寸如业已证明的那样最好为5至15mm。在作业宽度为1600mm时,通过按图4具有七级的分配,获得的分配尺寸为1600mm∶128=12.5mm。
由图4可见,排出口3通入一斜缝31,它沿作业宽度延伸并沿其纵向将它的缝口朝着在贴靠区90内的涂刷器9的方向敞开。在横剖面内缝31以一个缓斜的角对着涂刷面81的方向。业已证实,在横剖面内测量的缝宽(缝壁之间的距离)最好为0.5至1.5mm。业已证明,这一尺寸再有利地加上排出口分配尺寸在0.5至1.5mm范围内,当至少在由多重分配构成的分配系统的第一级设计有按本发明的流动分配和流动转向的情况下恰恰是很有利的。试验得出了有关输送量、粘度和输送功率差别很大时杰出的沿宽度分配的结果。
沿着朝涂刷器9倾斜方向的缝31的喷嘴长度最好在5至25mm范围内。
尤其是采用所指出的尺寸获得一种出人意外的极为有利的双重效果。一方面要涂刷的物质在倾斜的缝31的缝口处实际上垂直地在重力作用下向下排出在沿涂刷宽度均匀的封闭层内,而另一方面倾斜缝31对于清洗液构成了一种漫射喷嘴的型式,清洗物质借助于此漫射喷嘴沿缝的倾斜方向射在涂刷器上。一方面证实涂刷物质的出口特别有利的是在涂刷布设线前在约20至80mm范围内,以及另一方面证实,清洗液漫射的清洗作用在距离为20至80mm时能最佳地利用。
按本发明如图4所示的流动通道机体设有用于清洗目的的附加的通道系统。此通道系统包括通道K1、按本发明的平行流动分配和转向导引的通道K2a和K2b以及除此之外与通道K2a和K2b的端部连接的通道KR3,后者构成传统的T形通道分配级,下游还设有另一个具有通道KR4的T形通道分配级。第二和第三分配级的通道KR3和KR4加工在附加通道机体103内。附加通道机体103如附加通道机体102那样附加地装在支承梁管16上,在通道K2a和K2b的端部分别在管子16的壁内设一可关闭的孔45。当流动通道机体通过连接口2供入清洗液时,关闭的孔45打开,所以清洗液也可进入第二分配系统。八个通道KR4最好也终止在一个相对于涂刷物质排出区方向倾斜定向的加工在机体103内的纵向缝内。由于这种清洗液的缝式喷嘴,在排出区300范围内的通道机体102内表面能有利地得到清洗。
鉴于喷嘴效果和沿宽度的分配再加上按本发明的第一个分配级,表明清洗作用特别有利和有效。
在按图3的实施例中,支承梁管16设计为与按图2和4的实施例中的一致。但它设有附加通道机体102′,它覆盖着管子16的整个下侧。在附加通道机体102′内加工有三个具有传统的T形流动分配结构型式的分配级。管子16和机体102′最好通过粘结密封地互相拼合,通道K4、K5和K6在它们从那里加工在机体102′中的那一侧沿它的纵向长度借助于管子外壳覆盖。在图3中的支承梁管16相对于在图4中的结构转动成,使通道K3处于沿作业方向B在后面的壁17的所在区内。这种空间结构是有利的,以便在具有孔44的区域内与通道K4连通。
固定在支承梁管16上它的后方并围栏着分机体102′的纵侧壁17,一直延伸到圆形刻板80内表面附近。在它的下边缘区内设有用于可磁化的涂刷辊9的永久磁性的滑动和固定件91。
物质的流出区300设在附加通道机体102′的下侧,它在涂刷辊9上方相隔一定距离处。最后一个分配级的通道K7的末端终止在配属的倾斜小管32内。沿作业方向B观察,小管32从上向下倾斜地延伸,它们对准涂刷辊9靠在滑动和固定件91的接触区,而且垂直于管子16的机体纵轴线10。小管32的排出口沿作业方向B来看在涂刷辊9的前面。在这里借助于图4已说明的双重作用也是非常有利的。在物质涂刷时物质在重力作用下沿基本上垂直于涂刷面81的方向向下流并在涂刷辊9的前面形成物质储备。在清洗运作时斜置的小管形成一股斜的射流,借助于此斜的射流清洗涂刷辊上部和与构件91的接触区。业已证实特别有利的是倾斜小管32设有直径最好为3至6mm的相同排出口。同样还证实非常有利的是,这些口按分配尺寸为5至15mm排列成行。也可以取代这些小管在按图3的实施例中设置按图4所示的斜缝式通道。
图5表示在图3中所表示的流动通道机体1的局部视图C,确切地说只是流动通道机体1的端部。在那里,在附加通道机体102′上装有一个与通道K7连接的角形喷嘴33,它将清洗射流对准涂刷辊9的端部。
图6和7表示了一个流动通道机体1,它有一个具有正方形总横截面的机体部分150。沿着装置总长度延伸的机体部分150由两个具有相同横截面的矩形管150.1和150.2组成。在入口端此装置与前面已介绍过的实施例一致。因此,引入管143在连接口2处与和总长度相比为短段的总通道管140连接,以及,在管140出口处总流动在分配点T1分路为分流动通道K2a、K2b的平行和并列延伸的段。通道K2a直接连接在分配点T1处的直线段规定比通道K2b的平行段要短得多。为此目的,在每根管150.1、150.2内各装入一个形式上为密封塞的密封件18,沿着要分配的流动方向看,此密封件18直接位于管子150.1、150.2内有关的底部孔41、42的后面。从连接口开始测量,通道K2a的孔41位于装置总长度的第一个四分之一内,而通道K2b的孔42则位于装置总长度的第三个四分之一内。因此分流动通道K2a的短段与分流动通道的长段之间的长度差达到二分之一长度尺寸,大约相应于分配宽度V的一半。
由图7的局部纵向侧视图可以看出,孔41、42直接通入下一个分配级的通道K3a或K3b中。如上面曾介绍的,这一级和后面一些分配级均设在装置1下侧的一个通道机体151中。图7a局部表示排出口3的区域,分配一直进行到这一区域为止。
为构成通道K2a的短段最好配设一个带置换部分190的可调整的节流件19。在图6和7的实施例中节流件由一杆构成,它从与连接口2相对的装置端面11插入矩形管150.1内并以紧密的滑配合穿过密封件18平行于纵轴线的通孔。因此这种滑动连接是物质密封的。杆在端面11之外伸出一定的长度并设有一手柄,使杆的朝着分配点T1的自由端可在分配点T1与密封件18之间取任一位置。
如由图6和7的横截面图可见(这些横截面被表示在断开表示的机体部分150的各部分之间),节流件19的杆有圆形横截面。借助于这种圆柱杆可以削减在短的分流动通道段内的物质输送量,使得在此通道K2a的短段内和在长的分流动通道段K2b内有相同的物质输送量进入孔41、42中。也就是说杆-节流件19的自由端构成了位置可调的物质置换部分。它在矩形管150.1的横截面中央延伸。非常有利的是,在需要时也可借助于此节流杆有目的地规定对孔41、42作不相等的物质分配。这一设计的另一些优点在于,与具有等长的通道K2a、K2b的机体相比,在流量相同时这种流动通道机体可制成有较小的机体截面以及能更方便地适应不同的物质粘度。
图8至10涉及一种带封闭件13的实施例,封闭件装在分流动通道K2a的属于分配点T1的平行段内。封闭件13由一根圆杆构成,它的圆直径与矩形管150.1窄侧净宽一致。封闭件13装在一管连接段12中,管连接段将流动总通道管140与双管-机体部分150连接起来。
如图9和10所示,封闭件13从连接段12向外伸出,为此它穿过一个相关的通孔。通过操纵伸出的部分可以完全封闭矩形管150.1亦即分流动通道K2a的入口。而且直接在分配点T1处。
在此实施例中,连接段12的与杆-封闭件13的直径相应的壁的部分120夹紧地位于管140与机体部分150之间,在这种情况下此壁的部分120朝口2的方向构成了矩形管150.1、150.2彼此靠紧的壁的部分的延续段。
通道K2a的完全截止可特别有利地利用于特殊的印刷效果,例如用于旗帜染色,它们的一半应染不同的颜色。但另一方面封闭件13也可以相宜地利用来作为配料时的节流件,此时,如图9和10所示,它被置于一个位置,在这一位置下它只是局部关闭分流动通道K2a的入口截面。就这方面而言,封闭件13的配置还可以规定特别有利地与按图6和7的实施形式相结合,确切地说可以附加地或替代在那里所介绍的节流件19的配置。

Claims (25)

1.流动分配和转变装置(1),用于可流动和/或气态物质的流动分配和流动成形,包括一个沿纵向延伸的具有机体纵轴线(10)的机体和至少一个分配系统,在此系统中物质从导引物质聚合流动的流动总通道(K1)流到沿机体纵向排列的一排口(3)中,这些口配设于一个沿机体纵向延伸的狭窄的排出区(300),其中,流动总通道(K1)分路成两个在第一分配点(T1)处分配总流动的第一分配级的物质导引通道,以及在下游至少有另一个分配级,在此另一个分配级中,在前面的级的每个通道端所属的分配点处,各分路成两个分配流动并使流动转向为按反方向沿机体纵向的通道,其特征为:流动总通道(K1)在第一分配点(T1)处过渡为两个平行和并列延伸、沿同向导引物质的分流动通道(K2a、K2b)段,其中,在分配点(T1)前、在分配点(T1)处和在分配点(T1)后的区域内,流动沿直线或至少大体沿直线延伸。
2.按照权利要求1所述的装置,其特征为:沿要分配的流动的方向看,第一分配点(T1)设在至少大体沿直线流动过程的区域内最后一个四分之一区域开始前。
3.按照权利要求1所述的装置,其特征为:沿要分配的流动的方向看,第一分配点(T1)设在至少大体沿直线流动过程的区域内在第一个三分之一区域内。
4.按照权利要求1至3之一所述的装置,其特征为:分流动通道(K2a、K2b)的两个平行段有相同的流动裁面。
5.按照权利要求1至3之一所述的装置,其特征为:分流动通道(K2a、K2b)的两个平行段有相同的横截面形状。
6.按照权利要求1所述的装置,其特征为:通道(K1、K2a、K2b)构成至少大体沿直线流动过程的段设在至少一根从机体端面一直延伸到机体纵向中央的流动管道(14)内。
7.按照权利要求1所述的装置,其特征为:通道(K1、K2a、K2b)构成至少大体没直线流动过程的段在机体纵向中央区与具有另一些分配级的通道机体(15)连接。
8.按照权利要求1所述的装置,其特征为:通道(K1、K2a、K2b)构成至少大体沿直线流动过程的段设在一支承梁管(16)内。
9.按照权利要求1所述的装置,其特征为:设管道(14、16),通道(K1、K2a、K2b)的构成至少大体沿直线流动过程的段这样设在此管道内,即,在管道内插入一与管道平行地沿其长度的一部分延伸的隔板(4),借助于此隔板构成分流动通道(K2a、K2b)的两个平行段。
10.按照权利要求1所述的装置,其特征为:流动通道机体(1)由一个具有连接口(2)的连接通道机体(101)和至少一个附加的平行于纵轴线延伸的附加通道机体(102、103)组成,其中,每一个附加通道机体(102、103)至少有一个分配级;一个附加通道机体(102)设有一排口(3)。
11.按照权利要求1所述的装置,其特征为:平行和并列延伸并沿相同方向导引物质的通道段过渡为有关分流通道(K2a、K2b)的这样的通道段,即,它们相对于一个垂直于机体纵轴线(10)方向的横向面(M1)镜像对称地延伸。
12.按照权利要求1所述的装置,其特征为:在流动通道机体(1)内至少设有两个彼此分开的分配系统,其中一个分配系统规定用于沿宽度分配涂料,以及全部分配系统规定用于形成清洗液沿宽度的射流。
13.按照权利要求1所述的装置,其特征为:流动总通道(K1)和分流动通道(K2a、K2b)的段沿横截面延伸和沿纵向延伸地至少在流动通道分机体的横向尺寸和纵向尺寸内在空间上均匀分布。
14.按照权利要求13所述的装置,其特征为:至少一个下游级沿横截面延伸和沿纵向延伸地至少在流动通道分机体的横向尺寸和纵向尺寸内在空间上均匀分布。
15.按照权利要求13所述的装置,其特征为:机体横截面分成四个象限和为每个象限配设相同的通道截面。
16.按照权利要求1所述的装置,其特征为:在分配系统中按与第一分配级同样的方式至少设一个下游的分配级,它具有沿相同方向导引物质的分流动通道(K3a1、K3a2)的平行段。
17.按照权利要求1所述的装置,其特征为:最后的分配级的通道(K7)是紧密并列的具有直径为3至6mm的相同排出口的排出通道。
18.按照权利要求17所述的装置,其特征为:物质排出区通过至少一个横向于机体纵轴线(10)方向的倾斜定向的通道段构成,并且最后的分配级的通道(K7)是以相同截面的一排出口紧密相间地布置的排出通道。
19.按照权利要求18所述的装置,其特征为:沿流动通道机体(1)的作业长度至少连续地设一个倾斜定向的出口小管排。
20.按照权利要求18所述的装置,其特征为:沿流动通道机体(1)的作业长度设一个连续的斜缝(31)。
21.按照权利要求18所述的装置,其特征为:斜缝在横剖面内的缝宽为0.5至1.5mm。
22.按照权利要求1所述的装置,其特征为:两个分流动通道(K2a、K2b)的属于分配点(T1)的直线段长度不同。
23.按照权利要求22所述的装置,其特征为:一个通道(K2a)的较短的段在装置总长度的第一个四分之一内终止,另一个通道(K2b)的较长的段在装置总长度的第三个四分之一内终止。
24.按照权利要求22或23所述的装置,其特征为:在一个通道(K2a)的较短的段内设一可调整的节流件(18),用于影响流动阻力。
25.按照权利要求1所述的装置,其特征为:两个分流动通道(K2a、K2b)中至少一个是可关闭的。
CN96197686A 1995-10-17 1996-10-17 流动分配和转变装置 Expired - Fee Related CN1073476C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE29517100.6 1995-10-17
DE29517100U DE29517100U1 (de) 1995-10-17 1995-10-17 Strömungsteilungs- und -umformungskörper

Publications (2)

Publication Number Publication Date
CN1200057A CN1200057A (zh) 1998-11-25
CN1073476C true CN1073476C (zh) 2001-10-24

Family

ID=8014725

Family Applications (1)

Application Number Title Priority Date Filing Date
CN96197686A Expired - Fee Related CN1073476C (zh) 1995-10-17 1996-10-17 流动分配和转变装置

Country Status (8)

Country Link
US (1) US5992453A (zh)
EP (1) EP0853503B1 (zh)
CN (1) CN1073476C (zh)
AT (1) ATE192051T1 (zh)
BR (1) BR9610957A (zh)
DE (2) DE29517100U1 (zh)
ES (1) ES2146907T3 (zh)
WO (1) WO1997014511A1 (zh)

Families Citing this family (330)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1133718B1 (de) * 1998-11-20 2003-05-14 SEPIAtec GmbH Verfahren und vorrichtung zur regelung einzelner teilströme eines fördersystems für fluide medien
US6305884B1 (en) 1999-04-29 2001-10-23 The Regents Of The University Of California Rotary powder feed through apparatus
US6263918B1 (en) 1999-04-29 2001-07-24 The Regents Of The University Of California Multiple feed powder splitter
US6481453B1 (en) * 2000-04-14 2002-11-19 Nanostream, Inc. Microfluidic branch metering systems and methods
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
IT1319599B1 (it) * 2000-12-20 2003-10-20 Rosaldo Fare Testa di melt-blown e procedimento ad alimentazione controllata per laproduzione di fibrille di materiale polimerico
DE10100670A1 (de) * 2001-01-09 2002-08-14 Univ Braunschweig Tech Zuführvorrichtung für eine CVD-Anlage
ES2378144T3 (es) * 2001-05-17 2012-04-09 Amalgamated Research, Inc. Dispositivo fractal para aplicaciones de mezcla y de reactores
JP3694877B2 (ja) * 2001-05-28 2005-09-14 株式会社山武 マイクロ混合器
US20020186263A1 (en) * 2001-06-07 2002-12-12 Nanostream, Inc. Microfluidic fraction collectors
US6817554B2 (en) * 2001-08-14 2004-11-16 Northeastern University Fluid nanosplitter device
GB2386168A (en) * 2002-02-13 2003-09-10 Imp College Innovations Ltd Pipe networks
JP3794687B2 (ja) * 2002-08-23 2006-07-05 株式会社山武 マイクロ乳化器
DE10258261A1 (de) * 2002-12-13 2004-06-24 Saurer Gmbh & Co. Kg Spinnbalken
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
US7096885B2 (en) * 2003-08-29 2006-08-29 Renewability Energy Inc. Non-pressurized flow-splitting water supply system
DE102004008425B4 (de) * 2004-02-19 2011-12-29 Von Ardenne Anlagentechnik Gmbh Gasführungsanordnung in einer Vakuumbeschichtungsanlage mit einer längserstreckten Magnetronanordnung
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US20080087336A1 (en) * 2006-10-11 2008-04-17 Canon Kabushiki Kaisha Fluid-processing apparatus and fluid-processing system
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20100071614A1 (en) * 2008-09-22 2010-03-25 Momentive Performance Materials, Inc. Fluid distribution apparatus and method of forming the same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011031321A2 (en) 2009-09-10 2011-03-17 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
US8511889B2 (en) * 2010-02-08 2013-08-20 Agilent Technologies, Inc. Flow distribution mixer
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
HUP1100436A2 (en) * 2011-08-15 2013-02-28 Ecosolifer Ag Gas flow system for using in reaction chamber
KR101267464B1 (ko) * 2011-10-13 2013-05-31 세메스 주식회사 유체 분사 장치
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI470098B (zh) * 2013-02-01 2015-01-21 Adpv Technology Ltd Gas release device for coating process
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102152858B1 (ko) * 2013-03-12 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
SG11201608640QA (en) * 2014-05-16 2016-11-29 Applied Materials Inc Showerhead design
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP5847913B1 (ja) * 2014-11-06 2016-01-27 住友精密工業株式会社 熱交換器
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6189351B2 (ja) 2015-03-18 2017-08-30 株式会社東芝 流路構造
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11421947B2 (en) 2015-09-07 2022-08-23 Mitsubishi Electric Corporation Laminated header, heat exchanger, and air-conditioning apparatus
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6462613B2 (ja) * 2016-03-15 2019-01-30 株式会社東芝 分流構造
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
CN106410110B (zh) * 2016-11-07 2019-08-13 云南创能斐源金属燃料电池有限公司 用于金属燃料电池的液体分配器
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
WO2019073610A1 (ja) * 2017-10-13 2019-04-18 三菱電機株式会社 積層型ヘッダー、熱交換器、及び、冷凍サイクル装置
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102474847B1 (ko) * 2018-04-25 2022-12-06 삼성전자주식회사 가스 인젝터 및 웨이퍼 처리 장치
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US20210402668A1 (en) * 2018-09-27 2021-12-30 Vanderbilt University Multi-material printing device for energy storage and conversion applications
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
FR3096012B1 (fr) * 2019-05-17 2021-04-16 A Raymond Et Cie système de distribution d’un fluide pour véhicule, distributeur fluidique associé et procédé d’éjection de fluide utilisant d’un tel système
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20220250291A1 (en) * 2019-09-06 2022-08-11 Dow Global Technologies Llc Flexible film fluid-dispensing device
US11426740B2 (en) * 2019-09-20 2022-08-30 Daltile Corporation Adhesive splitter systems and methods of using the same
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
CN111997139B (zh) * 2020-08-25 2021-08-20 永嘉县真山园林工程有限公司 一种市政给水用具有调节功能的分流装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20230358451A1 (en) * 2020-10-21 2023-11-09 Mitsubishi Electric Corporation Distributor, heat exchanger and air conditioner
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113198656B (zh) * 2021-04-26 2022-03-15 东风延锋汽车饰件系统有限公司 喷胶设备的自动清洗装置及方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3102132A1 (de) * 1981-01-23 1982-08-26 Phoenix Ag, 2100 Hamburg Vorrichtung zum herstellen eines duennen beschichtungsfilmes auf gewebe
CN1041892A (zh) * 1988-10-18 1990-05-09 箭牌糖类有限公司 流体分配杆
US5243841A (en) * 1990-08-18 1993-09-14 Vepa Aktiengesellschaft Device for applying a film of liquid film to a web of goods
WO1994017927A2 (de) * 1993-02-12 1994-08-18 Johannes Zimmer Vorrichtung zum zuführen einer substanz an eine auftragungsstelle, verfahren zur substanzzuführung sowie zum reinigen der vorrichtung

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2734224A (en) * 1956-02-14 winstead
DE2338458A1 (de) * 1973-07-28 1975-02-06 Karl Hehl Mehrfachduese einer spritzgiessmaschine
US4017240A (en) * 1975-11-19 1977-04-12 Rubbermaid Incorporated Die for extruding sheet material
DE9218012U1 (de) * 1992-04-07 1993-08-05 Eduard Küsters Maschinenfabrik GmbH & Co KG, 47805 Krefeld Vorrichtung zum Auftragen eines fluiden Behandlungsmediums auf eine laufende Bahn

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3102132A1 (de) * 1981-01-23 1982-08-26 Phoenix Ag, 2100 Hamburg Vorrichtung zum herstellen eines duennen beschichtungsfilmes auf gewebe
CN1041892A (zh) * 1988-10-18 1990-05-09 箭牌糖类有限公司 流体分配杆
US5243841A (en) * 1990-08-18 1993-09-14 Vepa Aktiengesellschaft Device for applying a film of liquid film to a web of goods
WO1994017927A2 (de) * 1993-02-12 1994-08-18 Johannes Zimmer Vorrichtung zum zuführen einer substanz an eine auftragungsstelle, verfahren zur substanzzuführung sowie zum reinigen der vorrichtung

Also Published As

Publication number Publication date
ES2146907T3 (es) 2000-08-16
US5992453A (en) 1999-11-30
WO1997014511A1 (de) 1997-04-24
ATE192051T1 (de) 2000-05-15
EP0853503A1 (de) 1998-07-22
CN1200057A (zh) 1998-11-25
DE29517100U1 (de) 1997-02-13
BR9610957A (pt) 1999-07-13
DE59605066D1 (de) 2000-05-31
EP0853503B1 (de) 2000-04-26

Similar Documents

Publication Publication Date Title
CN1073476C (zh) 流动分配和转变装置
CN103906608A (zh) 浆料分配系统和方法
EP1497043B1 (en) Nozzle and method for dispensing controlled patterns of liquid material
CN1152750C (zh) 分裂输出的粘接剂喷嘴装置
CN102596426A (zh) 用于将两种不同的粘合剂从单个计量装置或施加器同时分配到同一基板上的计量系统
CN109641233A (zh) 具有分流器板的涂覆器
CN102686321A (zh) 二组分液体分配器枪和系统
JPH01124668A (ja) 長い貯液部用液体供給装置
US7578882B2 (en) Module, nozzle and method for dispensing controlled patterns of liquid material
FI57631C (fi) Saett och anordning foer tvaosidig bestrykning av en pappersbana
US5129356A (en) Fluid applicator
CN115780179A (zh) 涂胶机构及其胶层涂覆装置
KR101373783B1 (ko) 피혁용 오토스프레이 장치
CN103832045B (zh) 将丝线引导并粘结到基底的方法
JPH11309508A (ja) 被圧延材のスケ―ルを除くための装置
JPS59111852A (ja) 流動性の媒体を所定の幅で一様に若しくは規則的に分割するための装置
US6340122B1 (en) Spreader for spreading a fluid, such as an adhesive
ITBO20000090A1 (it) Barra per l' erogazione di miscela fluida detergente negli apparati per la pulitura automatica dei cilindri delle macchine da stampa .
EP0916492B1 (en) Device for supplying liquid cleaner
BR102021015157A2 (pt) Pulverizador de campo agrícola e dispositivo de pulverização para um pulverizador de campo agrícola
KR102222101B1 (ko) 돌출형 차선 도색장치
JPH0683012A (ja) 感光フィルムの処理装置
ITMI940225A1 (it) Procedimento e dispositivo per l'applicazione di una vernice in polvere in un impianto di verniciatura a polvere
EP2550140B1 (en) Sheet forming apparatus for use with doctor blade
CN207204507U (zh) 流道分支模块以及溢流装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20011024

Termination date: 20091117