CN104685095A - 用于制造太阳能电池的双掩模装置 - Google Patents

用于制造太阳能电池的双掩模装置 Download PDF

Info

Publication number
CN104685095A
CN104685095A CN201380026127.4A CN201380026127A CN104685095A CN 104685095 A CN104685095 A CN 104685095A CN 201380026127 A CN201380026127 A CN 201380026127A CN 104685095 A CN104685095 A CN 104685095A
Authority
CN
China
Prior art keywords
mask
wafer
devices
interior
loader
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380026127.4A
Other languages
English (en)
Other versions
CN104685095B (zh
Inventor
T·布卢克
I·拉奇福特
V·沙阿
A·里波萨恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intevac Inc
Original Assignee
Intevac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intevac Inc filed Critical Intevac Inc
Publication of CN104685095A publication Critical patent/CN104685095A/zh
Application granted granted Critical
Publication of CN104685095B publication Critical patent/CN104685095B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • H01L31/1892
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6734Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders specially adapted for supporting large square shaped substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • H01L31/18
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electron Beam Exposure (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)
  • Electromechanical Clocks (AREA)

Abstract

用于在处理期间支撑基片的装置,其具有晶片承载器,所述晶片承载器具有用于支撑基片并将基片限制到预定位置的基座。内掩模被构造用于放置在基片的顶部上,所述内掩模具有用于掩蔽基片的未被处理的部分、但暴露基片的剩余部分以用于处理的开口图案。外掩模被构造用于放置在内掩模的顶部上,所述外掩模具有暴露内掩模的具有所述开口图案的那部分、但覆盖内掩模的外周的开口。

Description

用于制造太阳能电池的双掩模装置
相关申请
本申请要求享有2012年4月19日提交的美国临时申请序列号61/635,804和2012年4月26日提交的美国临时申请序列号61/639,052的优先权益,这些申请的全部公开内容通过引用被合并于此。
技术领域
本申请涉及掩蔽制造,诸如在太阳能电池的制造中利用掩模的掩蔽制造。
背景技术
在太阳能电池的各个制造阶段期间,可能期望的是使用掩模以便遮挡太阳能电池的一些部分免受特定的制造过程。例如,掩模可以被用于触点的形成或用于边缘排除以便防止电池的分流(shunting)。也即,对于在正面和背面上具有触点的电池来说,用于形成触点的材料可能被沉积在晶片的边缘上并且分流该正面和背面触点。因此,至少在该正面或背面触点的制造期间使用掩模来排除电池的边缘是可取的。
如同另一例证,对于硅太阳能电池的制造而言,在背表面上沉积覆层金属(blanket metal)以充当反光镜和电导体是值得期望的。该金属通常为铝,但该覆层金属可以是出于多个理由(诸如成本、传导性、可焊性、等等)而被使用的任何金属。沉积的膜厚度可以从非常薄(例如,大约10nm)到高达非常厚(例如,2-3um)。然而,有必要防止覆层金属包裹在硅晶片的边缘周围,因为这将产生太阳能电池的前表面和后表面之间的阻性连接,即,分流。为防止这种连接,晶片背面边缘上的排除区域可以被形成。该排除区域的典型尺度为小于2mm宽,但优选是尽可能细地形成该排除区域。
产生该排除区域的一种方法是通过使用掩模;然而,利用掩模有着很多挑战。由于太阳能产业的高度竞争的性质,掩模必须制造起来很便宜。而且,由于太阳能制造装备的高产出量(通常每小时1500-2500件电池),掩模必须在高产量的制造中使用起来快速而容易。而且,由于掩模是被用来防止膜沉积在晶片的某些部分上的,因此其必须能够吸收和容纳沉积物积累。此外,由于膜沉积是在升高的温度下完成的,因此该掩模必须能够在升高的温度下(例如,高达350℃)正确地发挥作用,同时仍能精确地维持排除区域的宽度,同时适应因热应力而致的基片翘曲。
发明内容
下列发明内容被包括以便提供对本发明的一些方面和特征的基本理解。此发明内容不是对本发明的宽泛概述,并且同样地,其并不意在特别地识别本发明的关键或关键性要素、或者描绘本发明的范围。其唯一的目的是作为在下面呈现的更详细说明的序言而以简化的形式呈现本发明的一些概念。
本发明的各实施方式通过使用双掩模装置而解决了使用掩模的以上问题。两部分式掩蔽系统被构造用于掩蔽半导体晶片,并且包括:内掩模,其由平坦的金属片构成,所述金属片具有暴露晶片的将被处理的一些部分的孔口;和,外掩模,其被构造用于放置在所述内掩模上面并掩蔽所述内掩模,所述外掩模具有尺寸和形状类似于晶片的尺寸和形状的敞开式切口,所述外掩模具有大于所述内掩模厚度的厚度。掩模框架可以被构造成支撑所述内掩模和外掩模,使得所述外掩模被夹在所述掩模框架和所述内掩模之间。在其中所述双掩模装置被用于边缘隔离的一个实例中,所述内掩模中的敞开式切口具有略小于晶片的尺寸,使得当所述内掩模被置于晶片上时,所述内掩模覆盖晶片的外周边缘,并且所述外掩模中的敞开式切口略小于所述内掩模中的敞开式切口。顶部框架承载器可以被用来保持所述内掩模和外掩模并且使所述内掩模和外掩模固定至所述晶片基座。
上掩模或外掩模可以由薄的(例如,大约0.03”)铝、钢或其它类似材料制成,并且被构造成与基片承载器配合。内掩模由非常薄的(例如,大约0.001到0.003”)平坦钢片、或其它磁性材料制成,并且被构造成被嵌套在所述外掩模内。
根据另外的实施方式,提供了用于在处理期间支撑晶片的装置,其包括:晶片承载器或基座,其具有凸起式框架,所述凸起式框架具有用于在晶片外周的周围支撑晶片并将晶片限制至预定位置的凹部;内掩模,其被构造用于放置在所述凸起式框架的顶部上,所述内掩模具有孔口配置,所述孔口配置被构造成掩蔽晶片的一部分并暴露晶片的剩余部分;以及,外掩模,其被构造用于放置在所述凸起式框架上面在所述内掩模的顶部上,所述外掩模具有被构造成部分地覆盖所述内掩模的单一开口。顶部框架承载器可以被用来保持所述内掩模和外掩模并且使所述内掩模和外掩模固定至所述晶片基座。
复数个磁体被设置在所述基座中并且完全在所述框架周围或者完全在所述基座的整个表面的下方并且直接在晶片下面以N-S-N-S-N的极性交替。所述外掩模和内掩模被设计成仅通过磁性力而被保持至所述框架,以便允许容易和快速地装载和卸载基片。
掩模组件可从所述晶片承载器和支撑框架移除以便将基片装载至所述承载器中。所述外掩模和内掩模都作为所述掩模组件的一部分而被升起。一旦晶片位于所述承载器上在所述晶片凹穴中,所述掩模组件就被向下降回到所述承载器上。所述内掩模叠盖住所述晶片的顶表面。所述承载器框架中的各磁体将所述内掩模向下牵引至与所述基片密切接触。这在晶片的边缘上形成了紧密的顺应性密封。所述外掩模被设计为防止在薄的顺应性内掩模上沉积。如上面所阐明的,沉积过程可能导致内掩模发热,从而导致该掩模翘曲且松开与晶片的接触。如果该掩模松开与晶片的接触,那么金属膜将沉积在基片晶片的表面上的排除区域中。所述凹穴和由各磁体产生的摩擦力保持着所述基片和掩模免于在传输和沉积期间相对于彼此运动,并且所述外掩模防止膜沉积在所述内掩模上并防止所述内掩模翘曲。
所述掩模组件可通过使用真空承载器交换器而从具有所述承载器的系统中被周期性地移除。所述承载器交换器为具有承载器传输机构的便携式真空外壳。其允许各承载器“在运行中(on the fly)”被交换,而无需停下该系统的连续操作。
附图说明
被并入本说明书且构成本说明书的一部分的附图,例证了本发明的各实施方式,并且与本说明书一起,用来解释和示出本发明的原理。这些图意在以图解的方式示出各示例性实施方式的主要特征。这些图不意在描绘各实际实施方式的每个特征及所描绘的元件的相对尺寸,并且未按比例绘制。
图1示出了根据一个实施方式的多晶片承载器,其并非被构造用于掩模处理。
图2A-2E示出了根据各个实施方式的具有用于双掩模的装置的多晶片承载器的视图。
图3示出了外掩模的实施方式,内掩模被嵌套在其中。
图4为根据一个实施方式的框架、外掩模和内掩模的放大部分的截面。
图4A示出了另一实施方式,其可被用于例如在晶片的背面上形成触点图案。
图5示出了用于在边缘隔离中使用的内掩模的实施方式。
图6示出了单一晶片承载器的实施方式。
图7示出了外掩模的实施方式,该图是从下侧观察的。
图8示出了用于支撑内掩模和外掩模的顶部框架的实施方式。
图9示出了用于在晶片中产生多个孔的内掩模的实施方式。
图10示出了用于与图9的掩模一起使用的基座的实施方式。
图10A示出了呈具有弹簧加载的对正销的静电卡盘形式的基座的实施方式。
具体实施方式
尽管在传统的半导体制造中各晶片通常被独立地处理,但在太阳能制造中多个晶片被同时地制造。为简单起见,下面将针于三个晶片的同时处理进行描述;然而,应意识到的是,各实施方式可以扩展至被同时处理的任何数目的晶片。
图1示出了根据一个实施方式的多晶片承载器,其并非被构造用于掩模处理。也即,在太阳能电池的制造中,一些处理步骤不需要对晶片进行掩蔽。在这些情况中,图1的承载器可以被使用。实现双掩模装置的承载器将在下面参照其余各图进行描述。因此,在各个实施方式中,不需要掩蔽的处理步骤将使用图1的承载器被执行,而需要掩蔽的处理步骤将使用诸如其余各图中所示的那些承载器被执行。如图1中所示,根据该实施方式的多晶片承载器的结构相当简单和廉价。尽管在图1中该承载器被显示为被构造用于传输三个晶片,但应意识到的是该承载器可以被构造用于不同数目的晶片。而且,应意识到的是每个处理室可以被构造成同时容纳若干个承载器,从而同时地处理多个承载器上的多个晶片。
图1的承载器100由形成基座(susceptor)105的简单的板构成,所述基座例如由氮化铝制成,所述基座由陶瓷框架或陶瓷条110支撑。陶瓷框架110改善了基座105从该室的剩余部分的热绝缘。在每个晶片120的下方设置一个升降器板115,使得晶片可以被从基座105升起。传输轨道125被设置在框架110的每一侧上,以便使能够贯穿该系统传输承载器。
当对晶片的处理需要使用掩模时,各掩模可以被单独地放置在每个晶片的顶部上,或者可以形成一个掩模以便同时覆盖所有的三个晶片。掩模可以例如利用磁体被保持在位。然而,为了精确的处理,掩模必须被制得非常薄,并且因此在处理期间可能由于热应力而变形。此外,薄的掩模可能迅速地收集沉积物并且该沉积物可能妨碍掩模的精确放置和掩蔽。因此,使用根据下述各实施方式的双掩模装置将是有利的。
图2A-2E示出了根据各个实施方式的具有用于双掩模的装置的多晶片承载器的视图。图2A示出了具有双掩模装置的多晶片承载器,其中该掩模装置处于降下的位置使得内掩模处于与晶片的密切物理接触;图2B示出了具有双掩模装置的多晶片承载器,其中该掩模装置处于升高的位置从而使得能够更换晶片;图2C示出了具有双掩模装置的多晶片承载器,其中晶片升降器被包括以用于装载/卸载晶片;图2D示出了具有双掩模装置的多晶片承载器的局部截面,其中该掩模装置和晶片升降器处于升高的位置;并且图2E示出了具有双掩模装置的多晶片承载器的局部截面,其中该掩模装置和晶片升降器处于降下的位置。
参照图2A,多晶片承载器,也被称作承载器支座200,具有三个单独的单晶片承载器或基座105,它们通过例如由陶瓷制成的基座框架或复数个条110被支撑。每个单晶片承载器105被构造用于将单一晶片与双掩模装置保持在一起。在图2A中,双掩模装置处于被降下的位置中,但没有晶片被置于任一个承载器中,以便暴露承载器的结构。在图2B中,双掩模装置被显示处于被升起的位置中,同样没有晶片处于任一个承载器中。在图2A-2E的实施方式中,升降器215被用来升起和降下双掩模装置;然而,为了较低的成本和较少的复杂性,升降器215可以被取消并且双掩模装置可以被手动地升起。如在图1中一样,传输轨道225被设置在框架210的每一侧上,以便使得能够贯穿该系统传输承载器200。
单晶片承载器205中的每一个具有基部230(在图2B中可见),该基部具有带凹部235的凸起式框架232以便支撑通过外周而被悬置的晶片。带有框架232的基部230形成位于悬置的晶片下方的凹穴240,该凹穴有利于俘获破碎的晶片碎块。在一些实施方式中,框架232可从基部230分离。外掩模245被构造成被安装在框架232上,以便覆盖框架232并覆盖内掩模的外周,但暴露内掩模的与晶片相对应的中央部分。这通过图4的实施方式中的截面图被例证。
在图4中,基部或基座405具有带凹部432的凸起式框架430,其在晶片的外周处支撑着晶片420。带有框架430的基部405形成凹穴440,并且晶片被悬置在凹穴上方。一系列磁体434被定位在凸起式框架430内部,以便环绕晶片420的外周。在一些实施方式中,尤其是用于高温操作的实施方式中,磁体434可以由钐钴(SmCo)制成。内掩模450被定位在凸起式框架430和晶片420的顶部上,并且由各磁体434保持在位,使得其物理接触晶片。外掩模445被放置在内掩模450上面并且物理接触内掩模450,使得其覆盖内掩模450的外周,除了内掩模的被设计用于对晶片进行处理的那个区域以外。外掩模245的实例被示于图3中,在本实例中外掩模由折叠的铝片制成,其中内掩模除了小的外周边缘452以外被外掩模覆盖,因为本实例是用于边缘分流隔离处理的。用于边缘分流隔离的内掩模的实例在图5中被示出,其基本上是具有孔口的平坦金属片,该孔口的尺寸和形状如同晶片的尺寸和形状,除了其比晶片的尺寸略小(例如小1-2mm)以外。在图4的实施方式中,掩模框架436被设置成使能够支撑内掩模和外掩模并从承载器升起内掩模和外掩模。在这种构造中,外掩模被夹在掩模框架436和内掩模450之间。
图4A示出了另一实施方式,其可被用于例如在晶片的背面上形成触点图案。在本实施方式中,基座形成顶部平台以在晶片的整个表面上支撑晶片。各磁体434被埋置在基座顶表面的下方遍布基座的整个区域。内掩模450覆盖晶片420的整个表面并且根据触点设计而具有多个孔。
转回至图2A-2E,升降器215可以被用来将外掩模连同内掩模一起举起。而且,晶片升降器252可以被用来将晶片从框架230升起,使得该晶片可以使用机械臂而被用于处理的新晶片所代替。然而,升降器215和252可以被取消并且升起各掩模以及更换晶片的操作可以改为被手动地完成。
在上面参照图4所描述的实施方式中,承载器在晶片的外周边缘上支撑着晶片,使得晶片被悬置。形成于晶片下方的凹穴俘获破碎的晶片碎块并且防止沉积材料的绕回。另一方面,在图4A的实施方式中,晶片遍及其整个表面而被支撑。掩模组件被降低在位以用于溅射或其它形式的处理,并且被手动地或机械地升起以用于装载和卸载晶片。承载器上的一系列磁体帮助将内掩模固定在位且与晶片紧密接触。在反复使用之后,外掩模和内掩模可以被更换,而承载器组件的其余部分可以被重新使用。框架210,也被称作掩模组件边条,可以由低热膨胀材料制成,诸如氧化铝或钛。
根据以上的实施方式,内掩模建立起与基片的密切的无间隙接触。外掩模保护着内掩模、承载器和框架免于被沉积材料。在所示的实施方式中,外掩模和内掩模的开口呈伪方形(pseudo-square)的形状,适合于在边缘分流隔离工艺期间应用至单晶太阳能电池。在其它工艺期间内掩模具有某些孔口布置,而外掩模具有伪方形形状的孔口。伪方形形状是其拐角根据从中切下晶片的圆锭而被切掉的方形。当然,如果多晶的方形晶片被使用,那么外掩模和内掩模的开口也将是方形的。
图6示出了单一晶片承载器605的实施方式。晶片以其外周搁在凹部632上。以虚线示出的磁体634,在晶片周围被设置在承载器内部。对正销660被用来将外掩模对齐到承载器605。外掩模的实施方式被示于图7中,该图是从下侧观察的。外掩模745具有与承载器605的对正销660相对应的对正孔或凹部762。
图8示出了被用来保持外掩模和内掩模并将这些掩模固定至基座的顶部框架836的实施方式。顶部框架836可以通过例如由两根横向条864保持在一起的两根纵向条862而制成。外掩模被保持在凹穴866内部。对正孔868被设置以便将顶部框架对齐至基座。
图9示出了具有例如被设计用于在晶片上制作多个触点的孔图案的内掩模的实例。这种内掩模可以与示于图10中的基座一起被使用,在图10中各磁体1034被分布在晶片表面的下方遍及整个区域。这些磁体以交替的极性被定向。在本实施方式中,在基座中没有必要具有凸起式框架,但其反而可以被形成为平坦的平台,如在图10A的实例中所示。
图10A示出了呈静电卡盘(ESC)1080形式的基座1005的实施方式。在本实施方式中,ESC 1080的顶部为平坦的高台,并且没有凸起式框架且没有用于捕获破碎的基片碎块的凹穴。此外,在本实施方式中,弹簧加载的对正销1082被设置以便使得能够精确对正基片120。当掩模被放置在基片上时,其压缩对正销1082以便平放在基片上。这些对正销仅在两侧上被设置:在一侧上有一个销并且在以与第一侧成90度定向的另一侧上有两个销。于是基片可以被推动抵靠这些销以便对正基片。
如由上述的各实施方式可以被理解的,内磁性掩模应当是薄的,因而其是柔性的并且将顺应基片表面。基片保持器可以是框架、静电卡盘、平坦的板等等,只要其具有埋置在基片下方以保持内掩模与基片接触的磁体即可。这些磁体将沿着用于开放区域掩模(诸如仅掩蔽晶片的外边缘以用于边缘隔离的掩模)的掩模开口。对于具有遍及基片表面的孔的掩模,这些磁体将遍及该掩模的整个区域在该掩模下方成阵列。双掩模装置可被用于各种工艺,诸如,沉积,注入,RIE处理,等等。例如触摸屏可以通过覆盖沉积ITO继之以RIE处理以便穿过掩模形成ITO图案而被制成。
尽管本发明已在具体材料和具体步骤的示例性实施方式方面进行论述,但本领域的技术人员应理解的是,这些具体实例的变体可以被做出和/或被使用,并且这些结构和方法将根据由所描述和示出的实践给予的理解以及对操作的论述而得出,从而便于在不偏离由所附的权利要求限定的本发明的范围的情况下可以做出的修改。

Claims (28)

1.用于掩蔽半导体晶片的两部分式掩蔽系统,其包括:
内掩模,其由平坦的金属片构成,所述金属片具有依照将被赋予晶片的期望图案而在其中切出的至少一个孔口,使得当所述内掩模被置于晶片上时所述内掩模覆盖晶片的一些部分;和,
外掩模,其被构造用于放置在所述内掩模上面并掩蔽所述内掩模,所述外掩模具有尺寸被设计成覆盖所述内掩模外周边缘的敞开式切口,所述外掩模具有大于所述内掩模厚度的厚度。
2.如权利要求1所述的两部分式掩蔽系统,其中内掩模的所述孔口被设计成仅覆盖晶片的外周边缘。
3.如权利要求1所述的两部分式掩蔽系统,其中所述内掩模包括多个孔口,所述多个孔口被设计成在晶片的一个表面上产生重复图案。
4.如权利要求1所述的两部分式掩蔽系统,其中所述内掩模由磁性材料制成。
5.如权利要求1所述的两部分式掩蔽系统,其中内掩模具有0.001到0.003英寸的厚度。
6.如权利要求1所述的两部分式掩蔽系统,其中所述外掩模由磁性材料制成。
7.如权利要求1所述的两部分式掩蔽系统,其中所述外掩模由铝制成。
8.如权利要求1所述的两部分式掩蔽系统,其中所述内掩模由钢制成。
9.如权利要求1所述的两部分式掩蔽系统,还包括掩模框架,其被构造成支撑所述内掩模和外掩模,使得所述外掩模被夹在所述掩模框架和所述内掩模之间。
10.用于在处理期间支撑晶片的装置,其包括:
晶片承载器,其具有用于支撑晶片的平台;
内掩模,其被构造用于放置在晶片的顶部上,所述内掩模具有用于掩蔽晶片的一些部分并暴露晶片的剩余部分的开口图案;
外掩模,其被构造用于放置在所述承载器上面在所述内掩模的顶部上,所述外掩模具有被构造成部分地覆盖所述内掩模的开口。
11.如权利要求10所述的装置,其中所述内掩模由平坦的金属片构成,所述金属片具有尺寸略小于所述晶片的敞开式切口,使得当所述内掩模被置于所述晶片上时所述内掩模覆盖所述晶片的外周边缘。
12.如权利要求11所述的装置,其中所述内掩模由钢制成。
13.如权利要求11所述的装置,其中内掩模具有0.001到0.003英寸的厚度。
14.如权利要求10所述的装置,还包括多个磁体,其被埋置在所述承载器中并被构造成将所述内掩模牵引至与所述晶片接触。
15.如权利要求14所述的装置,其中所述外掩模由磁性材料制成以用于从所述磁体分流磁场并用于保持所述外掩模与所述内掩模接触。
16.如权利要求10所述的装置,其中所述外掩模由铝制成并且以物理接触地搁在所述内掩模的顶部上。
17.如权利要求10所述的装置,还包括承载器支座,其被构造用于同时支撑多个晶片承载器,每个晶片承载器均具有相应的内掩模和外掩模组件。
18.如权利要求17所述的装置,其中所述承载器支座包括保持所述多个晶片承载器的陶瓷条。
19.如权利要求17所述的装置,其中所述承载器支座还包括轨道,其被构造用于传输各晶片承载器通过处理系统。
20.如权利要求17所述的装置,其中所述承载器支座还包括掩模升降器,其被构造用于从所述晶片承载器升起所述外掩模和内掩模。
21.如权利要求17所述的装置,其中所述承载器支座还包括晶片举升销,其被构造用于从各晶片承载器升起晶片。
22.如权利要求10所述的装置,其中所述外掩模和内掩模被构造成仅通过磁性力而被保持至所述承载器,以便允许容易和快速地装载和卸载晶片。
23.如权利要求10所述的装置,其中所述承载器包括基座,所述基座具有带凹部的凸起式框架,所述框架限定出位于晶片下面的凹穴,使得晶片通过搁在所述凹部上的晶片外周而被悬置在所述凹穴上面。
24.如权利要求10所述的装置,其中所述承载器包括对正销并且所述外掩模具有相应的对正凹部。
25.如权利要求10所述的装置,其中所述外掩模包括折叠的铝片。
26.如权利要求14所述的装置,其中所述多个磁体由钐钴制成。
27.如权利要求10所述的装置,还包括掩模框架,其被构造成支撑所述内掩模和外掩模,使得所述内掩模被夹在所述掩模框架和所述外掩模之间。
28.如权利要求12所述的装置,其中所述磁体完全在所述框架周围以N-S-N-S-N的极性交替。
CN201380026127.4A 2012-04-19 2013-04-19 用于制造太阳能电池的双掩模装置 Expired - Fee Related CN104685095B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261635804P 2012-04-19 2012-04-19
US61/635,804 2012-04-19
US201261639052P 2012-04-26 2012-04-26
US61/639,052 2012-04-26
PCT/US2013/037464 WO2013159050A1 (en) 2012-04-19 2013-04-19 Dual-mask arrangement for solar cell fabrication

Publications (2)

Publication Number Publication Date
CN104685095A true CN104685095A (zh) 2015-06-03
CN104685095B CN104685095B (zh) 2017-12-29

Family

ID=49379018

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380026127.4A Expired - Fee Related CN104685095B (zh) 2012-04-19 2013-04-19 用于制造太阳能电池的双掩模装置

Country Status (9)

Country Link
US (1) US9525099B2 (zh)
EP (1) EP2839052A4 (zh)
JP (1) JP6243898B2 (zh)
KR (1) KR102104688B1 (zh)
CN (1) CN104685095B (zh)
MY (1) MY167662A (zh)
SG (2) SG10201608512QA (zh)
TW (1) TWI518839B (zh)
WO (1) WO2013159050A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9502276B2 (en) 2012-04-26 2016-11-22 Intevac, Inc. System architecture for vacuum processing
US9525099B2 (en) 2012-04-19 2016-12-20 Intevac, Inc. Dual-mask arrangement for solar cell fabrication
US9543114B2 (en) 2014-08-05 2017-01-10 Intevac, Inc. Implant masking and alignment system with rollers
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
CN109930107A (zh) * 2017-12-19 2019-06-25 上海和辉光电有限公司 一种张网固定结构和张网固定方法
CN110320741A (zh) * 2018-03-28 2019-10-11 佳能株式会社 用于形成自适应层的装置及其使用方法
CN110395570A (zh) * 2018-04-24 2019-11-01 君泰创新(北京)科技有限公司 电池片上下料设备及其盖板错位检测装置
CN114258436A (zh) * 2019-08-16 2022-03-29 朗姆研究公司 空间可调沉积以在晶片差异弯曲中进行补偿

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10679883B2 (en) * 2012-04-19 2020-06-09 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
US9694990B2 (en) * 2012-06-14 2017-07-04 Evatec Ag Transport and handing-over arrangement for disc-shaped substrates, vacuum treatment installation and method for manufacture treated substrates
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9399827B2 (en) * 2013-04-29 2016-07-26 Applied Materials, Inc. Microelectronic substrate electro processing system
CN104131252A (zh) * 2013-05-02 2014-11-05 上海和辉光电有限公司 提高封装成膜均匀性的方法及装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
SG11201606084RA (en) 2014-01-27 2016-08-30 Veeco Instr Inc Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
WO2015127191A1 (en) * 2014-02-20 2015-08-27 Intevac, Inc. System and method for bi-facial processing of substrates
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP2017533998A (ja) * 2014-11-17 2017-11-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 被覆処理及びウェブ被覆設備用の分離マスクを備えるマスキング装置
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TR201820029T4 (tr) * 2015-02-13 2019-02-21 Oerlikon Surface Solutions Ag Pfaeffikon Döner simetrik iş parçalarının tutulmasına yönelik mıknatıslı araçlar içeren bağlama aygıtı.
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
MY190638A (en) * 2015-10-01 2022-04-29 Intevac Inc Wafer plate and mask arrangement for substrate fabrication
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
JP6749275B2 (ja) * 2017-03-31 2020-09-02 芝浦メカトロニクス株式会社 アウターマスク、プラズマ処理装置、およびフォトマスクの製造方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN207425825U (zh) * 2017-11-16 2018-05-29 君泰创新(北京)科技有限公司 太阳能电池硅片承载装置以及传输系统
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI852426B (zh) 2018-01-19 2024-08-11 荷蘭商Asm Ip私人控股有限公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US20190249306A1 (en) * 2018-02-09 2019-08-15 Applied Materials, Inc. Apparatus and methods for reducing cross-contamination in cvd systems
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102709511B1 (ko) 2018-05-08 2024-09-24 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344B (zh) 2018-10-01 2024-10-25 Asmip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TWI756590B (zh) 2019-01-22 2022-03-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
CN109913843B (zh) * 2019-03-14 2020-11-06 南京中电熊猫液晶显示科技有限公司 一种夹具装置
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN110055508B (zh) * 2019-05-30 2021-11-23 武汉华星光电技术有限公司 一种基板固定装置
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TWI851767B (zh) 2019-07-29 2024-08-11 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
TWI846966B (zh) 2019-10-10 2024-07-01 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN110846614B (zh) * 2019-11-21 2022-03-25 昆山国显光电有限公司 一种掩膜版和蒸镀系统
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210093163A (ko) 2020-01-16 2021-07-27 에이에스엠 아이피 홀딩 비.브이. 고 종횡비 피처를 형성하는 방법
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
TWI739597B (zh) * 2020-09-15 2021-09-11 宏進金屬科技股份有限公司 製造散熱片的方法及散熱片
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11715662B2 (en) * 2020-12-11 2023-08-01 Applied Materials, Inc. Actively clamped carrier assembly for processing tools
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202242184A (zh) 2020-12-22 2022-11-01 荷蘭商Asm Ip私人控股有限公司 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法
TW202226899A (zh) 2020-12-22 2022-07-01 荷蘭商Asm Ip私人控股有限公司 具匹配器的電漿處理裝置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11888082B2 (en) 2021-09-29 2024-01-30 Dual Helios Semiconductor Equipment Company, Inc. Systems and methods for making solar panels or components thereof
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
EP4246598A1 (de) * 2022-03-16 2023-09-20 VON ARDENNE Asset GmbH & Co. KG Verfahren und vakuumsystem
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront
US20240347323A1 (en) * 2023-04-14 2024-10-17 Velvetch Llc Composite stage for electron enhanced material processing

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001049422A (ja) * 1999-08-09 2001-02-20 Hitachi Ltd メタルマスクの基板への保持固定構造、保持固定治具、その補助具、及びトレイ
CN1662978A (zh) * 2002-06-20 2005-08-31 Tdk株式会社 将基片传递给用于盘形基片的薄膜形成装置的方法 ,在该方法中使用的基片传递机构和基片托架 ,以及使用该方法的盘形记录媒体制造方法
CN1861833A (zh) * 2005-04-20 2006-11-15 应用菲林股份有限两合公司 磁掩模保持器
US20090017192A1 (en) * 2007-07-12 2009-01-15 Hiroyasu Matsuura Vapor deposition method and apparatus
CN101864552A (zh) * 2009-04-16 2010-10-20 三星移动显示器株式会社 用于薄膜沉积的掩模框架组件及相关方法
CN102165095A (zh) * 2008-09-24 2011-08-24 艾克斯特朗欧洲公司 磁性地保持在基片保持器上的阴影掩模

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3498711A (en) * 1967-10-18 1970-03-03 Texas Instruments Inc Step and repeat camera
US3775644A (en) 1972-09-20 1973-11-27 Communications Satellite Corp Adjustable microstrip substrate holder
JPS57204547A (en) 1981-06-12 1982-12-15 Hitachi Ltd Exposing method
GB2155201B (en) 1984-02-24 1988-07-13 Canon Kk An x-ray exposure apparatus
US4599970A (en) * 1985-03-11 1986-07-15 Rca Corporation Apparatus for coating a selected area of the surface of an object
US4915057A (en) * 1985-10-23 1990-04-10 Gte Products Corporation Apparatus and method for registration of shadow masked thin-film patterns
US4915564A (en) 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4699555A (en) 1986-05-08 1987-10-13 Micrion Limited Partnership Module positioning apparatus
US4913789A (en) * 1988-04-18 1990-04-03 Aung David K Sputter etching and coating process
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5489369A (en) 1993-10-25 1996-02-06 Viratec Thin Films, Inc. Method and apparatus for thin film coating an article
US5707745A (en) 1994-12-13 1998-01-13 The Trustees Of Princeton University Multicolor organic light emitting devices
JP3732250B2 (ja) 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
JPH09143733A (ja) * 1995-11-16 1997-06-03 Canon Inc スパッタ膜の製造方法およびスパッタ膜
TW320687B (zh) 1996-04-01 1997-11-21 Toray Industries
JP3885261B2 (ja) * 1996-11-21 2007-02-21 東レ株式会社 基板支持具および基板の支持方法
US6084494A (en) * 1997-01-23 2000-07-04 Hewlett-Packard Company Shuntable magnetic mask support apparatus
US6083566A (en) 1998-05-26 2000-07-04 Whitesell; Andrew B. Substrate handling and processing system and method
JP2000048954A (ja) 1998-07-30 2000-02-18 Toray Ind Inc 有機電界発光素子の製造方法
US6146489A (en) * 1998-11-19 2000-11-14 General Electric Company Method and apparatus for depositing scintillator material on radiation imager
JP2000173769A (ja) 1998-12-03 2000-06-23 Toray Ind Inc 有機電界発光素子の製造方法
EP1055153B1 (en) * 1998-12-14 2012-01-18 Nxp B.V. Photomask with a mask edge provided with a ring-shaped esd protection area
TW552306B (en) 1999-03-26 2003-09-11 Anelva Corp Method of removing accumulated films from the surfaces of substrate holders in film deposition apparatus, and film deposition apparatus
US6532975B1 (en) 1999-08-13 2003-03-18 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2001110567A (ja) 1999-10-08 2001-04-20 Toray Ind Inc 有機電界発光装置の製造方法
JP4453884B2 (ja) * 1999-11-24 2010-04-21 大日本印刷株式会社 スパッタ用メタルマスクおよびカラーフィルタの製造方法
JP2001203079A (ja) 2000-01-18 2001-07-27 Toray Ind Inc 有機電界発光装置の製造方法
JP2001247961A (ja) 2000-03-06 2001-09-14 Casio Comput Co Ltd 蒸着用スクリーンマスク、蒸着方法及び有機el素子の製造方法
JP2002008859A (ja) 2000-06-16 2002-01-11 Sony Corp パターン形成装置、パターン形成方法、有機電界発光素子ディスプレイの製造装置及び製造方法
JP2002009098A (ja) 2000-06-16 2002-01-11 Sony Corp パターン形成装置、パターン形成方法、有機電界発光素子ディスプレイの製造装置及び製造方法
JP3927494B2 (ja) * 2000-11-21 2007-06-06 日本冶金工業株式会社 エッチング加工性に優れたシャドウマスク用Fe−Ni合金材料
US6895294B2 (en) 2000-12-04 2005-05-17 Freescale Semiconductor, Inc. Assembly comprising a plurality of mask containers, manufacturing system for manufacturing semiconductor devices, and method
JP4704605B2 (ja) * 2001-05-23 2011-06-15 淳二 城戸 連続蒸着装置、蒸着装置及び蒸着方法
JP4078813B2 (ja) * 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US6475287B1 (en) * 2001-06-27 2002-11-05 Eastman Kodak Company Alignment device which facilitates deposition of organic material through a deposition mask
KR100914363B1 (ko) 2001-07-15 2009-08-28 어플라이드 머티어리얼스, 인코포레이티드 처리 시스템
DE60228599D1 (de) * 2001-08-24 2008-10-09 Dainippon Printing Co Ltd Maskeneinrichtung zur bildung mehrerer seiten für die vakuumablagerung
TWI223840B (en) * 2001-09-04 2004-11-11 Univ Princeton Method of fabricating an organic device
US6716656B2 (en) * 2001-09-04 2004-04-06 The Trustees Of Princeton University Self-aligned hybrid deposition
US6589382B2 (en) * 2001-11-26 2003-07-08 Eastman Kodak Company Aligning mask segments to provide a stitched mask for producing OLED devices
US6749690B2 (en) * 2001-12-10 2004-06-15 Eastman Kodak Company Aligning mask segments to provide an assembled mask for producing OLED devices
JP3996439B2 (ja) * 2002-05-16 2007-10-24 大日本印刷株式会社 有機el素子製造に用いる真空蒸着用マスク装置
NL1020633C2 (nl) * 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.
KR100838065B1 (ko) * 2002-05-31 2008-06-16 삼성에스디아이 주식회사 박막증착기용 고정장치와 이를 이용한 고정방법
US6955726B2 (en) * 2002-06-03 2005-10-18 Samsung Sdi Co., Ltd. Mask and mask frame assembly for evaporation
JP4072422B2 (ja) * 2002-11-22 2008-04-09 三星エスディアイ株式会社 蒸着用マスク構造体とその製造方法、及びこれを用いた有機el素子の製造方法
JP4380319B2 (ja) * 2002-12-19 2009-12-09 ソニー株式会社 蒸着装置および有機エレクトロルミネッセンス素子の製造方法
US7578647B2 (en) * 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
KR101181684B1 (ko) 2003-08-07 2012-09-19 가부시키가이샤 니콘 노광 방법 및 노광 장치, 스테이지 장치, 그리고 디바이스제조 방법
KR101003699B1 (ko) * 2003-08-11 2010-12-23 주성엔지니어링(주) 섀도우 프레임을 포함하는 액정표시장치용 증착장치 및 그의 동작방법
JP4418262B2 (ja) * 2004-03-12 2010-02-17 三井造船株式会社 基板・マスク固定装置
JP4331707B2 (ja) * 2004-12-16 2009-09-16 三星モバイルディスプレイ株式會社 整列システム、垂直型トレイ移送装置及びこれを具備した蒸着装置
ATE437248T1 (de) * 2005-04-20 2009-08-15 Applied Materials Gmbh & Co Kg Verfahren und vorrichtung zur maskenpositionierung
DE102005021048A1 (de) * 2005-05-06 2006-12-28 Infineon Technologies Ag Vorrichtung zum Stabilisieren eines Werkstücks bei einer Bearbeitung
CN101366111B (zh) 2006-02-01 2010-06-09 奥林巴斯株式会社 基板交换装置和基板处理装置以及基板检查装置
CN100368831C (zh) 2006-03-16 2008-02-13 曹国斌 一种采聚太阳能的掩模片及采用掩模片的太阳能装置
JP4614455B2 (ja) 2006-04-19 2011-01-19 東京エレクトロン株式会社 基板搬送処理装置
US20080006523A1 (en) * 2006-06-26 2008-01-10 Akihiro Hosokawa Cooled pvd shield
US8128333B2 (en) 2006-11-27 2012-03-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method for semiconductor devices
JP4753313B2 (ja) 2006-12-27 2011-08-24 東京エレクトロン株式会社 基板処理装置
JP2008274373A (ja) * 2007-05-02 2008-11-13 Optnics Precision Co Ltd 蒸着用マスク
US7847938B2 (en) 2007-10-01 2010-12-07 Maskless Lithography, Inc. Alignment system for optical lithography
CN101675178A (zh) * 2007-11-30 2010-03-17 佳能安内华股份有限公司 基板处理设备及基板处理方法
KR20100103493A (ko) * 2007-12-06 2010-09-27 인테벡, 인코포레이티드 패터닝된 미디어의 상업적 제조를 위한 시스템 및 방법
US8138782B2 (en) 2008-01-10 2012-03-20 Applied Materials, Inc. Photovoltaic cell solar simulator
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20100111650A1 (en) 2008-01-31 2010-05-06 Applied Materials, Inc. Automatic substrate loading station
US7843295B2 (en) 2008-04-04 2010-11-30 Cedar Ridge Research Llc Magnetically attachable and detachable panel system
EP2110455A1 (en) * 2008-04-18 2009-10-21 Applied Materials, Inc. Mask support, mask assembly, and assembly comprising a mask support and a mask
WO2009155119A2 (en) * 2008-05-30 2009-12-23 Alta Devices, Inc. Methods and apparatus for a chemical vapor deposition reactor
US8795466B2 (en) * 2008-06-14 2014-08-05 Intevac, Inc. System and method for processing substrates with detachable mask
EP2359392A2 (en) * 2008-10-10 2011-08-24 Alta Devices, Inc. Concentric showerhead for vapor deposition
TW201034055A (en) * 2008-10-10 2010-09-16 Alta Devices Inc Continuous feed chemical vapor deposition
JP5184643B2 (ja) * 2008-10-21 2013-04-17 株式会社アルバック マスク及びマスクを用いた成膜方法
ITUD20090214A1 (it) 2009-11-24 2011-05-25 Applied Materials Inc Effettore d'estremita' per la manipolazione di substrati
KR20120043095A (ko) * 2009-08-26 2012-05-03 캐논 아네르바 가부시키가이샤 필름 형성 장치
JP2011049507A (ja) 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
KR101206250B1 (ko) 2009-10-13 2012-11-28 주식회사 엘지화학 식각 마스크 패턴 형성용 페이스트 및 이의 스크린 인쇄법을 이용한 실리콘 태양전지의 제조방법
US20110141448A1 (en) 2009-11-27 2011-06-16 Nikon Corporation Substrate carrier device, substrate carrying method, substrate supporting member, substrate holding device, exposure apparatus, exposure method and device manufacturing method
JP5582895B2 (ja) 2010-07-09 2014-09-03 キヤノンアネルバ株式会社 基板ホルダーストッカ装置及び基板処理装置並びに該基板ホルダーストッカ装置を用いた基板ホルダー移動方法
EP2423350B1 (en) * 2010-08-27 2013-07-31 Applied Materials, Inc. Carrier for a substrate and a method for assembling the same
US9837294B2 (en) 2011-09-16 2017-12-05 Persimmon Technologies Corporation Wafer transport system
US8378318B1 (en) 2011-11-18 2013-02-19 Varian Semiconductor Equipment Associates, Inc. Fixed mask design improvements
WO2013106442A1 (en) * 2012-01-10 2013-07-18 Hzo, Inc. Masks for use in applying protective coatings to electronic assemblies, masked electronic assemblies and associated methods
MY167662A (en) 2012-04-19 2018-09-21 Intevac Inc Dual-mask arrangement for solar cell fabrication
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
MY170824A (en) 2012-04-26 2019-09-04 Intevac Inc System architecture for vacuum processing
US9082799B2 (en) 2012-09-20 2015-07-14 Varian Semiconductor Equipment Associates, Inc. System and method for 2D workpiece alignment
WO2015127191A1 (en) 2014-02-20 2015-08-27 Intevac, Inc. System and method for bi-facial processing of substrates
JP6607923B2 (ja) 2014-08-05 2019-11-20 インテヴァック インコーポレイテッド 注入マスク及びアライメント

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001049422A (ja) * 1999-08-09 2001-02-20 Hitachi Ltd メタルマスクの基板への保持固定構造、保持固定治具、その補助具、及びトレイ
CN1662978A (zh) * 2002-06-20 2005-08-31 Tdk株式会社 将基片传递给用于盘形基片的薄膜形成装置的方法 ,在该方法中使用的基片传递机构和基片托架 ,以及使用该方法的盘形记录媒体制造方法
CN1861833A (zh) * 2005-04-20 2006-11-15 应用菲林股份有限两合公司 磁掩模保持器
US20090017192A1 (en) * 2007-07-12 2009-01-15 Hiroyasu Matsuura Vapor deposition method and apparatus
CN102165095A (zh) * 2008-09-24 2011-08-24 艾克斯特朗欧洲公司 磁性地保持在基片保持器上的阴影掩模
CN101864552A (zh) * 2009-04-16 2010-10-20 三星移动显示器株式会社 用于薄膜沉积的掩模框架组件及相关方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9525099B2 (en) 2012-04-19 2016-12-20 Intevac, Inc. Dual-mask arrangement for solar cell fabrication
US9502276B2 (en) 2012-04-26 2016-11-22 Intevac, Inc. System architecture for vacuum processing
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
US9543114B2 (en) 2014-08-05 2017-01-10 Intevac, Inc. Implant masking and alignment system with rollers
CN109930107A (zh) * 2017-12-19 2019-06-25 上海和辉光电有限公司 一种张网固定结构和张网固定方法
CN110320741A (zh) * 2018-03-28 2019-10-11 佳能株式会社 用于形成自适应层的装置及其使用方法
CN110320741B (zh) * 2018-03-28 2024-04-26 佳能株式会社 用于形成自适应层的装置及其使用方法
CN110395570A (zh) * 2018-04-24 2019-11-01 君泰创新(北京)科技有限公司 电池片上下料设备及其盖板错位检测装置
CN114258436A (zh) * 2019-08-16 2022-03-29 朗姆研究公司 空间可调沉积以在晶片差异弯曲中进行补偿
US11946142B2 (en) 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow

Also Published As

Publication number Publication date
KR20150053733A (ko) 2015-05-18
CN104685095B (zh) 2017-12-29
JP6243898B2 (ja) 2017-12-06
TW201349384A (zh) 2013-12-01
KR102104688B1 (ko) 2020-05-29
SG10201608512QA (en) 2016-12-29
US9525099B2 (en) 2016-12-20
EP2839052A4 (en) 2015-06-10
JP2015520799A (ja) 2015-07-23
EP2839052A1 (en) 2015-02-25
WO2013159050A1 (en) 2013-10-24
SG11201406746RA (en) 2015-03-30
US20130276978A1 (en) 2013-10-24
TWI518839B (zh) 2016-01-21
MY167662A (en) 2018-09-21

Similar Documents

Publication Publication Date Title
CN104685095A (zh) 用于制造太阳能电池的双掩模装置
US10115617B2 (en) System architecture for vacuum processing
US20170062258A1 (en) Wafer plate and mask arrangement for substrate fabrication
CN108290694B (zh) 用于衬底制造的晶圆板和掩模装置
CN106460164A (zh) 用于衬底的双面处理的系统及方法
US20190148195A1 (en) Solar cell silicon wafer carrying device and transmission system
US20180166316A1 (en) Substrate carrier system with protective covering
CN110318019A (zh) 掩模的制造方法,掩模支撑缓冲基板及其制造方法
CN206742216U (zh) 基板传送装置及包含该基板传送装置的基板处理系统
CN107210253A (zh) 基板移载系统
KR102283202B1 (ko) 마스크의 이송 시스템 및 프레임 일체형 마스크의 제조 방법
TWI673815B (zh) 基板處理系統、基板傳送裝置和傳送方法
CN211980591U (zh) 异质结太阳能电池镀膜载板及pecvd设备
US20220189815A1 (en) Actively clamped carrier assembly for processing tools
KR101904802B1 (ko) 기판 트레이 및 이를 포함하는 기판 처리 장치
KR102404745B1 (ko) 마스크 지지 템플릿 및 프레임 일체형 마스크의 제조 방법
KR100462732B1 (ko) 더미 웨이퍼 및 더미 웨이퍼를 사용한 열처리 방법
CN201117645Y (zh) 板状物暂放装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20171229

Termination date: 20210419

CF01 Termination of patent right due to non-payment of annual fee