KR20150053733A - 태양 전지 제조를 위한 이중 마스크 장치 - Google Patents

태양 전지 제조를 위한 이중 마스크 장치 Download PDF

Info

Publication number
KR20150053733A
KR20150053733A KR1020147031672A KR20147031672A KR20150053733A KR 20150053733 A KR20150053733 A KR 20150053733A KR 1020147031672 A KR1020147031672 A KR 1020147031672A KR 20147031672 A KR20147031672 A KR 20147031672A KR 20150053733 A KR20150053733 A KR 20150053733A
Authority
KR
South Korea
Prior art keywords
mask
wafer
carrier
inner mask
support apparatus
Prior art date
Application number
KR1020147031672A
Other languages
English (en)
Other versions
KR102104688B1 (ko
Inventor
테리 블럭
이안 래치퍼드
비나이 샤
알렉스 리포산
Original Assignee
인테벡, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인테벡, 인코포레이티드 filed Critical 인테벡, 인코포레이티드
Publication of KR20150053733A publication Critical patent/KR20150053733A/ko
Application granted granted Critical
Publication of KR102104688B1 publication Critical patent/KR102104688B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6734Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders specially adapted for supporting large square shaped substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electron Beam Exposure (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)
  • Electromechanical Clocks (AREA)

Abstract

처리 동안 기판들을 지지하기 위한 장치는, 기판을 지지하고 기판을 소정의 위치에 제한하기 위한 서셉터를 갖는 웨이퍼 캐리어를 구비한다. 내측 마스크는 기판 위에 배치되도록 구성되고, 내측 마스크는, 기판의 미처리 부분들을 마스킹하고 처리를 위해 기판의 나머지 부분들을 노출하기 위한 개구 패턴을 갖는다. 외측 마스크는 내측 마스크 위에 배치되도록 구성되고, 외측 마스크는, 개구 패턴을 갖는 내측 마스크의 부분을 노출하지만 내측 마스크의 주연 에지를 커버하는 개구를 갖는다.

Description

태양 전지 제조를 위한 이중 마스크 장치{DUAL-MASK ARRANGEMENT FOR SOLAR CELL FABRICATION}
관련 출원
본 출원은, 2012년 4월 19일자로 가출원된 미국 가특허출원번호 제61/635,804호 및 2012년 4월 26일자로 가출원된 미국 가특허출원번호 제61/639,052호인 우선권을 주장하며, 그 전문은 본 명세서에 참고로 원용된다.
본 출원은, 예를 들어, 태양 전지의 제조시 마스크를 사용한 마스킹 제조에 관한 것이다.
태양 전지의 다양한 제조 단계 동안, 마스크를 사용하여 태양 전지들의 부분들을 특정한 제조 공정으로부터 차단하는 것이 바람직할 수 있다. 예를 들어, 마스크는 컨택트를 형성하는 데 또는 태양 전지의 션트(shunt)를 방지하도록 에지를 배제하는 데 사용될 수 있다. 즉, 정면과 후면에 컨택트를 갖는 태양 전지에 대하여, 컨택트를 제조하는 데 사용되는 물질이 웨이퍼의 에지 상에 성막되어 정면 컨택트와 후면 컨택트를 션트할 수 있다. 따라서, 적어도 정면 컨택트 또는 후면 컨택트의 제조 동안 마스크를 사용하여 전지의 에지를 배제하는 것이 바람직하다.
다른 일례로, 실리콘 태양 전지의 제조시, 광 반사기와 도전체로서 기능하도록 후면 상에 블랭킷 금속을 성막하는 것이 바람직하다. 이 블랭킷 금속은 통상적으로 알루미늄이지만, 블랭킷 금속은, 비용, 전도성, 땜납성 등의 여러 이유로 사용되는 임의의 금속일 수 있다. 성막된 막 두께는, 예를 들어, 약 10mm로 매우 얇을 수 있고, 예를 들어, 최대 2 내지 3㎛로 매우 두꺼울 수도 있다. 그러나, 블랭킷 금속이 실리콘 웨이퍼의 에지를 감싸는 것을 방지할 필요가 있으며, 이는 태양 전지의 정면과 후면 간에 저항성 연결, 즉, 션트(shunt)가 생성되기 때문이다. 이러한 연결을 방지하도록, 웨이퍼의 후면 에지 상에 배제 존을 생성할 수 있다. 배제 존의 통상적인 치수는 폭에 있어서 2mm 미만이지만, 배제 존을 가능한 얇게 하는 것이 바람직하다.
이러한 배제 존을 생성하는 한 가지 방식은 마스크를 사용하는 것이지만, 마스크를 사용하려면 많은 과제들이 있다. 태양광 산업의 높은 경쟁성으로 인해, 마스크를 제조하려면 매우 값싸야 한다. 또한, 태양 전지 제조 장비의 높은 처리량(통상적으로 시간당 1500개 내지 2500개의 전지)으로 인해, 마스크는 대량 제조에 사용시 빠르고 용이해야 한다. 또한, 마스크는 웨이퍼의 일부 부분들 상의 성막을 방지하는 데 사용되므로, 마스크는 성막 축적을 흡수하고 수용할 수 있어야 한다. 또한, 성막은 상승된 온도에서 행해지므로, 마스크는, 배제 존을 계속 정확하게 유지하고 열 응력으로 인한 기판 뒤틀림을 수용하면서, 예를 들어, 최대 350℃까지 상승된 온도에서 적절히 기능할 수 있어야 한다.
이하의 개요는, 본 발명의 일부 양태와 특징의 기본적인 이해를 제공하도록 포함된 것이다. 이 개요는, 본 발명의 광범위한 개요가 아니며, 이처럼, 본 발명의 주요 또는 핵심 요소들을 구체적으로 식별하려는 것이 아니며 또는 본 발명의 범위를 기술하려는 것도 아니다. 이 개요의 유일한 목적은, 후술하는 더욱 상세한 설명에 대한 전제부로서 본 발명의 일부 개념을 간략화된 형태로 제시하는 것이다.
본 발명의 실시예들은 이중 마스크 장치를 이용함으로써 마스크를 사용하는 경우의 전술한 문제점들을 해결한다. 이중 마스킹 시스템(two part masking system)은 반도체 웨이퍼를 마스킹하도록 구성되고, 처리될 웨이퍼의 부분들을 노출하는 애퍼처를 갖는 평평한 금속 시트로 이루어진 내측 마스크; 및 내측 마스크 위로 배치되고 내측 마스크를 마스킹하도록 구성되고, 웨이퍼의 크기와 형상과 유사한 크기와 형상으로 절단된 개구를 갖고, 내측 마스크의 두께보다 큰 두께를 갖는 외측 마스크를 포함한다. 마스크 프레임은 내측 마스크와 외측 마스크를 지지하도록 구성될 수 있고, 외측 마스크는 마스크 프레임과 내측 마스크 간에 협지된다. 일례로, 에지 분리를 위해 이중 마스크 장치가 사용되는 경우, 내측 마스크 내에 절단된 개구의 크기는 웨이퍼의 크기보다 약간 작고, 이에 따라, 내측 마스크가 웨이퍼 상에 놓이면, 내측 마스크는 웨이퍼의 주연 에지(peripheral edge)를 커버하고, 외측 마스크 내에 절단된 개구는 내측 마스크 내에 절단된 개구보다 약간 작다. 상부 프레임 캐리어를 사용하여 내측 마스크와 외측 마스크를 유지할 수 있고 내측 마스크와 외측 마스크를 웨이퍼 서셉터에 고정할 수 있다.
상측 마스크 즉 외측 마스크는, 예를 들어, 않은 약 0.03"의 알루미늄, 강철, 또는 기타 유사한 물질로 형성될 수 있고, 기판 캐리어와 정합하도록 구성된다. 내측 마스크는, 예를 들어, 매우 얇은 약 0.001" 내지 0.003"의 평평한 강철 시트, 또는 다른 자성 물질로 형성될 수 있고, 외측 마스크 내에 배치되도록 구성된다.
추가 실시예들에 따르면, 처리 동안 웨이퍼들을 지지하기 위한 장치를 제공하며, 이 장치는, 상승된 프레임(raised frame)을 갖는 웨이퍼 캐리어 또는 서셉터로서, 상승된 프레임은 웨이퍼의 주연부 둘레로 웨이퍼를 지지하고 웨이퍼를 소정의 위치로 제한하기 위한 오목부를 갖는 것인, 웨이퍼 캐리어 또는 서셉터; 상승된 프레임 위에 배치되도록 구성되고, 웨이퍼의 부분을 마스킹하고 웨이퍼의 나머지 부분을 노출하도록 구성된 애퍼처 장치를 갖는 내측 마스크; 및 내측 마스크 위에서 상승된 프레임에 걸쳐 배치되도록 구성되고, 내측 마스크를 부분적으로 커버하도록 구성된 단일 개구를 갖는 외측 마스크를 포함한다. 상부 프레임 캐리어를 사용하여 내측 마스크와 외측 마스크를 유지할 수 있고 내측 마스크와 외측 마스크를 웨이퍼 서셉터에 고정할 수 있다.
자석들은 서셉터에 위치하며, 프레임 주위로 완전하게 또는 서셉터의 전체 면 아래와 웨이퍼 바로 아래에서 N-S-N-S-N을 완전하게 교번한다. 내측 마스크와 외측 마스크는, 기판들의 로딩과 언로딩을 쉽고도 빠르게 행할 수 있게끔 자력에 의해서만 프레임에 유지되도록 설계된다.
마스크 조립체는, 기판을 캐리어 내에 로딩하도록 웨이퍼 캐리어와 지지 프레임으로부터 분리 가능하다. 내측 마스크와 외측 마스크 모두는 마스크 조립체의 일부로서 들어 올려진다(lift). 일단 웨이퍼가 웨이퍼 포켓의 캐리어 상에 위치하게 되면, 마스크 조립체를 다시 캐리어 상으로 내린다. 내측 마스크는 웨이퍼의 상부면과 중첩된다. 캐리어 프레임의 자석들은 내측 마스크를 기판과 밀접하도록 아래로 당긴다. 이는 웨이퍼의 에지 상에 단단한 순응성 밀봉(tight compliant seal)을 형성한다. 외측 마스크는 얇은 순응성 내측 마스크 상의 성막을 방지하도록 설계된다. 전술한 바와 같이, 성막 공정은 내측 마스크가 가열되게 할 수 있어서, 마스크를 휘게 하여 웨이퍼와의 접촉이 느슨해질 수 있다. 마스크와 웨이퍼 간의 접촉을 느슨해지면, 금속 막이 기판 웨이퍼의 표면 상의 배제 존에 성막된다. 자석들에 의해 생성되는 마찰력과 포켓은, 이송과 성막 동안 기판과 마스크가 서로에 대하여 이동하는 것을 방지하고, 외측 마스크는 내측 마스크 상의 성막을 방지하고 내측 마스크의 뒤틀림을 방지한다.
진공 캐리어 교환부를 이용함으로써 캐리어를 갖는 시스템으로부터 마스크 조립체를 주기적으로 제거할 수 있다. 캐리어 교환부는 캐리어 이송 메커니즘을 갖는 휴대용 진공 인클로저이다. 이것은, 시스템의 연속 동작을 중단하지 않고서 캐리어들이 즉시 교환될 수 있게 한다.
본 명세서에 포함되며 본 명세서의 일부를 구성하는 첨부 도면은, 본 발명의 실시예들을 예시하며, 상세한 설명과 함께 본 발명의 원리를 설명하고 도시한다. 도면은 예시적인 실시예들의 주요 특징들을 도식적으로 도시하려는 것이다. 도면은 실제 실시예들의 모든 특징 또는 도시한 요소들의 관련된 치수를 도시하려는 것이 아니며, 일정한 비율로 되어 있지 않다.
도 1은 마스크 처리를 위해 구성되지 않은 일 실시예에 따른 멀티 웨이퍼 캐리어를 도시하는 도.
도 2a 내지 도 2e는 다양한 실시예들에 따른 듀얼 마스크를 위한 구성을 갖는 멀티 웨이퍼 캐리어를 도시하는 도.
도 3은 외측 마스크 내에 배치된 내측 마스크와 함께 외측 마스크의 일 실시예를 도시하는 도.
도 4는 일 실시예에 따라 프레임, 외측 마스크 및 내측 마스크의 확대된 일부의 단면도를 도시하는 도.
도 4a는 예를 들어 웨이퍼 후면 상에 컨택트 패턴을 형성하는 데 사용될 수 있는 다른 일 실시예를 도시하는 도.
도 5는 에지 분리에 사용하기 위한 내측 마스크의 일 실시예를 도시하는 도.
도 6은 싱글 웨이퍼 캐리어의 일 실시예를 도시하는 도.
도 7은 아래측에서 본 외측 마스크의 일 실시예를 도시하는 도,
도 8은 내측 마스크와 외측 마스크를 지지하기 위한 상부 프레임의 일 실시예를 도시하는 도.
도 9는 웨이퍼에 복수의 홀을 생성하기 위한 내측 마스크의 일 실시예를 도시하는 도.
도 10은 도 9의 마스크와 함께 사용하기 위한 서셉터의 일 실시예를 도시하는 도.
도 10a는 스프링 로딩된 정렬 핀(spring loaded alignment pins)을 갖는 정전 처크의 형태로 된 서셉터의 일 실시예를 도시하는 도.
통상적인 반도체 제조에서 웨이퍼들은 일반적으로 독립적으로 처리되지만, 태양식 제조에서는, 다수의 웨이퍼들이 동시에 제조된다. 간략하게, 이하에서는 세 개의 웨이퍼의 동시 처리에 대하여 설명하지만, 실시예들을 동시에 처리되는 임의의 개수의 웨이퍼로 확장할 수도 있다는 점을 인식하기 바란다.
도 1은 마스크 처리용으로 구성되지 않은 일 실시예에 따른 멀티 웨이퍼 캐리어를 도시한다. 즉, 태양 전지의 제조시, 처리 단계들 중 일부에서는 웨이퍼들의 마스킹을 필요로 하지 않는다. 이러한 경우에, 도 1의 캐리어를 사용할 수 있다. 듀얼 마스크 장치를 구현하는 캐리어를 나머지 도면들을 참조하여 설명한다. 따라서, 다양한 실시예들에서, 마스킹을 필요로 하지 않는 처리 단계들은 도 1의 캐리어를 사용하여 수행되는 한편, 마스킹을 필요로 하는 처리 단계들은 나머지 도면들에 도시한 캐리어 등의 캐리어를 사용하여 수행된다. 도 1에 도시한 바와 같이, 본 실시예에 따른 멀티 웨이퍼 캐리어의 구성은 다소 간단하며 저가이다. 도 1에서는, 캐리어가 세 개의 웨이퍼를 이송하도록 구성된 것으로 도시되어 있지만, 다른 개수의 웨이퍼를 위해 캐리어를 구성할 수 있다는 점을 인식하기 바란다. 또한, 여러 캐리어들을 동시에 수용하고 이에 따라 다수의 캐리어들 상의 다수의 웨이퍼들을 동시에 처리하도록 각 처리 챔버가 구성될 수도 있다는 점을 인식하기 바란다.
도 1의 캐리어(100)는, 예를 들어, 세라믹 프라임 또는 세라믹 바들(ceramic bars, 110)에 의해 지지되는 알루미늄 질화물로 된 서셉터(105)를 형성하는 간단한 판으로 구성된다. 세라믹 프레임(110)은 서셉터(105)를 챔버의 나머지 부분들로부터 열적으로 분리하는 것을 개선한다. 각 웨이퍼(120) 아래에 하나의 리프터 판(115)을 설치하여, 웨이퍼가 서셉터(105)로부터 분리되어 들어 올려질 수 있다. 캐리어를 시스템 전체에 걸쳐 이송할 수 있도록 프레임(110)의 각 면 상에 이송 레일(125)을 설치한다.
웨이퍼 처리시 마스크를 사용할 필요가 있는 경우, 마스크들을 각 웨이퍼 위에 개별적으로 배치할 수도 있고, 또는 세 개의 웨이퍼 모두를 동시에 커버하도록 하나의 마스크를 형성할 수도 있다. 마스크는 예를 들어 자석들을 사용하여 제 위치에서 유지될 수 있다. 그러나, 정밀한 처리를 위해, 마스크는 매우 얇게 형성되어야 하며, 결국 처리 동안 열적 음력으로 인해 변형될 수 있다. 또한, 얇은 마스크는 성막물들을 빠르게 모을 수 있고, 성막물들은 마스크의 정확한 배치와 마스킹에 간섭할 수 있다. 따라서, 후술하는 실시예들에 따른 듀얼 마스크 장치를 사용하는 것이 유리하다.
도 2a 내지 도 2e는 다양한 실시예들에 따른 듀얼 마스크용 멀티 웨이퍼 캐리어를 도시한다. 도 2a는 듀얼 마스크 장치를 갖는 멀티 웨이퍼 캐리어를 도시하며, 여기서 마스크 장치는 내측 마스크가 웨이퍼와 밀접하게 물리적으로 접하도록 하측 위치에 있고, 도 2b는 듀얼 마스크 장치를 갖는 멀티 웨이퍼 캐리어를 도시하며, 여기서 마스크 장치는 상승 위치에 있어서 웨이퍼 교체가 가능하고, 도 2c는 듀얼 마스크 장치를 갖는 멀티 웨이퍼 캐리어를 도시하고, 여기서 웨이퍼 리프터는 웨이퍼 로딩/언로딩을 위해 포함되어 있으며, 도 2d는 듀얼 마스크 장치를 갖는 멀티 웨이퍼 캐리어의 부분 단면도를 도시하며, 여기서 마스크 장치와 웨이퍼 리프터는 상승 위치에 있고, 도 2e는 듀얼 마스크 장치를 갖는 멀티 웨이퍼 캐리어의 부분 단면도를 도시하며, 여기서 마스크 장치와 웨이퍼 리프터는 하측 위치에 있다.
도 2a를 참조해 보면, 캐리어 지지부(200)라고도 하는 멀티 웨이퍼 캐리어는, 세 개의 개별적인 싱글 웨이퍼 캐리어 또는 서셉터(105)를 구비하며, 이들은 예를 들어 세라믹으로 형성된 서셉터 프레임 또는 바(110)에 의해 지지된다. 각 싱글 웨이퍼 캐리어(105)는 듀얼 마스크 장치와 함께 싱글 웨이퍼를 유지하도록 구성된다. 도 2a에서, 듀얼 마스크 장치는 하측 위치에 있지만, 웨이퍼가 어느 캐리어에도 있지 않아서, 캐리어들의 구성이 노출되어 있다. 도 2b에서, 듀얼 마스크 장치는 리프트 위치에 있는 것으로 도시되어 있으며, 여기서도 웨이퍼는 어느 캐리어에도 있지 않다. 도 2a 내지 도 2e의 실시예에서, 리프터(215)는 듀얼 마스크 리프터(215)를 제거하고 듀얼 마스크 장치를 수동으로 들어 올릴 수도 있다. 도 1에서와 같이, 프레임(210)의 각 면 상에 이송 레일(225)을 설치하여 시스템 전체에 걸쳐 캐리어(200)를 이송할 수 있게 한다.
싱글 웨이퍼 캐리어들(205)의 각각은, 상승된 프레임의 주연부에 의해 현수되는 웨이퍼를 지지하도록 오목부(235)가 있는 상승된 프레임(232)을 갖는 베이스(230)(도 2b에서 볼 수 있음)를 구비한다. 프레임(232)을 갖는 베이스(230)는 현수된 웨이퍼 아래에 포켓(240)을 형성하고, 이는 파손된 웨이퍼 조각들을 캡처하는 데 유익하다. 일부 실시예들에서, 프레임(232)은 베이스(230)로부터 분리 가능하다. 외측 마스크(245)는, 프레임(232) 상에 장착되도록 구성되어, 프레임(232)을 커버하고 내측 마스크의 주연부를 커버하지만, 웨이퍼에 대응하는 내측 마스크의 중심 부분을 노출한다. 이는 도 4의 실시예에서 단면도로 예시되어 있다.
도 4에서, 베이스 또는 서셉터(405)는 오목부(432)가 있는 상승된 프레임(430)을 구비하고, 이는 상승된 프레임의 주연부에서 웨이퍼(420)를 지지한다. 프레임(430)을 갖는 베이스(405)는 포켓(440)을 형성하고, 웨이퍼가 포켓 위에 현수된다. 일련의 자석들(434)은, 웨이퍼(420)의 주연부를 둘러싸도록 상승된 프레임(430) 내부에 위치한다. 일부 실시예들에서, 특히 고온 동작을 위해, 자석들(434)은 사마리움 코발트(SmCo)로 형성될 수 있다. 내측 마스크(450)는, 상승된 프레임(430)과 웨이퍼(420) 위에 위치하며, 웨이퍼와 물리적으로 접하도록 자석들(434)에 의해 제 위치에서 유지된다. 외측 마스크(445)는 내측 마스크(450) 위에 배치되며 내측 마스크와 물리적으로 접하며, 이때, 외측 마스크는 웨이퍼에 공정을 적용하도록 설계된 내측 마스크의 영역을 제외하고는 내측 마스크(450)의 주연부를 커버한다. 외측 마스크(245)의 일례가 도 3에 도시되어 있으며, 이 예에서는, 알루미늄의 접힌 시트로 형성되며, 여기서, 이 예는 에지 션트 분리 처리를 위한 것이므로, 내측 마스크는 작은 주연 에지(452)를 제외하고는 외측 마스크에 의해 커버된다. 에지 션트 분리를 위한 내측 마스크의 일례는 도 5에 도시되어 있으며, 이는 웨이퍼의 크기보다 약간 작다는 점, 예를 들어, 1 내지 2mm 작다는 점을 제외하고는 기본적으로 웨이퍼의 크기와 형상인 크기와 형상의 애퍼처를 갖는 평평한 금속 시트이다. 도 4의 실시예에서, 마스크 프레임(436)은 캐리어로부터 떨어져 내측 마스크와 외측 마스크를 들어 올리고 지지할 수 있도록 설치된다. 이러한 구성에서, 외측 마스크는 마스크 프레임(436)과 내측 마스크(450) 사이에 협지된다.
도 4a는, 예를 들어, 웨이퍼의 후면 상에 컨택트 패턴을 형성하는 데 사용될 수 있는 다른 일 실시예를 도시한다. 본 실시예에서, 서셉터는 전체 면 상의 웨이퍼를 지지하기 위한 상부 플랫폼을 형성한다. 자석들(434)은 서셉터의 상면 아래의 서셉터의 전체 영역에 걸쳐 임베딩된다. 내측 마스크(450)는 웨이퍼(420)의 전체 표면을 커버하며, 컨택트 설계에 따른 복수의 홀을 갖는다.
다시 도 2a 내지 도 2e를 참조해 보면, 리프터(215)는 내측 마스크와 함께 외측 마스크를 들어 올리는 데 사용될 수 있다. 또한, 웨이퍼 리프터(252)는, 웨이퍼를 프레임(230)으로부터 떨어져 들어 올리는 데 사용될 수 있고, 이에 따라, 로봇 팔을 사용하에 웨이퍼를 처리할 새로운 웨이퍼로 교체할 수 있다. 그러나, 대신에 리프터(215, 252)를 제거하고 마스크를 들어 올리고 웨이퍼를 교체하는 동작을 수동으로 행할 수도 있다.
도 4를 참조하여 전술한 실시예들에서, 캐리어는 캐리어의 주연 에지 상에서 웨이퍼를 지지하고, 이때, 웨이퍼는 현수되어 있다. 웨이퍼 아래에 형성된 포켓은 파손된 웨이퍼 조각들을 트랩핑하고 성막된 물질의 랩어라운드(wraparound)를 방지한다. 반면에, 도 4a의 실시예에서, 웨이퍼는 전체 표면에 걸쳐 지지된다. 마스크 조립체는, 스퍼터링 또는 처리의 다른 형태를 위해 제 위치에서 하강되고, 웨이퍼의 로딩과 언로딩을 위해 수동으로 또는 기계적으로 들어 올려진다. 캐리어 상의 일련의 자석들은 내측 마스크를 제 위치에 고정하고 웨이퍼와 밀접하는 것을 보조한다. 반복 사용 후, 외측 마스크와 내측 마스크를 교체할 수 있는 한편, 캐리어 조립체의 나머지를 재사용할 수 있다. 마스크 조립체 사이드 바라고도 하는 프레임(210)은 알루미나 또는 티타늄 등의 낮은 열 팽창 물질로 형성될 수 있다.
전술한 실시예들에 따르면, 내측 마스크는 갭 없이 기판과의 밀접한 접촉을 확립한다. 외측 마스크는 내측 마스크, 캐리어, 및 프레임을 성막 물질로부터 보호한다. 예시한 실시예들에서, 외측 및 내측 마스크 개구들은 에지 션트 분리 공정 동안 단결정 태양 전지에 적용하는 데 적합한 의사 정사각형으로 되어 있다. 다른 공정들 동안, 내측 마스크는 소정의 애퍼처 구성을 갖는 한편, 외측 마스크는 의사 정사각형 애퍼처를 갖는다. 의사 정사각형은, 웨이퍼가 절단된 원형 잉곳에 따라 모서리들이 절단된 정사각형이다. 물론, 다결정 정사각형 웨이퍼들을 사용하는 경우, 외측 및 내측 마스크 개구들도 정사각형일 것이다.
도 6은 웨이퍼 캐리어(605)의 일 실시예를 도시한다. 웨이퍼는 오목부(632) 상에서 주연부에 배치된다. 파선으로 도시되어 있는 자석들(634)은 웨이퍼 전체 둘레에 있어서 캐리어 내에 설치된다. 정렬 핀들(660)은 외측 마스크를 캐리어(605)에 정렬하는 데 사용된다. 외측 마스크의 일 실시예는 아래 측에서 본 도 7로 도시되어 있다. 외측 마스크(745)는 캐리어(605)의 정렬 핀(660)에 대응하는 정렬 홀 또는 오목부(762)를 갖는다.
도 8은 외측 및 내측 마스크들을 유지하고 이러한 마스크들을 서셉터에 고정하는 데 사용되는 상부 프레임(836)의 일 실시예를 도시한다. 상부 프레임(836)은, 예를 들어, 두 개의 횡단 바(864)에 의해 함께 유지되는 두 개의 길이방향 바(862)에 의해 제조될 수 있다. 외측 마스크는 포켓(866) 내에 유지된다. 정렬 홀들(868)은 상부 프레임을 서셉터에 정렬하도록 설치된다.
도 9는, 예를 들어, 웨이퍼 상에 복수의 컨택트를 제조하도록 설계된 홀 패턴을 갖는 내측 마스크의 일례를 도시한다. 이러한 내측 마스크는 도 10에 도시한 서셉터와 함께 사용될 수 있고, 자석들(1034)은 웨이퍼의 표면 아래의 전체 영역에 걸쳐 분포된다. 자석들은 극성을 교대로 하여 배향된다. 본 실시예에서는, 서셉터 내에 상승된 프레임을 가질 필요는 없지만, 대신에, 도 103의 예에서 도시한 바와 같이, 서셉터가 평평한 플랫폼의 형태일 수도 있다.
도 10a는 정전 처크(ESC; 1080)의 형태로 된 서셉터(1005)의 일 실시예를 도시한다. 본 실시예에서, ESC(1080)의 상부는 평평한 영역이며, 파손된 기판 조각들을 잡기 위한 상승된 프레임과 포켓을 갖지 않는다. 또한, 본 실시예에서, 스프링 로딩된 정렬 핀들(1082)은 기판(120)의 정확한 정렬이 가능하도록 설치된다. 마스크가 기판 위로 배치되면, 정렬 핀들(1082)을 기판 상에 평평하게 놓도록 압축한다. 정렬 핀들은 양측에만 설치되는데, 하나의 핀이 일측에 설치되고 두 개의 핀이 그 일측에 대하여 90도 배향된 타측에 설치된다. 이어서, 기판을 이러한 핀들에 대하여 가압하여 기판을 정렬할 수 있다.
전술한 실시예들로부터 이해할 수 있듯이, 내측 자기 마스크는 유연하도록 얇아야 하며, 기판 면을 따른다. 기판 홀더는, 내측 마스크를 기판과 접촉 상태로 유지하도록 자석들이 기판 아래에 임베딩되어 있는 한, 프레임, 정전 처크, 평평한 판 등일 수 있다. 자석들은 에지 분리를 위해 웨이퍼의 외측 에지만을 마스킹하는 것 등의 개방 영역 마스크를 위한 마스크 개구를 따라 존재한다. 기판의 표면 위로 홀들을 갖는 마스크를 위해, 자석들은 마스크의 전체 영역에 걸쳐 마스크 아래에서 어레이로 배치된다. 듀얼 마스크 장치는 성막, 임플란테이션, RIE 처리 등의 다양한 공정들에 사용될 수 있다. 예를 들어, 터치 패널은 ITO의 블랭킷 성막과 마스크를 통해 ITO를 패터닝하기 위한 후속하는 RIE 공정에 의해 제조될 수 있다.
본 발명을 특정 단계들과 특정 물질들의 예시적인 실시예들로 설명하였지만, 이러한 특정한 예들의 변형을 행하고 있고 및/또는 사용할 수 있으며, 이러한 구조와 방법은, 청구범위에 의해 규정되는 본 발명의 범위로부터 벗어나지 않고서 행해질 수 있는 개량을 용이하게 하는 것에 관한 동작들의 설명 및 예시하고 설명하는 프랙티스에 의해 주어지는 이해를 따른다는 점을 통상의 기술자라면 이해해야 한다.

Claims (28)

  1. 반도체 웨이퍼를 마스킹하기 위한 이중 마스킹 시스템(two part system)으로서,
    웨이퍼에 전달될 소망 패턴에 따라 절단된 적어도 하나의 애퍼처가 내부에 있는 평평한 금속 시트로 이루어지고, 상기 웨이퍼 상에 배치되면 상기 웨이퍼의 부분들을 커버하는, 내측 마스크; 및
    상기 내측 마스크 위에 배치되며 상기 내측 마스크를 마스킹하도록 구성된 외측 마스크를 포함하고,
    상기 외측 마스크는, 상기 내측 마스크의 주연 에지(peripheral edge)를 커버하도록 설계된 크기로 절단된 개구를 갖고, 상기 내측 마스크의 두께보다 큰 두께를 갖는, 이중 마스킹 시스템.
  2. 제1항에 있어서, 상기 내측 마스크의 애퍼처는 상기 웨이퍼의 주연 에지만을 커버하도록 설계된, 이중 마스킹 시스템.
  3. 제1항에 있어서, 상기 내측 마스크는 상기 웨이퍼의 일면 위로 반복 설계를 생성하도록 설계된 복수의 애퍼처를 포함하는, 이중 마스킹 시스템.
  4. 제1항에 있어서, 상기 내측 마스크는 자성 물질로 형성된, 이중 마스킹 시스템.
  5. 제1항에 있어서, 상기 내측 마스크의 두께는 0.001인치 내지 0.003인치인, 이중 마스킹 시스템.
  6. 제1항에 있어서, 상기 외측 마스크는 자성 물질로 형성된, 이중 마스킹 시스템.
  7. 제1항에 있어서, 상기 외측 마스크는 알루미늄으로 형성된, 이중 마스킹 시스템.
  8. 제1항에 있어서, 상기 내측 마스크는 강철로 형성된, 이중 마스킹 시스템.
  9. 제1항에 있어서, 상기 내측 마스크와 상기 외측 마스크를 지지하도록 구성된 마스크 프레임을 더 포함하고, 상기 외측 마스크가 상기 마스크 프레임과 상기 내측 마스크 사이에 협지된, 이중 마스킹 시스템.
  10. 처리 동안 웨이퍼를 지지하기 위한 장치로서,
    웨이퍼를 지지하기 위한 플랫폼을 갖는 웨이퍼 캐리어;
    상기 웨이퍼 위에 배치되도록 구성되고, 상기 웨이퍼의 부분들을 마스킹하고 상기 웨이퍼의 나머지 부분들을 노출하는 개구 패턴을 갖는 내측 마스크; 및
    상기 내측 마스크 위에 상기 캐리어에 걸쳐 배치되도록 구성되고, 상기 내측 마스크를 부분적으로 커버하도록 구성된 개구를 갖는 외측 마스크를 포함하는, 웨이퍼 지지 장치.
  11. 제10항에 있어서, 상기 내측 마스크는 상기 웨이퍼보다 약간 작은 크기로 절단된 개구를 갖는 평평한 금속 시트로 이루어지고, 이에 따라 상기 내측 마스크가 상기 웨이퍼 상에 배치되면 상기 내측 마스크가 상기 웨이퍼의 주연 에지를 커버하는, 웨이퍼 지지 장치.
  12. 제11항에 있어서, 상기 내측 마스크는 강철로 형성된, 웨이퍼 지지 장치.
  13. 제11항에 있어서, 상기 내측 마스크의 두께는 0.001인치 내지 0,003인치인, 웨이퍼 지지 장치.
  14. 제10항에 있어서, 상기 캐리어 내에 임베딩되고 상기 내측 마스크가 상기 웨이퍼와 접하게끔 상기 내측 마스크를 당기도록 구성된 복수의 자석을 더 포함하는, 웨이퍼 지지 장치.
  15. 제14항에 있어서, 상기 외측 마스크는 상기 자석들로부터의 자계를 션트(shunt)하고 상기 외측 마스크와 상기 내측 마스크의 접촉을 유지하도록 자성 물질로 형성된, 웨이퍼 지지 장치.
  16. 제10항에 있어서, 상기 외측 마스크는, 알루미늄으로 형성되고, 상기 내측 마스크 위에 물리적으로 접하면서 안착되는, 웨이퍼 지지 장치.
  17. 제10항에 있어서, 복수의 웨이퍼 캐리어를 동시에 지지하도록 구성된 캐리어 지지부를 더 포함하고, 상기 복수의 웨이퍼 캐리어의 각각은 대응하는 내측 마스크 조립체와 외측 마스크 조립체를 갖는, 웨이퍼 지지 장치.
  18. 제17항에 있어서, 상기 캐리어 지지부는 상기 복수의 웨이퍼 캐리어를 유지하는 세라믹 바(ceramic bars)를 포함하는, 웨이퍼 지지 장치.
  19. 제17항에 있어서, 상기 캐리어 지지부는 상기 웨이퍼 캐리어들을 처리 시스템을 통해 이송하도록 구성된 레일을 더 포함하는, 웨이퍼 지지 장치.
  20. 제17항에 있어서, 상기 캐리어 지지부는 상기 웨이퍼 캐리어로부터 분리되게끔 상기 외측 마스크와 상기 내측 마스크를 들어 올리도록(lift) 구성된 마스크 리프터를 더 포함하는, 웨이퍼 지지 장치.
  21. 제17항에 있어서, 상기 캐리어 지지부는 상기 웨이퍼 캐리어들로부터 분리되게끔 웨이퍼들을 들어 올리도록 구성된 웨이퍼 리프트 핀을 더 포함하는, 웨이퍼 지지 장치.
  22. 제10항에 있어서, 상기 외측 마스크와 상기 내측 마스크는, 웨이퍼들의 쉽고도 빠른 로딩과 언로딩이 가능하게끔 자력에 의해서만 상기 캐리어에 유지되도록 구성된, 웨이퍼 지지 장치.
  23. 제10항에 있어서, 상기 캐리어는 상기 웨이퍼 아래의 포켓을 규정하는 오목부가 있는 상승된 프레임(raised frame)을 갖는 서셉터를 포함하고, 상기 웨이퍼는 상기 오목부 상에 안착되는 상기 웨이퍼의 주연부에 의해 상기 포켓 위에서 현수되는, 웨이퍼 지지 장치.
  24. 제10항에 있어서, 상기 캐리어는 정렬 핀을 포함하고, 상기 외측 마스크는 대응하는 정렬 오목부를 갖는, 웨이퍼 지지 장치.
  25. 제10항에 있어서, 상기 외측 마스크는 알루미늄의 접힌 시트를 포함하는, 웨이퍼 지지 장치.
  26. 제14항에 있어서, 상기 복수의 자석은 사마리움 코발트로 형성된, 웨이퍼 지지 장치.
  27. 제10항에 있어서, 상기 내측 마스크와 상기 외측 마스크를 지지하도록 구성된 마스크 프레임을 더 포함하고, 상기 내측 마스크는 상기 마스크 프레임과 상기 외측 마스크 사이에 협지된, 웨이퍼 지지 장치.
  28. 제12항에 있어서, 상기 자석들은 프레임 주위로 N-S-N-S-N을 완전하게 교번하는, 웨이퍼 지지 장치.
KR1020147031672A 2012-04-19 2013-04-19 태양 전지 제조를 위한 이중 마스크 장치 KR102104688B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261635804P 2012-04-19 2012-04-19
US61/635,804 2012-04-19
US201261639052P 2012-04-26 2012-04-26
US61/639,052 2012-04-26
PCT/US2013/037464 WO2013159050A1 (en) 2012-04-19 2013-04-19 Dual-mask arrangement for solar cell fabrication

Publications (2)

Publication Number Publication Date
KR20150053733A true KR20150053733A (ko) 2015-05-18
KR102104688B1 KR102104688B1 (ko) 2020-05-29

Family

ID=49379018

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147031672A KR102104688B1 (ko) 2012-04-19 2013-04-19 태양 전지 제조를 위한 이중 마스크 장치

Country Status (9)

Country Link
US (1) US9525099B2 (ko)
EP (1) EP2839052A4 (ko)
JP (1) JP6243898B2 (ko)
KR (1) KR102104688B1 (ko)
CN (1) CN104685095B (ko)
MY (1) MY167662A (ko)
SG (2) SG11201406746RA (ko)
TW (1) TWI518839B (ko)
WO (1) WO2013159050A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9502276B2 (en) 2012-04-26 2016-11-22 Intevac, Inc. System architecture for vacuum processing
US9525099B2 (en) 2012-04-19 2016-12-20 Intevac, Inc. Dual-mask arrangement for solar cell fabrication
US9543114B2 (en) 2014-08-05 2017-01-10 Intevac, Inc. Implant masking and alignment system with rollers
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10679883B2 (en) * 2012-04-19 2020-06-09 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
US9694990B2 (en) * 2012-06-14 2017-07-04 Evatec Ag Transport and handing-over arrangement for disc-shaped substrates, vacuum treatment installation and method for manufacture treated substrates
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9399827B2 (en) * 2013-04-29 2016-07-26 Applied Materials, Inc. Microelectronic substrate electro processing system
CN104131252A (zh) * 2013-05-02 2014-11-05 上海和辉光电有限公司 提高封装成膜均匀性的方法及装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI654666B (zh) 2014-01-27 2019-03-21 Veeco Instruments, Inc. 用於化學氣相沉積系統之具有複合半徑容置腔的晶圓載具
SG10201807710WA (en) * 2014-02-20 2018-10-30 Intevac Inc System and method for bi-facial processing of substrates
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016078693A1 (en) * 2014-11-17 2016-05-26 Applied Materials, Inc. Masking arrangement with separate mask for a coating process and web coating installation
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TR201820029T4 (tr) * 2015-02-13 2019-02-21 Oerlikon Surface Solutions Ag Pfaeffikon Döner simetrik iş parçalarının tutulmasına yönelik mıknatıslı araçlar içeren bağlama aygıtı.
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6816132B2 (ja) * 2015-10-01 2021-01-20 インテヴァック インコーポレイテッド 基板製造のためのウエハプレートおよびマスク器具
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6749275B2 (ja) * 2017-03-31 2020-09-02 芝浦メカトロニクス株式会社 アウターマスク、プラズマ処理装置、およびフォトマスクの製造方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN207425825U (zh) * 2017-11-16 2018-05-29 君泰创新(北京)科技有限公司 太阳能电池硅片承载装置以及传输系统
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN109930107A (zh) * 2017-12-19 2019-06-25 上海和辉光电有限公司 一种张网固定结构和张网固定方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019156837A1 (en) * 2018-02-09 2019-08-15 Applied Materials, Inc. Apparatus and methods for reducing cross-contamination in cvd systems
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10553501B2 (en) * 2018-03-28 2020-02-04 Canon Kabushiki Kaisha Apparatus for use in forming an adaptive layer and a method of using the same
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN110395570A (zh) * 2018-04-24 2019-11-01 君泰创新(北京)科技有限公司 电池片上下料设备及其盖板错位检测装置
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
CN109913843B (zh) * 2019-03-14 2020-11-06 南京中电熊猫液晶显示科技有限公司 一种夹具装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN110055508B (zh) * 2019-05-30 2021-11-23 武汉华星光电技术有限公司 一种基板固定装置
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20230156441A (ko) * 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN110846614B (zh) * 2019-11-21 2022-03-25 昆山国显光电有限公司 一种掩膜版和蒸镀系统
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
TWI739597B (zh) * 2020-09-15 2021-09-11 宏進金屬科技股份有限公司 製造散熱片的方法及散熱片
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11715662B2 (en) * 2020-12-11 2023-08-01 Applied Materials, Inc. Actively clamped carrier assembly for processing tools
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11888082B2 (en) * 2021-09-29 2024-01-30 Dual Helios Semiconductor Equipment Company, Inc. Systems and methods for making solar panels or components thereof
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
EP4246598A1 (de) * 2022-03-16 2023-09-20 VON ARDENNE Asset GmbH & Co. KG Verfahren und vakuumsystem
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10152776A (ja) * 1996-11-21 1998-06-09 Toray Ind Inc 基板支持具および基板の支持方法
JP2000180556A (ja) * 1998-11-19 2000-06-30 General Electric Co <Ge> 検出器アレイ上にシンチレ―タ材料を堆積するための方法及び装置
JP2001049422A (ja) * 1999-08-09 2001-02-20 Hitachi Ltd メタルマスクの基板への保持固定構造、保持固定治具、その補助具、及びトレイ
JP2005256101A (ja) * 2004-03-12 2005-09-22 Mitsui Eng & Shipbuild Co Ltd 基板・マスク固定装置
JP2008274373A (ja) * 2007-05-02 2008-11-13 Optnics Precision Co Ltd 蒸着用マスク

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3498711A (en) * 1967-10-18 1970-03-03 Texas Instruments Inc Step and repeat camera
US3775644A (en) 1972-09-20 1973-11-27 Communications Satellite Corp Adjustable microstrip substrate holder
JPS57204547A (en) 1981-06-12 1982-12-15 Hitachi Ltd Exposing method
GB2155201B (en) 1984-02-24 1988-07-13 Canon Kk An x-ray exposure apparatus
US4599970A (en) * 1985-03-11 1986-07-15 Rca Corporation Apparatus for coating a selected area of the surface of an object
US4915057A (en) 1985-10-23 1990-04-10 Gte Products Corporation Apparatus and method for registration of shadow masked thin-film patterns
US4915564A (en) 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4699555A (en) 1986-05-08 1987-10-13 Micrion Limited Partnership Module positioning apparatus
US4913789A (en) * 1988-04-18 1990-04-03 Aung David K Sputter etching and coating process
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5489369A (en) 1993-10-25 1996-02-06 Viratec Thin Films, Inc. Method and apparatus for thin film coating an article
US5707745A (en) 1994-12-13 1998-01-13 The Trustees Of Princeton University Multicolor organic light emitting devices
JP3732250B2 (ja) 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
JPH09143733A (ja) * 1995-11-16 1997-06-03 Canon Inc スパッタ膜の製造方法およびスパッタ膜
TW320687B (ko) 1996-04-01 1997-11-21 Toray Industries
US6084494A (en) * 1997-01-23 2000-07-04 Hewlett-Packard Company Shuntable magnetic mask support apparatus
US6083566A (en) 1998-05-26 2000-07-04 Whitesell; Andrew B. Substrate handling and processing system and method
JP2000048954A (ja) 1998-07-30 2000-02-18 Toray Ind Inc 有機電界発光素子の製造方法
JP2000173769A (ja) 1998-12-03 2000-06-23 Toray Ind Inc 有機電界発光素子の製造方法
KR100633883B1 (ko) 1998-12-14 2006-10-16 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 포토마스크
TW552306B (en) 1999-03-26 2003-09-11 Anelva Corp Method of removing accumulated films from the surfaces of substrate holders in film deposition apparatus, and film deposition apparatus
US6532975B1 (en) 1999-08-13 2003-03-18 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2001110567A (ja) 1999-10-08 2001-04-20 Toray Ind Inc 有機電界発光装置の製造方法
JP4453884B2 (ja) * 1999-11-24 2010-04-21 大日本印刷株式会社 スパッタ用メタルマスクおよびカラーフィルタの製造方法
JP2001203079A (ja) 2000-01-18 2001-07-27 Toray Ind Inc 有機電界発光装置の製造方法
JP2001247961A (ja) 2000-03-06 2001-09-14 Casio Comput Co Ltd 蒸着用スクリーンマスク、蒸着方法及び有機el素子の製造方法
JP2002008859A (ja) 2000-06-16 2002-01-11 Sony Corp パターン形成装置、パターン形成方法、有機電界発光素子ディスプレイの製造装置及び製造方法
JP2002009098A (ja) 2000-06-16 2002-01-11 Sony Corp パターン形成装置、パターン形成方法、有機電界発光素子ディスプレイの製造装置及び製造方法
WO2002042508A1 (fr) * 2000-11-21 2002-05-30 Nippon Yakin Kogyo Co., Ltd. Materiau en alliage nickel-fer destine a un masque perfore parfaitement adapte a la gravure
US6895294B2 (en) 2000-12-04 2005-05-17 Freescale Semiconductor, Inc. Assembly comprising a plurality of mask containers, manufacturing system for manufacturing semiconductor devices, and method
JP4704605B2 (ja) * 2001-05-23 2011-06-15 淳二 城戸 連続蒸着装置、蒸着装置及び蒸着方法
JP4078813B2 (ja) * 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US6475287B1 (en) * 2001-06-27 2002-11-05 Eastman Kodak Company Alignment device which facilitates deposition of organic material through a deposition mask
CN100435269C (zh) 2001-07-15 2008-11-19 应用材料有限公司 处理系统
CN100355104C (zh) * 2001-08-24 2007-12-12 大日本印刷株式会社 真空蒸镀用多面成形掩模装置
WO2003034471A1 (en) * 2001-09-04 2003-04-24 The Trustees Of Princeton University Self-aligned hybrid deposition
US6716656B2 (en) * 2001-09-04 2004-04-06 The Trustees Of Princeton University Self-aligned hybrid deposition
US6589382B2 (en) * 2001-11-26 2003-07-08 Eastman Kodak Company Aligning mask segments to provide a stitched mask for producing OLED devices
US6749690B2 (en) * 2001-12-10 2004-06-15 Eastman Kodak Company Aligning mask segments to provide an assembled mask for producing OLED devices
JP3996439B2 (ja) * 2002-05-16 2007-10-24 大日本印刷株式会社 有機el素子製造に用いる真空蒸着用マスク装置
NL1020633C2 (nl) 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.
KR100838065B1 (ko) * 2002-05-31 2008-06-16 삼성에스디아이 주식회사 박막증착기용 고정장치와 이를 이용한 고정방법
JP4440563B2 (ja) * 2002-06-03 2010-03-24 三星モバイルディスプレイ株式會社 有機電子発光素子の薄膜蒸着用マスクフレーム組立体
JP3983113B2 (ja) * 2002-06-20 2007-09-26 Tdk株式会社 円板状基板用成膜装置に対する基板の受け渡し方法、基板受け渡しシステム、および当該方法を用いたディスク状記録媒体の製造方法
JP4072422B2 (ja) * 2002-11-22 2008-04-09 三星エスディアイ株式会社 蒸着用マスク構造体とその製造方法、及びこれを用いた有機el素子の製造方法
JP4380319B2 (ja) * 2002-12-19 2009-12-09 ソニー株式会社 蒸着装置および有機エレクトロルミネッセンス素子の製造方法
US7578647B2 (en) * 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
CN101504512B (zh) 2003-08-07 2012-11-14 株式会社尼康 曝光方法及曝光装置、载置台装置、及设备制造方法
KR101003699B1 (ko) * 2003-08-11 2010-12-23 주성엔지니어링(주) 섀도우 프레임을 포함하는 액정표시장치용 증착장치 및 그의 동작방법
JP4331707B2 (ja) * 2004-12-16 2009-09-16 三星モバイルディスプレイ株式會社 整列システム、垂直型トレイ移送装置及びこれを具備した蒸着装置
ATE437248T1 (de) * 2005-04-20 2009-08-15 Applied Materials Gmbh & Co Kg Verfahren und vorrichtung zur maskenpositionierung
EP1715075B1 (de) 2005-04-20 2008-04-16 Applied Materials GmbH & Co. KG Magnetische Maskenhalterung
DE102005021048A1 (de) * 2005-05-06 2006-12-28 Infineon Technologies Ag Vorrichtung zum Stabilisieren eines Werkstücks bei einer Bearbeitung
JP5189370B2 (ja) 2006-02-01 2013-04-24 オリンパス株式会社 基板交換装置及び基板処理装置並びに基板検査装置
CN100368831C (zh) 2006-03-16 2008-02-13 曹国斌 一种采聚太阳能的掩模片及采用掩模片的太阳能装置
JP4614455B2 (ja) 2006-04-19 2011-01-19 東京エレクトロン株式会社 基板搬送処理装置
US20080006523A1 (en) * 2006-06-26 2008-01-10 Akihiro Hosokawa Cooled pvd shield
US8128333B2 (en) 2006-11-27 2012-03-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method for semiconductor devices
JP4753313B2 (ja) 2006-12-27 2011-08-24 東京エレクトロン株式会社 基板処理装置
JP5081516B2 (ja) * 2007-07-12 2012-11-28 株式会社ジャパンディスプレイイースト 蒸着方法および蒸着装置
US7847938B2 (en) 2007-10-01 2010-12-07 Maskless Lithography, Inc. Alignment system for optical lithography
CN101675178A (zh) * 2007-11-30 2010-03-17 佳能安内华股份有限公司 基板处理设备及基板处理方法
JP2011507131A (ja) * 2007-12-06 2011-03-03 インテバック・インコーポレイテッド パターン化媒体を商業的に製造するシステム及び方法
US8138782B2 (en) 2008-01-10 2012-03-20 Applied Materials, Inc. Photovoltaic cell solar simulator
US20100111650A1 (en) 2008-01-31 2010-05-06 Applied Materials, Inc. Automatic substrate loading station
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US7843295B2 (en) * 2008-04-04 2010-11-30 Cedar Ridge Research Llc Magnetically attachable and detachable panel system
EP2110455A1 (en) * 2008-04-18 2009-10-21 Applied Materials, Inc. Mask support, mask assembly, and assembly comprising a mask support and a mask
US8602707B2 (en) * 2008-05-30 2013-12-10 Alta Devices, Inc. Methods and apparatus for a chemical vapor deposition reactor
CN101635253A (zh) * 2008-06-14 2010-01-27 因特维克有限公司 利用可拆除掩模处理基板的系统和方法
DE102008037387A1 (de) * 2008-09-24 2010-03-25 Aixtron Ag Verfahren sowie Vorrichtung zum Abscheiden lateral strukturierter Schichten mittels einer magnetisch auf einem Substrathalter gehaltenen Schattenmaske
CN102246274A (zh) * 2008-10-10 2011-11-16 奥塔装置公司 用于气相沉积的同轴喷头
EP2351069A4 (en) * 2008-10-10 2014-06-04 Alta Devices Inc CHEMICAL GAS PHASE DEPOSITION WITH CONTINUOUS INTRODUCTION
CN102131949B (zh) * 2008-10-21 2013-10-09 株式会社爱发科 掩模及使用掩模的成膜方法
KR101202346B1 (ko) * 2009-04-16 2012-11-16 삼성디스플레이 주식회사 박막 증착용 마스크 프레임 조립체, 그 제조 방법 및 유기 발광 표시 장치의 제조 방법
ITUD20090214A1 (it) 2009-11-24 2011-05-25 Applied Materials Inc Effettore d'estremita' per la manipolazione di substrati
CN102549190A (zh) * 2009-08-26 2012-07-04 佳能安内华股份有限公司 成膜设备
JP2011049507A (ja) 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
KR101206250B1 (ko) 2009-10-13 2012-11-28 주식회사 엘지화학 식각 마스크 패턴 형성용 페이스트 및 이의 스크린 인쇄법을 이용한 실리콘 태양전지의 제조방법
US20110141448A1 (en) 2009-11-27 2011-06-16 Nikon Corporation Substrate carrier device, substrate carrying method, substrate supporting member, substrate holding device, exposure apparatus, exposure method and device manufacturing method
JP5582895B2 (ja) 2010-07-09 2014-09-03 キヤノンアネルバ株式会社 基板ホルダーストッカ装置及び基板処理装置並びに該基板ホルダーストッカ装置を用いた基板ホルダー移動方法
EP2423350B1 (en) * 2010-08-27 2013-07-31 Applied Materials, Inc. Carrier for a substrate and a method for assembling the same
US9837294B2 (en) 2011-09-16 2017-12-05 Persimmon Technologies Corporation Wafer transport system
US8378318B1 (en) 2011-11-18 2013-02-19 Varian Semiconductor Equipment Associates, Inc. Fixed mask design improvements
WO2013106442A1 (en) * 2012-01-10 2013-07-18 Hzo, Inc. Masks for use in applying protective coatings to electronic assemblies, masked electronic assemblies and associated methods
SG11201406746RA (en) 2012-04-19 2015-03-30 Intevac Inc Dual-mask arrangement for solar cell fabrication
JP6231078B2 (ja) 2012-04-26 2017-11-15 インテヴァック インコーポレイテッド 真空プロセスのためのシステム構成
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
US9082799B2 (en) 2012-09-20 2015-07-14 Varian Semiconductor Equipment Associates, Inc. System and method for 2D workpiece alignment
SG10201807710WA (en) 2014-02-20 2018-10-30 Intevac Inc System and method for bi-facial processing of substrates
CN106688088B (zh) 2014-08-05 2020-01-10 因特瓦克公司 注入掩膜及对齐

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10152776A (ja) * 1996-11-21 1998-06-09 Toray Ind Inc 基板支持具および基板の支持方法
JP2000180556A (ja) * 1998-11-19 2000-06-30 General Electric Co <Ge> 検出器アレイ上にシンチレ―タ材料を堆積するための方法及び装置
JP2001049422A (ja) * 1999-08-09 2001-02-20 Hitachi Ltd メタルマスクの基板への保持固定構造、保持固定治具、その補助具、及びトレイ
JP2005256101A (ja) * 2004-03-12 2005-09-22 Mitsui Eng & Shipbuild Co Ltd 基板・マスク固定装置
JP2008274373A (ja) * 2007-05-02 2008-11-13 Optnics Precision Co Ltd 蒸着用マスク

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9525099B2 (en) 2012-04-19 2016-12-20 Intevac, Inc. Dual-mask arrangement for solar cell fabrication
US9502276B2 (en) 2012-04-26 2016-11-22 Intevac, Inc. System architecture for vacuum processing
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
US9543114B2 (en) 2014-08-05 2017-01-10 Intevac, Inc. Implant masking and alignment system with rollers

Also Published As

Publication number Publication date
EP2839052A1 (en) 2015-02-25
WO2013159050A1 (en) 2013-10-24
MY167662A (en) 2018-09-21
EP2839052A4 (en) 2015-06-10
US9525099B2 (en) 2016-12-20
JP2015520799A (ja) 2015-07-23
CN104685095B (zh) 2017-12-29
CN104685095A (zh) 2015-06-03
SG10201608512QA (en) 2016-12-29
JP6243898B2 (ja) 2017-12-06
TW201349384A (zh) 2013-12-01
TWI518839B (zh) 2016-01-21
KR102104688B1 (ko) 2020-05-29
US20130276978A1 (en) 2013-10-24
SG11201406746RA (en) 2015-03-30

Similar Documents

Publication Publication Date Title
KR102104688B1 (ko) 태양 전지 제조를 위한 이중 마스크 장치
US10679883B2 (en) Wafer plate and mask arrangement for substrate fabrication
US10115617B2 (en) System architecture for vacuum processing
EP3108030B1 (en) System and method for bi-facial processing of substrates
US10062600B2 (en) System and method for bi-facial processing of substrates
JP6816132B2 (ja) 基板製造のためのウエハプレートおよびマスク器具
JP2018531510A6 (ja) 基板製造のためのウエハプレートおよびマスク器具
US10854497B2 (en) Apparatus and method of selective turning over a row of substrates in an array of substrates in a processing system
KR20140058270A (ko) 배치식 기판처리장치
JP2004327776A (ja) 縦型熱処理炉用ウェーハボート
JP2000100914A (ja) 基板の吸着装置及び露光装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant