CN103515390A - 包括具有不同鳍轮廓的finfet的集成电路 - Google Patents

包括具有不同鳍轮廓的finfet的集成电路 Download PDF

Info

Publication number
CN103515390A
CN103515390A CN201310005209.2A CN201310005209A CN103515390A CN 103515390 A CN103515390 A CN 103515390A CN 201310005209 A CN201310005209 A CN 201310005209A CN 103515390 A CN103515390 A CN 103515390A
Authority
CN
China
Prior art keywords
fin
finfet
integrated circuit
finfet device
profile
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310005209.2A
Other languages
English (en)
Other versions
CN103515390B (zh
Inventor
廖忠志
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103515390A publication Critical patent/CN103515390A/zh
Application granted granted Critical
Publication of CN103515390B publication Critical patent/CN103515390B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Abstract

本发明提供了一种集成电路。该集成电路包括衬底、由衬底所支撑的第一FinFET器件,该第一FinFET具有带有不分层鳍轮廓的第一鳍,以及由衬底所支撑的第二FinFET,该第二FinFET具有带有分层鳍轮廓的第二鳍。本发明还提供了一种包括具有不同鳍轮廓的FINFET的集成电路。

Description

包括具有不同鳍轮廓的FINFET的集成电路
技术领域
本发明涉及半导体领域,更具体地,本发明涉及一种包括具有不同鳍轮廓的FINFET的集成电路。
背景技术
半导体器件用在大量电子器件中,诸如,计算机、手机以及其他电子器件。半导体器件包括集成电路,通过在半导体晶圆上方沉积多种材料薄膜,并且图案化材料薄膜来在半导体晶圆上形成该集成电路。集成电路包括场效应晶体管(FET),诸如,金属氧化物半导体(MOS)晶体管。
半导体工业的目标之一是持续缩小单个FET的尺寸并且提高其速度。为了实现这些目标,发展出了鳍式FET(FINFET)或多栅极场效应晶体管(MuGFET)。这些器件不仅改善了面积密度,还改善了沟道的栅极控制。
发明内容
为了解决现有技术中所存在的问题,根据本发明的一个方面,提供了一种集成电路,包括:衬底;第一FinFET器件,由所述衬底支撑,所述第一FinFET器件包括具有不分层鳍轮廓的第一鳍;以及第二FinFET器件,由所述衬底支撑,所述第二FinFET器件包括具有分层鳍轮廓的第二鳍。
在所述的集成电路中,所述第二鳍是具有分层鳍轮廓的所述第二FinFET器件的多个第二鳍中的一个。
在所述的集成电路中,所述第一FinFET器件应用于静态随机存取存储器单元、动态随机存取存储器单元、闪存单元和静态随机存取存储器上拉晶体管中的一种。
在所述的集成电路中,所述第二FinFET器件应用于逻辑器件、下拉晶体管和传输门晶体管中的一种。
在所述的集成电路中,所述第一FinFET器件和所述第二FinFET器件均是p型金属氧化物半导体场效应晶体管。
在所述的集成电路中,所述第一FinFET器件是用作第一静态随机存取存储器中的上拉晶体管的p型金属氧化物半导体场效应晶体管,而所述第二FinFET器件是用作第二静态随机存取存储器中的下拉晶体管和传输门晶体管中的一种的n型金属氧化物半导体场效应晶体管。
在所述的集成电路中,所述第一鳍的不分层轮廓沿着所述第一鳍的长度是不一致的。
在所述的集成电路中,所述第一FinFET器件是具有多个第一鳍的多栅极场效应晶体管,所述多个第一鳍具有不分层轮廓。
在所述的集成电路中,具有所述分层鳍轮廓的所述第二鳍的下层的宽度是所述第二鳍的上层的宽度的至少两倍。
根据本发明的另一方面,提供了一种集成电路,包括:衬底;第一FinFET器件,由所述衬底支撑,所述第一FinFET器件具有在源极区域和漏极区域之间延伸并且设置在第一栅电极下方的第一鳍,所述第一鳍包括不分层鳍轮廓;以及第二FinFET器件,由所述衬底支撑,所述第二FinFET器件具有在源极区域和漏极区域之间延伸并且设置在第二栅电极下方的第二鳍,所述第二FinFET器件包括具有分层鳍轮廓的第二鳍。
在所述的集成电路中,具有所述分层鳍轮廓的所述第二鳍的下层的宽度是所述第二鳍的上层的宽度的至少两倍。
在所述的集成电路中,所述第一鳍的不分层轮廓沿着所述第一鳍的长度是不一致的。
在所述的集成电路中,所述第二鳍的上层的高度小于大约50纳米。
在所述的集成电路中,所述第一FinFET器件形成在具有第一掺杂类型的第一阱上方,并且所述第二FinFET器件形成在具有与所述第一掺杂类型不同的第二掺杂类型的第二阱上方。
在所述的集成电路中,所述第一FinFET器件包括在源极区域和漏极区域之间延伸并且设置在所述第一栅电极下方的伪鳍。
在所述的集成电路中,所述第一FinFET器件形成上拉晶体管。
在所述的集成电路中,所述第二FinFET器件形成下拉晶体管和传输门栅晶体管中的至少一个。
根据本发明的又一方面,提供了一种形成集成电路的方法,包括:在衬底上方形成第一FinFET器件,所述第一FinFET器件包括具有不分层鳍轮廓的第一鳍;以及在所述衬底上方形成第二FinFET,所述第二FinFET包括具有分层鳍轮廓的第二鳍。
在所述的方法中,还包括:在所述第一鳍和所述第二鳍的相对端部附近形成源极区域和漏极区域。
在所述的方法中,还包括:在所述第一鳍上方形成第一栅电极,以及在所述第二鳍上方形成第二栅电极。
附图说明
为了更全面地理解实施例及其优势,现将结合附图所进行的描述作为参考,其中:
图1示出了具有一个不分层的鳍的实施例FinFET;
图2示出了具有多个不分层的鳍的实施例FinFET;
图3示出了具有多个分层的鳍的实施例FinFET;
图4A和图4B示出了一个实施例集成电路器件,该集成电路器件包括有位于单个衬底上的图1的带有不分层的鳍的FinFET以及图3的带有分层的鳍的FinFET;
图5A和图5B示出了一个实施例集成电路器件,该集成电路器件包括有位于单个衬底上的带有图1的非均匀不分层的鳍的FinFET以及图3的带有分层的鳍的FinFET;
图6-图7示出了如何实现包括有图1的带有不分层的第一鳍的第一FinFET以及图3的具有分层的鳍的第三FinFET的实施例集成电路器件,从而形成SRAM单体单元的一个实例;
图8-图9示出了如何实现包括有图1的带有不分层的第一鳍的第一FinFET以及图3的具有分层的鳍的第三FinFET的实施例集成电路器件,从而形成SRAM单体单元的另一个实例;
图10-图11示出了如何实现包括有图1的带有不分层的第一鳍的第一FinFET以及图3的具有分层的鳍的第三FinFET的实施例集成电路器件,从而形成SRAM单体单元的又一个实例
图12-图14示出了包括有图1的带有不分层的第一鳍的第一FinFET以及图3的具有分层的鳍的第三FinFET的实施例集成电路,以及器件的外延轮廓;
图15-图17示出了可以应用于实施例SRAM单体单元的线末端(BEOL)布线方案的实施例;
图18a-图18f示意性地示出了一种形成实施例集成电路的方法,该集成电路在共用的衬底上具有图1的带有不分层的鳍的第一FinFET以及图3的带有分层的鳍的第三FinFET。
除非另行指出,不同的视图中的相应的标号和标记大体上涉及了相应的部分。视图被绘制用于清楚地示出各个实施例的相关方面,无需按比例进行绘制。
具体实施方式
下面,详细讨论本发明各实施例的制造和使用。然而,应该理解,本发明提供了许多可以在各种具体环境中实现的可应用的概念。所讨论的具体实施例仅仅示出了制造和使用本发明的具体方式,而不用于限制本发明的范围。
将借助实施例在具体的语境,即,被单个衬底所支撑,但每个鳍均具有不同的鳍轮廓的双鳍式场效应晶体管(FinFET)中描述本发明。然而,本发明的实施例也可以应用于多种半导体器件。在下文中,将借助附图详细解释多个实施例。
现参考图1,示出了第一FinFET 10。第一FinFET器件10包括从下面的衬底14中向外突起并且嵌在氧化层16或其他适合的绝缘区域(例如,浅沟槽隔离(STI)区域)内的第一鳍12。另外,第一FinFET器件10还包括形成在位于源极/漏极区域20之间的第一鳍12上方的栅电极结构18。如所示,第一鳍12的鳍轮廓22(即,周边)从顶部到底部大体上是一致的。换言之,第一鳍22的相对的侧壁不包括台阶或凸肩。例如,第一鳍12在此被称为具有不分层的鳍轮廓。
现参考图2,示出了第二FinFET 24。第二FinFET 24包括多个从下面的衬底28中向外突起并且嵌在氧化层30或其他适合的绝缘区域(例如,浅沟槽隔离(STI)区域)之内的第二鳍26。虽未示出,但第二FinFET 24器件也包括一个或多个形成在位于源极/漏极区域之间的第二鳍26上方的栅电极结构。如所示,每个第二鳍26均具有鳍轮廓32,该鳍轮廓32在第二鳍26的两侧上没有台阶或凸肩。换言之,第二鳍26的侧壁不包括台阶或凸肩。由此,第二鳍26在本文中还称为具有不分层(non-tiered)鳍轮廓。
现参考图3,示出了第三FinFET 34。第三FinFET 34包括多个从下面的衬底38中向外突起并且嵌在氧化层40或其他适合的绝缘区域(例如,浅沟槽隔离(STI)区域)之内的第三鳍36。第三FinFET 34还包括形成在位于源极/漏极区域(未示出)之间的第三鳍36上方的栅电极结构42。如所示,第三鳍36的鳍轮廓44从顶部到底部不一致。换言之,第三鳍36的相对的侧壁分别包括台阶或凸肩46。例如,第三鳍36在此被称为具有分层的鳍轮廓。
如图3所示,第三鳍36的上层50(即,第一段)的宽度48小于下层54(即,第二段)的宽度52。显然,在上层50和下层54在凸肩46处相交或具有界面。在一个实施例中,第三鳍36的下层54的宽度52是上层50的宽度48的至少两倍。在一个实施例中,上层50的高度56小于大约50纳米(50nm)。
如图3所示,一些第三鳍36可以设置在形成在衬底38中的p阱58上,而其他第三鳍36则设置在n阱60上。应该理解,取决于例如第一、第二和第三FinFET 10、24、34的掺杂,也可以想到其他阱配置。
现参考图4A和图4B,示出了实施例集成电路62。集成电路62在单个衬底64(图4A和图4B中分两部分示出)上包括带有不分层的鳍的FinFET(例如,图1的FinFET 10或图2的FinFET 24)以及另一个带有分层的鳍的FinFET(例如,图3的FinFET)。处于说明性目的,图4A和图4B中包括的FinFET与图1的FinFET与类似。尽管如此,应该理解,在另一个实施例中,与图2的FinFET 24类似的FinFET可以替代图1的FinFET10。
如下面将更全面地解释的那样,使用带有两个分层的和不分层的鳍的FinFET的集成电路62的配置允许在共享的衬底64上形成高密度电路(例如,静态随机存储(SRAM)单元,动态随机存储(DRAM)单元,闪存单元(fresh cell),或SRAM上拉晶体管)以及速度临界电路(例如,逻辑器件、SRAM下拉晶体管,SRAM传输门栅晶体管)两者。例如,图4的集成电路提供了区域和器件两者的性能优化。
仍参考图4A和图4B,实施例集成电路器件62包括位于相同的衬底68上的第一FinFET 10(图1)和第三FinFET(图3)两者。因此,集成电路62包括多个其鳍轮廓22是不分层的第一鳍12以及多个其鳍轮廓44是分层的第三鳍36。如所示,部分地嵌入到STI区域66中的第一鳍12和第三鳍36均被栅电极结构68覆盖,并且均包括沟道区域70。另外,在第一鳍12和第三鳍36中形成了阱区域72。
另外,在一个实施例中,第一、第二和第三FinFET 10、24、34可以是p型的或n型的MOSFET器件。在一个实施例中,第一和第二FinFET 10、24两者以及第三FinFET 34都是p型的MOSFET。在一个实施例中,第一、第二和第三FinFET 10、24、34的源极/漏极区域可以由硅(Si)、锗(Ge)、硅锗(SiGe)、硅锗碳(SiGeC)或其一些组合形成。
在一个实施例中,图4中的第一鳍12具有从顶部到底部增大的宽度74。换言之,宽度74随着第一鳍12远离衬底64地突出而逐渐变尖或缩小。在另一个实施例中,第一鳍12可以具有在整个长度76上大体上保持不变的宽度74。
如图4A和图4B所示,在一个实施例中,多个第三鳍36的下层54(以虚线示出)合并在一起。尽管如此,每个第三鳍36在上层50和下层54的界面处仍包括台阶或凸肩46。合并的下层54的整体宽度78在大约30nm和大约5000nm之间,而上层50的宽度80在大约3nm和大约20nm之间。
现参考图5A和图5B,在一个实施例中,第一鳍12的鳍轮廓22在长度76上是不一致的。正如图5A和图5B所示,由鳍的底面和鳍的左侧壁形成的轮廓角约为75度,而由顶面和左侧壁形成的轮廓角约为90度。图5中的第一鳍12的中部82中的轮廓角约为84度。尽管角度不同,但图5中的鳍轮廓从顶部到底部仍是缓慢地过渡,由此第一鳍12被视为不分层的。换言之,第一鳍12不包括台阶或凸肩。仍参考图5,在一个实施例中,合并的第三鳍36的下层54的宽度84可以随着第三鳍36朝向衬底64而变大。
现参考图6-图7的一个实例,即,如何实现包括有带有不分层的第一鳍12的第一FinFET 10以及具有分层的鳍36的第三FinFET34的实施例集成电路86,从而形成SRAM的单体单元(unit cell)88。如所示,来自设置在衬底64中的n阱60上的第一FinFET 10的不分层的第一鳍12形成了上拉晶体管(PU-1,PU-2)。另外,来自设置在衬底64中的p阱58上的第三FinFET 34的分层的第三鳍36形成了下拉晶体管和通栅(pass gate)晶体管(PD-1,PD-2,PG-1,PG-2)。在一个实施例中,第一鳍12之一是伪鳍。
现参考图8-图9的另一个实例,即,如何实现包括有图1的带有不分层的第一鳍12的第一FinFET 10以及具有分层的鳍36的第三FinFET 34的实施例集成电路90,从而形成SRAM单体单元92。如所示,来自设置在衬底64中的n阱60上的第一FinFET 10的不分层的第一鳍12形成了上拉晶体管(PU-1,PU-2)。另外,来自已经合并并且设置在衬底64中的p阱58上的第三FinFET 34的分层的第三鳍36形成了下拉晶体管和传输门栅晶体管(PD-1,PD-2,PG-1,PG-2)。在一个实施例中,第一鳍12之一是伪鳍。
现参考图10-图11的另一个实例,即,如何实现包括有带有不分层的第一鳍12的第一FinFET 10以及具有分层的鳍36的第三FinFET 34的实施例集成电路94,从而形成SRAM单体单元96。图10-图11的集成电路94与图8-图9的集成电路90类似,除了如图10所示的形成了传输门栅晶体管(PG-1)的分层的鳍36之一在BL节点附近被截短以外。例如,被截短的鳍36并不延伸穿过PG-1附近的栅电极。因为被截短的鳍36较短,所以单体单元96的离子比可以具有改善的稳定性。在一个实施例中,第一鳍12之一是伪鳍。
现参考图12-图14,示出了包括有带有不分层的第一鳍12的第一FinFET 10以及带有第三鳍36的第三FinFET 34的实施例集成电路98。如图14所示,第一FinFET 10的沟道区域102上的源极/漏极区域100可以具有相对于第三FinFET 34的沟道区域106上的源极/漏极区域104较小的轮廓。应该意识到,具有各种不同形状和尺寸的不同轮廓可以被用在第一、第二和第三FinFET 10,24,34中。
现参考图15,在一个实施例中,可以将后段工艺(BEOL)布线方案108应用于SRAM单体单元,诸如,在本文中所公开的单体单元88,92,96。在另一个实施例中,可以使用图16所示的后段工艺(BEOL)布线方案或图17所示的后段工艺(BEOL)布线方案112。
整体参考图18a-图18f,示意性地示出了一种形成实施例集成电路的方法,该集成电路在共用的衬底上具有带有不分层的鳍的第一FinFET以及带有分层的鳍的第三FinFET。在图18a中,光刻胶114被放置在设置在衬底118上方的硬掩模层116上并且执行硬掩模蚀刻来图案化该硬掩模层。在图18b中,光刻胶114被去除,从而留下了部分硬掩模层116。然后,在图18c中,执行覆盖式蚀刻来部分地限定鳍120。在图18d中,第二光刻胶122被放置在部分地形成的鳍120和部分衬底118上。然后,执行硅蚀刻来蚀刻衬底118,从而限定出STI区域,进一步限定出鳍轮廓并且去除不使用的鳍、伪鳍、或不使用的晶体管。在图18c中,在部分结构上方形成了第三光刻胶126,从而保护分层的鳍,并且执行另一蚀刻来制造不分层的鳍。然后,如图18f所示,利用例如氧化物填充STI区域124,并且去除硬掩模层116的剩余部分,从而留下了在共用的衬底上具有带有不分层的鳍的第一FinFET 10以及带有分层的鳍的第三FinFET 34的集成电路。
在一个实施例中,提供了一种集成电路。该集成电路包括:衬底,由衬底支撑的第一FinFET器件,具有带有不分层轮廓(non-tiered fin profile)的第一鳍的第一FinFET器件,以及由衬底支撑的第二FinFET,具有带有分层鳍轮廓(tiered fin profile)的第二鳍的第二FinFET。
在一个实施例中,提供了一种集成电路,该集成电路包括:衬底,由衬底支撑的第一FinFET器件,具有在源极和漏极区域之间延伸并且设置在第一栅电极下方的第一鳍的第一FinFET器件,包括不分层鳍轮廓的第一鳍,以及由衬底支撑的第二FinFET,该第二FinFET器件具有在源极和漏极区域之间延伸并且设置在第二栅电极下方的第二鳍,该第二FinFET器件具有带有分层鳍轮廓的第二鳍。
在一个实施例中,提供了一种形成集成电路的方法。该方法包括在衬底上方形成第一FinFET,该第一FinFET具有带有不分层鳍轮廓的第一鳍,以及在衬底上方形成第二FinFET,该第二FinFET具有带有分层鳍轮廓的第二鳍。
尽管已经详细地描述了本发明及其优势,但应该理解,可以在不背离所附权利要求限定的本发明主旨和范围的情况下,做各种不同的改变,替换和更改。
而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员应理解,通过本发明,现有的或今后开发的用于执行与根据本发明所采用的所述相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造,材料组分、装置、方法或步骤根据本发明可以被使用。因此,所附权利要求应该包括在这样的工艺、机器、制造、材料组分、装置、方法或步骤的范围内。

Claims (10)

1.一种集成电路,包括:
衬底;
第一FinFET器件,由所述衬底支撑,所述第一FinFET器件包括具有不分层鳍轮廓的第一鳍;以及
第二FinFET器件,由所述衬底支撑,所述第二FinFET器件包括具有分层鳍轮廓的第二鳍。
2.根据权利要求1所述的集成电路,其中,所述第二鳍是具有分层鳍轮廓的所述第二FinFET器件的多个第二鳍中的一个。
3.根据权利要求1所述的集成电路,其中,所述第一FinFET器件应用于静态随机存取存储器单元、动态随机存取存储器单元、闪存单元和静态随机存取存储器上拉晶体管中的一种。
4.根据权利要求1所述的集成电路,其中,所述第二FinFET器件应用于逻辑器件、下拉晶体管和传输门晶体管中的一种。
5.根据权利要求1所述的集成电路,其中,所述第一FinFET器件和所述第二FinFET器件均是p型金属氧化物半导体场效应晶体管。
6.根据权利要求1所述的集成电路,其中,所述第一FinFET器件是用作第一静态随机存取存储器中的上拉晶体管的p型金属氧化物半导体场效应晶体管,而所述第二FinFET器件是用作第二静态随机存取存储器中的下拉晶体管和传输门晶体管中的一种的n型金属氧化物半导体场效应晶体管。
7.根据权利要求1所述的集成电路,其中,所述第一鳍的不分层轮廓沿着所述第一鳍的长度是不一致的。
8.根据权利要求1所述的集成电路,其中,所述第一FinFET器件是具有多个第一鳍的多栅极场效应晶体管,所述多个第一鳍具有不分层轮廓。
9.一种集成电路,包括:
衬底;
第一FinFET器件,由所述衬底支撑,所述第一FinFET器件具有在源极区域和漏极区域之间延伸并且设置在第一栅电极下方的第一鳍,所述第一鳍包括不分层鳍轮廓;以及
第二FinFET器件,由所述衬底支撑,所述第二FinFET器件具有在源极区域和漏极区域之间延伸并且设置在第二栅电极下方的第二鳍,所述第二FinFET器件包括具有分层鳍轮廓的第二鳍。
10.一种形成集成电路的方法,包括:
在衬底上方形成第一FinFET器件,所述第一FinFET器件包括具有不分层鳍轮廓的第一鳍;以及
在所述衬底上方形成第二FinFET,所述第二FinFET包括具有分层鳍轮廓的第二鳍。
CN201310005209.2A 2012-06-29 2013-01-07 包括具有不同鳍轮廓的finfet的集成电路 Active CN103515390B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/537,770 2012-06-29
US13/537,770 US9583398B2 (en) 2012-06-29 2012-06-29 Integrated circuit having FinFETS with different fin profiles

Publications (2)

Publication Number Publication Date
CN103515390A true CN103515390A (zh) 2014-01-15
CN103515390B CN103515390B (zh) 2016-10-05

Family

ID=49754248

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310005209.2A Active CN103515390B (zh) 2012-06-29 2013-01-07 包括具有不同鳍轮廓的finfet的集成电路

Country Status (5)

Country Link
US (2) US9583398B2 (zh)
KR (1) KR101393947B1 (zh)
CN (1) CN103515390B (zh)
DE (1) DE102013105074B4 (zh)
TW (1) TWI509778B (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106601683A (zh) * 2015-10-15 2017-04-26 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
CN107039455A (zh) * 2016-01-29 2017-08-11 台湾积体电路制造股份有限公司 半导体元件及其制造方法
CN107431044A (zh) * 2015-06-24 2017-12-01 瑞萨电子株式会社 半导体器件
CN107680940A (zh) * 2016-08-02 2018-02-09 台湾积体电路制造股份有限公司 Finfet及其形成方法
CN107689331A (zh) * 2016-08-03 2018-02-13 台湾积体电路制造股份有限公司 鳍结构及其形成方法
CN109148451A (zh) * 2017-06-27 2019-01-04 联华电子股份有限公司 静态随机存取存储器单元阵列及其形成方法
CN109994548A (zh) * 2017-12-29 2019-07-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109994471A (zh) * 2017-12-29 2019-07-09 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN110660800A (zh) * 2018-06-28 2020-01-07 台湾积体电路制造股份有限公司 半导体器件和生成布局图的方法
CN110690218A (zh) * 2018-07-05 2020-01-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN111129142A (zh) * 2018-11-01 2020-05-08 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN113555361A (zh) * 2020-04-23 2021-10-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11488868B2 (en) * 2018-12-27 2022-11-01 Nanya Technology Corporation FinFET structure having different channel lengths

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8987835B2 (en) * 2012-03-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with a buried semiconductor material between two fins
EP2717316B1 (en) * 2012-10-05 2019-08-14 IMEC vzw Method for producing strained germanium fin structures
US8753940B1 (en) * 2013-03-15 2014-06-17 Globalfoundries Inc. Methods of forming isolation structures and fins on a FinFET semiconductor device
US9048123B2 (en) * 2013-09-19 2015-06-02 International Business Machines Corporation Interdigitated finFETs
US9209178B2 (en) * 2013-11-25 2015-12-08 International Business Machines Corporation finFET isolation by selective cyclic etch
US9318488B2 (en) * 2014-01-06 2016-04-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9793268B2 (en) * 2014-01-24 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for gap filling improvement
KR102193493B1 (ko) * 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
WO2015157501A1 (en) * 2014-04-10 2015-10-15 Alphabet Energy, Inc. Ultra-long silicon nanostructures, and methods of forming and transferring the same
US9941406B2 (en) 2014-08-05 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with source/drain cladding
US9324619B2 (en) 2014-08-25 2016-04-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9299706B1 (en) 2014-09-25 2016-03-29 International Business Machines Corporation Single source/drain epitaxy for co-integrating nFET semiconductor fins and pFET semiconductor fins
KR102284888B1 (ko) 2015-01-15 2021-08-02 삼성전자주식회사 반도체 장치
KR102352155B1 (ko) * 2015-04-02 2022-01-17 삼성전자주식회사 반도체 소자 및 그 제조방법
CN106158748B (zh) 2015-04-07 2022-01-18 联华电子股份有限公司 半导体元件及其制作方法
KR102310076B1 (ko) 2015-04-23 2021-10-08 삼성전자주식회사 비대칭 소스/드레인 포함하는 반도체 소자
KR102389813B1 (ko) * 2015-05-19 2022-04-22 삼성전자주식회사 반도체 소자
US9318392B1 (en) 2015-06-18 2016-04-19 International Business Machines Corporation Method to form SOI fins on a bulk substrate with suspended anchoring
TWI647764B (zh) * 2015-07-01 2019-01-11 聯華電子股份有限公司 半導體元件及其製作方法
US9735164B2 (en) 2015-10-15 2017-08-15 Globalfoundries Singapore Pte. Ltd. Low power embedded one-time programmable (OTP) structures
KR102432464B1 (ko) 2015-11-18 2022-08-16 삼성전자주식회사 FinFET과 상기 FinFET의 핀 생성 방법
US9786505B2 (en) * 2015-12-30 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device using dummy fins for smooth profiling
WO2017113266A1 (zh) * 2015-12-31 2017-07-06 上海凯世通半导体有限公司 FinFET的掺杂方法
KR102637621B1 (ko) 2016-05-25 2024-02-20 삼성전자주식회사 반도체 소자의 제조 방법
US10297555B2 (en) 2016-07-29 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure having crown-shaped semiconductor strips and recesses in the substrate from etched dummy fins
US10355110B2 (en) * 2016-08-02 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of forming same
CN107706111B (zh) * 2016-08-09 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
KR102330087B1 (ko) * 2017-04-03 2021-11-22 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10074732B1 (en) 2017-06-14 2018-09-11 Globalfoundries Inc. Methods of forming short channel and long channel finFET devices so as to adjust threshold voltages
US10658490B2 (en) * 2017-07-28 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of isolation feature of semiconductor device structure
US10276720B2 (en) * 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (FINFET) device structure
US10325811B2 (en) * 2017-10-26 2019-06-18 Globalfoundries Inc. Field-effect transistors with fins having independently-dimensioned sections
US10529712B2 (en) * 2017-11-07 2020-01-07 Samsung Electronics Co., Ltd. Semiconductor device
US11404423B2 (en) * 2018-04-19 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Fin-based strap cell structure for improving memory performance
US10475791B1 (en) * 2018-05-31 2019-11-12 Globalfoundries Inc. Transistor fins with different thickness gate dielectric
US10964684B2 (en) * 2018-06-29 2021-03-30 Taiwan Semiconductor Manufacturing Company Ltd. Multiple fin height integrated circuit
US11264268B2 (en) * 2018-11-29 2022-03-01 Taiwan Semiconductor Mtaiwananufacturing Co., Ltd. FinFET circuit devices with well isolation
KR102582074B1 (ko) 2018-12-28 2023-09-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11302567B2 (en) * 2020-06-30 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench isolation forming method and structures resulting therefrom

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090039418A1 (en) * 2005-10-25 2009-02-12 Freescale Semiconductor, Inc. Multiple device types including an inverted-t channel transistor and method therefor
WO2010150429A1 (ja) * 2009-06-23 2010-12-29 パナソニック株式会社 半導体装置及びその製造方法
CN102122660A (zh) * 2009-12-07 2011-07-13 台湾积体电路制造股份有限公司 集成电路结构
US20110260253A1 (en) * 2007-05-15 2011-10-27 Satoshi Inaba Semiconductor device

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7378710B2 (en) * 2002-12-19 2008-05-27 International Business Machines Corporation FinFET SRAM cell using inverted FinFET thin film transistors
US6909147B2 (en) * 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US6960517B2 (en) * 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
KR100496891B1 (ko) * 2003-08-14 2005-06-23 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 실리콘 핀 및 그 제조 방법
US7211864B2 (en) * 2003-09-15 2007-05-01 Seliskar John J Fully-depleted castellated gate MOSFET device and method of manufacture thereof
KR100555518B1 (ko) * 2003-09-16 2006-03-03 삼성전자주식회사 이중 게이트 전계 효과 트랜지스터 및 그 제조방법
JP2005116969A (ja) * 2003-10-10 2005-04-28 Toshiba Corp 半導体装置及びその製造方法
KR100518602B1 (ko) * 2003-12-03 2005-10-04 삼성전자주식회사 돌출된 형태의 채널을 갖는 모스 트랜지스터 및 그 제조방법
US7098477B2 (en) * 2004-04-23 2006-08-29 International Business Machines Corporation Structure and method of manufacturing a finFET device having stacked fins
WO2006006438A1 (ja) * 2004-07-12 2006-01-19 Nec Corporation 半導体装置及びその製造方法
US7071064B2 (en) * 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US6949768B1 (en) 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
KR100612419B1 (ko) 2004-10-19 2006-08-16 삼성전자주식회사 핀 트랜지스터 및 평판 트랜지스터를 갖는 반도체 소자 및그 형성 방법
KR100645053B1 (ko) * 2004-12-28 2006-11-10 삼성전자주식회사 증가된 활성영역 폭을 가지는 반도체 소자 및 그 제조 방법
KR100696197B1 (ko) * 2005-09-27 2007-03-20 한국전자통신연구원 실리콘 기판을 이용한 다중 게이트 모스 트랜지스터 및 그제조 방법
KR100675288B1 (ko) * 2005-11-04 2007-01-29 삼성전자주식회사 다중 채널 트랜지스터들을 갖는 반도체 소자의 제조방법들및 그에 의해 제조된 반도체 소자들
KR100675290B1 (ko) * 2005-11-24 2007-01-29 삼성전자주식회사 다중채널 전계효과트랜지스터 및 핀 전계효과트랜지스터를갖는 반도체소자의 제조방법 및 관련된 소자
US7754560B2 (en) * 2006-01-10 2010-07-13 Freescale Semiconductor, Inc. Integrated circuit using FinFETs and having a static random access memory (SRAM)
US7723805B2 (en) * 2006-01-10 2010-05-25 Freescale Semiconductor, Inc. Electronic device including a fin-type transistor structure and a process for forming the electronic device
DE102006001680B3 (de) * 2006-01-12 2007-08-09 Infineon Technologies Ag Herstellungsverfahren für eine FinFET-Transistoranordnung und entsprechende FinFET-Transistoranordnung
KR100739656B1 (ko) 2006-06-08 2007-07-13 삼성전자주식회사 반도체 장치의 제조 방법
JP2007335821A (ja) 2006-06-19 2007-12-27 Ricoh Co Ltd 半導体記憶装置
US7678648B2 (en) * 2006-07-14 2010-03-16 Micron Technology, Inc. Subresolution silicon features and methods for forming the same
US7880232B2 (en) * 2006-11-01 2011-02-01 Micron Technology, Inc. Processes and apparatus having a semiconductor fin
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US8174073B2 (en) * 2007-05-30 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structures with multiple FinFETs
US7737501B2 (en) * 2007-07-11 2010-06-15 International Business Machines Corporation FinFET SRAM with asymmetric gate and method of manufacture thereof
JP2009130210A (ja) * 2007-11-26 2009-06-11 Toshiba Corp 半導体装置
US8288756B2 (en) * 2007-11-30 2012-10-16 Advanced Micro Devices, Inc. Hetero-structured, inverted-T field effect transistor
EP2073256A1 (en) * 2007-12-20 2009-06-24 Interuniversitair Microelektronica Centrum vzw ( IMEC) Method for fabricating a semiconductor device and the semiconductor device made thereof
US7994020B2 (en) * 2008-07-21 2011-08-09 Advanced Micro Devices, Inc. Method of forming finned semiconductor devices with trench isolation
US8058692B2 (en) * 2008-12-29 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors with reverse T-shaped fins
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8110466B2 (en) * 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
CN201628899U (zh) 2009-12-01 2010-11-10 鸿富锦精密工业(深圳)有限公司 扩充卡固定装置
US8426923B2 (en) 2009-12-02 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate semiconductor device and method
US9130058B2 (en) * 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
US8349692B2 (en) * 2011-03-08 2013-01-08 Globalfoundries Singapore Pte. Ltd. Channel surface technique for fabrication of FinFET devices
KR101850703B1 (ko) * 2011-05-17 2018-04-23 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8609499B2 (en) * 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8603893B1 (en) * 2012-05-17 2013-12-10 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits on bulk semiconductor substrates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090039418A1 (en) * 2005-10-25 2009-02-12 Freescale Semiconductor, Inc. Multiple device types including an inverted-t channel transistor and method therefor
US20110260253A1 (en) * 2007-05-15 2011-10-27 Satoshi Inaba Semiconductor device
WO2010150429A1 (ja) * 2009-06-23 2010-12-29 パナソニック株式会社 半導体装置及びその製造方法
CN102122660A (zh) * 2009-12-07 2011-07-13 台湾积体电路制造股份有限公司 集成电路结构

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107431044A (zh) * 2015-06-24 2017-12-01 瑞萨电子株式会社 半导体器件
CN107431044B (zh) * 2015-06-24 2021-11-30 瑞萨电子株式会社 半导体器件
CN106601683A (zh) * 2015-10-15 2017-04-26 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
CN106601683B (zh) * 2015-10-15 2019-09-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
CN107039455A (zh) * 2016-01-29 2017-08-11 台湾积体电路制造股份有限公司 半导体元件及其制造方法
CN107039455B (zh) * 2016-01-29 2020-03-31 台湾积体电路制造股份有限公司 半导体元件及其制造方法
CN107680940A (zh) * 2016-08-02 2018-02-09 台湾积体电路制造股份有限公司 Finfet及其形成方法
CN107680940B (zh) * 2016-08-02 2020-09-01 台湾积体电路制造股份有限公司 Finfet及其形成方法
CN107689331A (zh) * 2016-08-03 2018-02-13 台湾积体电路制造股份有限公司 鳍结构及其形成方法
US11935889B2 (en) 2016-08-03 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure and method of forming same through two-step etching processes
US10840242B2 (en) 2016-08-03 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure and method of forming same through two-step etching processes
CN107689331B (zh) * 2016-08-03 2020-11-13 台湾积体电路制造股份有限公司 鳍结构及其形成方法
CN109148451A (zh) * 2017-06-27 2019-01-04 联华电子股份有限公司 静态随机存取存储器单元阵列及其形成方法
CN109148451B (zh) * 2017-06-27 2021-09-07 联华电子股份有限公司 静态随机存取存储器单元阵列及其形成方法
CN109994471A (zh) * 2017-12-29 2019-07-09 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN109994471B (zh) * 2017-12-29 2020-12-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN109994548A (zh) * 2017-12-29 2019-07-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109994548B (zh) * 2017-12-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN110660800A (zh) * 2018-06-28 2020-01-07 台湾积体电路制造股份有限公司 半导体器件和生成布局图的方法
CN110660800B (zh) * 2018-06-28 2022-03-11 台湾积体电路制造股份有限公司 半导体器件和生成布局图的方法
CN110690218A (zh) * 2018-07-05 2020-01-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN110690218B (zh) * 2018-07-05 2022-07-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN111129142A (zh) * 2018-11-01 2020-05-08 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN111129142B (zh) * 2018-11-01 2023-06-13 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11488868B2 (en) * 2018-12-27 2022-11-01 Nanya Technology Corporation FinFET structure having different channel lengths
CN113555361A (zh) * 2020-04-23 2021-10-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
TW201401488A (zh) 2014-01-01
TWI509778B (zh) 2015-11-21
KR20140002449A (ko) 2014-01-08
DE102013105074A1 (de) 2014-01-02
KR101393947B1 (ko) 2014-05-12
US9583398B2 (en) 2017-02-28
US20140001562A1 (en) 2014-01-02
DE102013105074B4 (de) 2022-11-10
US20170194323A1 (en) 2017-07-06
US10340270B2 (en) 2019-07-02
CN103515390B (zh) 2016-10-05

Similar Documents

Publication Publication Date Title
CN103515390A (zh) 包括具有不同鳍轮廓的finfet的集成电路
US9711412B2 (en) FinFETs with different fin heights
US8373238B2 (en) FinFETs with multiple Fin heights
US20140353763A1 (en) Semiconductor devices including fin-fets and methods of fabricating the same
CN102074582B (zh) 集成电路结构及其形成方法
US9923058B2 (en) Semiconductor device having a fin
TWI503984B (zh) 積體電路裝置及其製造方法
TWI782150B (zh) 場效應電晶體、系統晶片以及製造其的方法
CN104377197A (zh) 半导体器件及其制造方法
CN105144390A (zh) 用于纳米线晶体管的漏电减少结构
US20160190239A1 (en) Semiconductor device and method for fabricating the same
KR20140052734A (ko) 반도체 소자 및 이의 제조 방법
TWI624061B (zh) 半導體裝置及其製造方法
CN103560153B (zh) 一种隧穿场效应晶体管及其制备方法
US20170053825A1 (en) Semiconductor devices having fin field effect transistors with a single liner pattern in a first region and a dual liner pattern in a second region and methods for manufacturing the same
KR20150121907A (ko) 반도체 장치 및 그 제조 방법
US20220140143A1 (en) Device isolation
CN103855009A (zh) 鳍结构制造方法
US20150206965A1 (en) High performance finfet
JP2011014753A (ja) 半導体装置
CN112071758A (zh) 填埋式三维金属-氧化物场效应晶体管及制备方法
US10283639B2 (en) Semiconductor structure and method for forming the same
US9419015B1 (en) Method for integrating thin-film transistors on an isolation region in an integrated circuit and resulting device
CN104517839A (zh) 一种鳍形场效晶体管结构及其制作方法
CN106952950B (zh) 双极型晶体管及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant