CN101681873B - 通过使用氮化铝增加微结构中的铜基金属化结构的可靠性 - Google Patents

通过使用氮化铝增加微结构中的铜基金属化结构的可靠性 Download PDF

Info

Publication number
CN101681873B
CN101681873B CN2008800059050A CN200880005905A CN101681873B CN 101681873 B CN101681873 B CN 101681873B CN 2008800059050 A CN2008800059050 A CN 2008800059050A CN 200880005905 A CN200880005905 A CN 200880005905A CN 101681873 B CN101681873 B CN 101681873B
Authority
CN
China
Prior art keywords
layer
dielectric
copper
aluminium
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008800059050A
Other languages
English (en)
Other versions
CN101681873A (zh
Inventor
C·施特雷克
V·克勒特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN101681873A publication Critical patent/CN101681873A/zh
Application granted granted Critical
Publication of CN101681873B publication Critical patent/CN101681873B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

通过以自限制程顺序来形成氮化铝层(106),可显著增强铜基金属化层的界面特性同时仍然保持层堆栈(layer stack)的总介电系数(permittivity)于较低位准。

Description

通过使用氮化铝增加微结构中的铜基金属化结构的可靠性
技术领域
本揭示内容的专利标的大体系关于集成电路的形成,且更特别的是,关于数种包含高度导电金属(例如,铜)之金属化层的形成,该高度导电金属系嵌入包含阻挡材料的介电材料内,该阻挡材料系用以增强该金属的电迁移效能(electromigration performance)。
背景技术
在现代的集成电路中,最小特征尺寸(例如,场效晶体管的信道长度)已达深亚微米(deep sub-micron)范围,从而可稳定地提高这些电路在速度及/或耗电量上的效能。由于个别电路组件的大小被显著缩减,从而可改善例如晶体管组件的切换速度,也可减少用于与个别电路组件电气连接之互联机的可用占地(available floor space)。结果,必须缩减互联机的尺寸以补偿减少的可用占地以及补偿每单位面积电路组件的增加数量。
在最小尺寸为约0.35微米或更小的集成电路中,器件效能的限制因素为由晶体管组件之切换速度所引起的讯号传输延迟。由于这些晶体管组件的信道长度此时已达50奈米及以下,讯号传输延迟不再受限于场效晶体管,反而会因电路密度增加而受限于互联机,因为线间电容(C)(line-to-line capacitance)会增加,而且线路的电阻(R)也会增加,原因是横截面面积减少了。因此,寄生电阻电容时间常数(parasitic RC timeconstant)需要引进用于形成金属化层的新型材料。
照惯例,金属化层(亦即,包含金属线及贯通孔(via)的布线层,该金属线及贯通孔系用以根据特定的电路布局来提供电路组件的电气连接)都是用包含例如二氧化硅及/或氮化硅以铝作为典型金属的介电层堆栈(dielectric layer stack)来形成。由于被极度缩放之特征尺寸的集成电路要有较高的电流密度,但是在电流密度较高时,铝会有显著的电迁移,因此用例如铜来取代铝,铜具有明显较低的电阻和较高的电迁移抵抗力(resistivity against electromigration)。关于高度精密的应用,除了使用铜及/或铜合金以外,公认有效且习知的介电材料二氧化硅(k约4.2)与氮化硅(k大于5)可换成所谓的低k介电材料,低k介电材料系具有约3.0或更小的相对介电系数(relative permittivity)。不过,从习知且公认有效的铝/二氧化硅金属化层转成可能与低k介电材料结合的铜基金属化层会与多个待处理问题有关。
例如,用公认有效之沉积方法(例如化学及物理气相沉积法)无法以有效率的方式沉积数量相对高的铜。此外,用公认有效之异向性蚀刻制程无法有效率地做出铜的图样。因此,所谓的金属镶嵌(damascene)或嵌入技术(inlaid technique)常用来形成包含铜线及贯通孔的金属化层。通常在金属镶嵌技术中,沉积介电层,然后图样化成可接受沟槽及贯通孔开口,接着用电镀法(例如,电镀或无电镀)将沟槽及贯通口开口填满铜或其合金。此外,由于铜在多种电介质(例如,二氧化硅)以及许多低k电介质中容易扩散,因此可能需要在相邻介电材料的界面处形成扩散阻挡层。此外,由于铜容易反应而形成氧化部份而可能使铜基金属线在黏性、导电率及电迁移抵抗力方面的特性恶化,因此必须抑制水分及氧扩散到铜基金属。
为了不过度地减少金属区的整体导电率,导电阻挡材料通常用来覆盖沟槽的内侧壁区,同时介电阻挡材料(dielectric barrier material)通常用来作为覆盖层(cap layer)或介电阻挡层,在蚀刻制程中,介电阻挡材料也可用作有效的蚀刻终止材料以便用贯通孔(从下一个较高金属化层(metallization level)的金属区延伸至该金属区)制成该金属区的接触。例如,习知氮化硅是有效的铜扩散阻挡物且可用来作为例如介电阻挡层。在其它的情形下,在认为有中高介电系数的氮化硅不适用时,富氮碳化硅(SiCN)常用来作为铜扩散阻挡物。尽管氮化硅阻挡层和基于碳化硅的阻挡层有防扩散效果,然而结果显示:铜对电流诱发材料迁移(电迁移)或被其它应力诱发之材料迁移效应的抵抗力强烈取决于铜基金属与相邻介电阻挡层间之界面的特性。因此,在以高电流密度为特征的精密集成电路中,把铜基金属与介电阻挡层间的界面设计成可达成想要的高黏性从而有高效能的电迁移或应力诱发大量迁移通常是很重要的。
因此,在这方面,本技艺已有人提出及实施多种方法以得到更优良的可靠性(亦即,更优良的电迁移性能)以及金属化层之介电材料有增加之器件效能,亦即,低总介电系数(overall permittivity)。因此,许多材料(例如,SiN、SiC、SiCN及其类似物)以及该等材料的各种组合可用来作为介电阻挡材料。不过,结果显示要符合这两种要求(亦即,更优良的电迁移性能与低k性质)是极其困难的,因为许多对铜、氧、水分及其类似物可提供想要阻挡扩散性(diffusion blocking characteristics)的介电材料通常有中高k值。此外,铜表面在暴露后具有高度反应性而且在沉积介电阻挡材料之前常常需要个别处理以便去除氧化铜残余物,在操作期间,氧化铜残余物可提供材料迁移的扩散路径,而且也可减少阻挡材料的黏性。不过,个别的预沉积处理(pre-depositiontreatment)可能对铜表面会有显著的影响,从而会使铜在进一步加工期间及/或在器件的操作期间的效能较差。因此,已有人提出侵蚀性较小的处理来避免不必要地损伤铜基材料。在此一方面,已鉴定铜硅化合物(copper silicide)或含氮铜硅化合物(CuSiN)为有效的合金,它可产生高度稳定的界面,从而使对应的金属区对于电迁移和被其它应力诱发之大量迁移效应有增加之抵抗力,从而增加可靠性。因此,在有些习知方法中,铜表面系暴露于反应气体环境(例如,电浆辅助气体环境),其中可包含作为含硅前驱物的硅烷(SiH4)与含氮气体,从而可产生有特定比例之氮的铜硅化合物。常在阻挡材料(例如,氮化硅、富氮碳化硅或彼等之组合)的电浆增强化学气相沉积(PECVD)之前立即进行用于在暴露铜界面形成含氮铜硅化合物(CuSiN)材料的制程,其中可进行个别的清洗制程(例如,电浆增强制程等)以便预备后续用于形成含氮铜硅化合物(CuSiN)合金和沉积介电阻挡材料的铜表面。因此,可能涉及多种与铜表面有相互作用而使表面条件复杂化的复杂制程,因此在含氮铜硅化合物(CuSiN)材料的形成期间需要精确地控制制程条件。结果显示即使微幅改变制程条件都可能造成所得含氮铜硅化合物(CuSiN)材料的组合物有明显的差异,甚至使靠近表面之铜的特性劣化。因此,虽然含氮铜硅化合物(CuSiN)为看好适合提高铜基金属化结构效能的候选材料,然而制程却难以控制,因为前驱材料例如稍微不平衡就会造成金属线有无法预测的效能特性。
本揭示内容的目标为可避免或至少减少上述一或更多问题之影响的各种方法及器件。
发明内容
为供基本理解本发明的一些方面,提出以下的简化总结。此总结并非本发明的穷举式总览。它不是想要确认本发明的关键或重要组件或者是描绘本发明的范畴。唯一的目的是要以简要的形式提出一些概念作为以下更详细之说明的前言。
本揭示内容的专利标的大体系关于一种用于在暴露含金属区(例如,含铜金属区)上形成有效介电阻挡层的技术,其中,可用自限(self-limiting)沉积技术在介电材料及金属区上制成连续的材料膜。由于可高度控制基于化学键的成长机制,因此可实现增加之黏性,其中,与适当的材料结合,也可提供有效的阻挡扩散性,从而有可能进一步省去介电材料或至少大幅减少介电材料的厚度。结果,在介电层堆栈的寄生电容减少的情形下,可得到更优良的效能特性。在有些方面中,用似原子层沉积(ALD)的制程形成的介电阻挡层可为可提供铜阻挡扩散性以及对氧及水分也有更优良吸收性能(getter capability)的含铝及氮层(它会被称作氮化铝层)。因此,更优良地控制基于自限技术的沉积制程,配合减少相对介电系数以及各自的阻挡扩散性,有助于大幅提高先进半导体器件中之金属化结构的可靠性。
根据一个示范具体实施例,提供一种方法,包括:在形成于微结构器件(microstructure device)之介电层的金属区之暴露表面上形成含氮层。该方法更包括:暴露该含氮层于基于含铝气体所建立的环境以在该金属区上形成含铝及氮的第一阻挡层。
根据另一示范具体实施例,提供一种方法,包括:提供衬底,其上已形成形成于微结构器件之介电层的暴露含铜金属区。该方法更包含:在该暴露含铜金属区与该介电层上,使用在气态环境中进行的自限反应机制(self-limiting reaction mechanism)形成介电阻挡层。
根据另一示范具体实施例,提供一种半导体器件,包括:形成于介电层的含铜区与形成于该含铜区及该介电层上的氮化铝层。此外,有低k介电层在该氮化铝层上方形成以及形成于连接至该含铜区之该低k介电层中的金属贯通孔。
附图说明
参考上述结合附图的说明可了解本揭示内容,附图中类似的组件用相同的组件符号表示。
图1a至图1e系根据示范具体实施例基于使用含铝前驱物气体之自限制程示意图标于在含金属区上形成介电阻挡层之不同制造阶段期间的微结构器件;
图1f系根据数个示范具体实施例示意图标其上已形成有指定厚度之介电阻挡层的微结构器件,该指定厚度是用似ALD沉积制程的沉积循环次数控制;
图1g为其它示范具体实施例的微结构器件示意图;
图1h系根据其它示范具体实施例示意图标包含多个个别介电阻挡层的微结构器件;
图2a至图2b的横截面图系根据其它示范具体实施例示意图标处于形成含铜区以及制备各个介电材料供接受氮化铝层用之不同制造阶段期间的微结构器件;
图2c的横截面图系根据数个示范具体实施例示意图标在用以提供实质均匀氧化铜表面之处理期间的微结构器件;
图3a及图3b系根据其它示范具体实施例分别示意图标在含铝及氮阻挡层上形成附加阻挡或蚀刻终止层时的横截面图与上视图;以及
图4a及图4b的横截面图系根据其它示范具体实施例示意图标包含用自限制程以介电阻挡层形成之金属化层的半导体器件。
尽管本发明此处揭示之标的内容容易做成各种修改及替代形式,但是本文仍以附图为例图标几个本发明的特定具体实施例且详述其中的细节。不过,应了解本文所描述的特定具体实施例不是想要把本发明限定成本文所揭示的特定形式,反而是,本发明是要涵盖落入依照附上申请专利范围界定之本发明精神及范畴内的所有修改、等效及替代性陈述。
具体实施方式
以下描述本发明的各种示范具体实施例。为了清楚说明,本专利说明书没有描述实际具体实作的所有特征。当然,应了解,在开发任一此类的实际具体实施例时,必须做许多与具体实作有关的决策以达成开发人员的特定目标,例如遵循与系统相关及商务有关的限制,这些都会随着每一个具体实作而有所不同。此外,应了解,此类开发即复杂又花时间,但是仍是本技艺一般技术人员在阅读本揭示内容后即可实作的例行工作。
兹参照附图来描述本发明。示意图标于附图的各种结构、系统及器件系仅供解释以及避免熟谙此艺者所习知的细节混淆本发明。尽管如此,仍纳入附图用来描述及解释本揭示内容的示范实施例。应使用与相关技艺技术人员所熟悉之意思一致的方式理解及解释用于本文的字汇及词组。本文没有特别定义的术语或词组(亦即,与熟谙此艺者所理解之普通惯用意思不同的定义)是想要用术语或词组的一致用法来暗示。在这个意义上,希望术语或词组具有特定的意思时(亦即,不同于熟谙此艺者所理解的意思),则会在本专利说明书中以直接明白地提供特定定义的方式清楚地陈述用于该术语或词组的特定定义。
本揭示内容的专利标的大体针对数种可增加金属化结构之可靠性的方法及半导体器件,例如,通过提供更优良的电迁移性能同时仍然提供金属化层之各个介电层堆栈的低总介电系数。为此目的,可使用基于适当介电材料的精密沉积技术以便提高与更优良黏着特性结合之沉积制程的可控性,同时所用材料的类型可呈现减少的介电系数或可至少提供减少习知电介质数量的可能性,其中习知电介质可能为了可靠地局限在介电层堆栈内形成且有高扩散率的铜基或其它材料而需要具有中高介电系数。因此,通过使用可控性良好的沉积技术,配合适当的材料组合物,可建立明确的界面特性,而可促进更优良的电迁移性能。此外,在有些方面中,该自限沉积制程可与另一介电材料(例如,附加阻挡层或蚀刻终止层或低k介电材料)的沉积有利地结合,从而有助于减少制程的复杂度,同时也可提供高度弹性于活化对应的自限制程。亦即,可使用公认有效的化学气相沉积(CVD)制程工具,其中可建立适当的电浆辅助气态环境以活化对应的沉积制程。在其它的情形下,可使用任何其它适当的活化方法,例如使用辐射,例如,紫外线辐射、x射线辐射及其类似者。此外,可使用以电子束辅助的似ALD沉积制程。在图解说明方面,可在暴露含铜表面上形成氮化铝层,其中氮化铝材料的各自特性(例如,对于氧及水分的吸收能力)可增强含铜表面的完整性,其中该氮化铝材料甚至可提供阻挡铜扩散能力而可显著放松各自对于任一其它介电阻挡层的要求,或甚至可允许省去其它附加阻挡材料(通常它可呈现增加的相对介电系数)。此外,基于似ALD沉积制程,在已适当制备的电介质表面上也可形成对应的氮化铝层,从而可因此提高氮化铝层的黏性,这可因此导致纳入考虑的金属化层有增强的机械稳定性,特别是在使用低k介电材料的时候。
应了解,本文所揭示的技术在需要铜基金属化结构之先进微结构器件(例如,先进的集成电路)的背景下是极为有利的,其中就应力诱发大量迁移现象(例如,电迁移)而言,至少有些含铜金属与四周介电材料的界面可能需要增强的表面特性以便提高金属化结构的可靠性,从而也可提供进一步缩放器件的可能性。不过,所揭示的技术也可应用于任何其它制程用来以有高度可控性的方式形成其中之暴露铜基表面也许需要有效可靠之阻挡层的微结构器件。因此,除非在本专利说明书及申请专利范围中具体言明,否则本揭示内容的专利标的不应被视为是只限于半导体器件的金属化结构。
图1a的横截面图系示意图标包含衬底101的微结构器件100,衬底101可为任一合适的载体材料(carrier material)供在其上或其中形成需要高度导电金属区(例如,含铜金属)的微结构特征。例如,微结构器件100可为形成于衬底101之上的半导体器件,因而衬底101可为其上已形成有个别电路组件(例如,晶体管、电容器及其类似物)形成于其中之适当半导体层的载体材料。器件100可包含由任何一般是用来制成微结构器件之适当材料所构成的介电层102。例如,介电层102可包含二氧化硅、氮化硅、氮氧化硅及其类似物,其中,在数个示范具体实施例中,在例如先进集成电路纳入考虑时,介电层102可包含低k介电材料。应了解,低k介电材料为相对介电系数等于3.0及以下的材料。介电层102已在其中形成金属区103,在一个示范具体实施例中,金属区103为至少有一暴露表面103S(亦即,不被层102之介电材料覆盖的表面)的含铜材料。应了解,取决于前述制程策略,暴露表面103S可包含在与个别反应组份(例如,氧、氟及其类似物)接触时已形成且有一定数量的氧化物材料或其它污染物。如先前所述,铜容易扩散至多种介电材料(例如,二氧化硅),而且也容易扩散至多种低k介电材料,以致通常有必要依照制程策略用各自的导电及介电阻挡层来使铜可靠地局限于金属区103内。例如,在图标具体实施例中,可提供例如形式为钽、氮化钽、钛、氮化钛及其类似物的对应导电阻挡材料103A,以便使铜局限于区域103。同样,对于可能微量存在于介电层102内的反应组份(例如,氧、氟及其类似物),用以局限金属区103的阻挡材料也必须提供阻挡扩散性。同样,暴露之表面103S通常要覆上适当的阻挡材料,在数个示范具体实施例中,可提供形式为实质绝缘的阻挡材料以便在进一步的加工期间使金属局限在区域103内,以及在形成各个金属区103的接触时也提供某一程度的蚀刻选择性,这在下文中会有更详细的说明。如先前所述,已有人提出许多用适当的物种(例如,碳化硅、氮化硅、甚至导电阻挡材料)来选择性覆盖暴露表面103S的方法,不过,其中可能出现较差的制程控制和减少的机械稳定性。根据本文所揭示的技术,如下文所述,基于有高度可控性的沉积制程可在暴露表面103S及介电层102上加上适当的实质绝缘阻挡材料。
基于任何公认有效之制程技术可形成如图1a所示的微结构器件100,其中,例如,基于任一合适沉积制程可形成介电层102,随后基于与适当蚀刻技术结合的光刻技术(例如,微影技术)可进行图样化以便在精密应用中形成各个可有100奈米及以下之横向尺寸(lateraldimension)的开口。例如,在考量半导体器件之金属化层的各条金属线时,在介电层102中形成各个有100奈米及以下之宽度的沟槽,而个别沟槽的长度可在十分之几微米的范围内。之后,例如,阻挡材料103A的沉积可基于公认有效之沉积技术(例如,CVD、物理气相沉积(PVD)、ALD、电化学沉积技术及其类似者),其中是依据黏性及阻挡特性来选择阻挡材料103A的对应材料组合物。接下来,金属区103的材料,亦即,在数个示范具体实施例中,例如基于湿式化学沉积技术可提供含铜金属,其中通常可产生实质非平坦的表面形貌(topography)而在后续的制程步骤中需加以平坦化。例如,经常可用化学机械研磨法(CMP)来去除任何源于先前进行之制程的多余材料,例如阻挡材料、含铜金属及其类似物。在对应的平坦化制程(planarization process)期间,可形成暴露表面103S,其中与反应组份接触可能会污染表面。
在先进的半导体器件中,金属区103必须适应操作期间的极高电流密度,以及热耗散所产生的热应力,热散耗在区域103内会导致应力诱发的大量迁移(stress-induced mass transport)。为金属线中应力诱发材料迁移现象之一重要方面的电迁移可理解为原子在含金属区中的迁移,其中金属原子的迁移是由传导电子转移的动量造成。在铜基金属区中,电迁移实质上是被界面及表面的扩散驱动。结果,提供有强化表面特性的表面103S是很重要的,在另一加工期间必须形成另一材料于表面103S上,其中各种界面特性可明显决定金属区103的效能及可靠性。在前面的制程(例如,CMP制程)期间,暴露表面103S通常可接触反应组份(例如,水分、氧、氟及其类似物),反应组份最终会造成在表面103S上形成氧化铜污染物。因此,众所周知,氧化铜可各自提供扩散路径,因此,在操作期间,区域103可观察到电迁移诱发的劣化会增加。因此,在表面103S上形成各个阻挡材料之前,清洗表面103S是很重要的。在许多习知方法中,在沉积被充分认可之介电阻挡材料(例如,氮化硅、碳化硅及其类似物)之前,可各自进行基于电浆的清洗制程以便去除表面103S的氧化铜。不过,据信对应基于电浆的清洗制程可能导致表面改质,因而有可能损伤表面103S,这损伤可能最终导致仍待在表面103S与介电阻挡材料之间形成的界面有较差的稳定性。
因此,根据一个示范具体实施例,可在没有电浆的情形下进行处理104以便去除或至少修改表面103S藉此显著减少其上的任何氧化铜区。在一个示范具体实施例中,可用与适当载体气体(例如,氦)结合的含氮气体来进行处理104以去除表面103S之中的氧化铜。例如,氨(NH3)可与氦结合用来激活与氧化铜物种的化学反应,其中对应的衬底温度可在室温至约500℃之间。可用以下方程式来描述对应的化学反应:
CuXOY+NH3→CuN(H)+H2O+N2
结果,可有效地把氧化铜转换成包含各自之氮氢基团(NH group)的氮化铜。由于没有电浆,亦即,处理104可视为热驱动反应,可实质避免暴露表面103S的各个表面损伤。此外,处理104不会实质影响介电层102的表面,从而对于后续的制程步骤,层102可保持更优良的表面特性。
图1b示意图标处理104之后的微结构器件100。因此,表面103S已在其中形成各个氮氢基团会在其表面上的氮化铜,这在后续的自限沉积制程期间可提供各自的化学键。在建立各个沉积环境之前,如图1b所示的器件100可经受例如基于氦的适当冲洗步骤(purge step)114。
图1c示意图标暴露于气态环境105(在数个示范具体实施例中,包含含铝气体)的微结构器件100。例如,三甲铝Al(CH3)3可用于气态环境105以便激活与氮氢基团(其系由于有前面的处理104而会在表面103S上)的化学反应。对应化学反应的激活可利用例如基于建立于环境105之电浆的对应活化机制,或利用任一其它的机制,例如辐射诱发的分解,电子束诱发的活化及其类似者。结果,含铝组份可与氮氢基团反应,其中对应的反应机制有自限性,从而提供有高度可控性及重制性的制程条件。如图标,在暴露表面103S上可形成对应的原子表面层,在图标实施例中,原子表面层可由N-AL(CH3)2物种构成。
图1d示意图标微结构器件100的另一示范具体实施例,其中介电层102的材料至少在其表面层可包含适当的物种以便也致能与含铝气体的化学反应。例如,层102的介电材料可由包含硅、氧及氢的材料组成,从而在表面层102S上可提供各自的氢氧基团(OH group),其中对应的氢氧基团可与含铝前驱物气体反应以便也在介电层102上形成原子表面层。因此,在此情形下,与介电层102的化学反应也有自限性,其中,由于底下材料中之键的化学性质,各表面层可呈现高度黏性,而与该层是形成于金属区103或者介电层102上无关。之后,冲洗器件100的对应环境(例如,基于氦的),其中在用电浆来激活化学反应时也可关掉对应的电浆。在其它的情形下,在对应的冲洗制程期间可停掉活化能的对应供给。
图1e示意图标在进行另一处理124以用氮氢基团取代各个甲基基团(CH3 group)期间的微结构器件100,从而也可在金属区103与介电层102上方产生各自的氮化铝表面层。例如,可使用氨,其中可供给对应的活化能,例如利用辐射、热、电浆及其类似物。因此,可建立有高度可控性、带有个别氢键的氮化铝层,此时,可基于另一ALD循环,用该氮化铝层作为成长氮化铝层的基础,藉此对于层厚度可提供高度可控性。亦即,如图1e所示的器件可暴露于环境105(图1c),从而可形成另一原子层,其中各个含铝物种系与氮-氢键键合,从而取代氢原子。随后,可进行例如基于氨的另一循环以便使对应的甲基基团转换成各个氮氢基团,如图1e所示。
图1f示意图标在上述用来形成含铝及氮层106之似ALD沉积制程后的微结构器件,其中依照前述顺序可根据实施之循环次数来控制含铝及氮层106的厚度(以106T表示)。因此,如果要在带图样介电材料中形成层106,若是需要对应的实质绝缘阻挡材料,则可根据器件要求,以高精度和良好的阶梯覆盖性(step coverage)来调整厚度106T。此外,取决于表面层103S(图1a)中对应氧化铜污染物的初始厚度,仍可存在一部份的表面层103S(其系包含氮化铜),以及由于氮材料有阻挡扩散性而可提供更优良的表面特性。在其它的示范具体实施例中,表面层103S之中的氮可与上覆层106的原子物种实质键合。此外,在此情形下,可达成更优良的界面特性,而层106(例如在提供作为氮化铝层时)的显著铜阻挡扩散性(这可借助于表面部份103S的各个氮化铜材料)在区域103内可提供合意的铜材料局限性。此外,由于氮化铝可吸收氧、水分及其类似物,表面103S的完整性在经过一段时间后仍可适度保持稳定,因而也有助于金属区103的更优良可靠性。
在一些示范具体实施例中,可继续另一加工,例如用任一合适沉积制程直接沉积适当的介电材料于阻挡层106上,其中该介电材料可为用于后续金属化层的低k介电材料。结果,相较于习知策略,基于有高度可控性的层厚度可增强铜与绝缘材料间的界面特性,从而提供显著减少任何额外介电阻挡材料之数量的可能性,习知这对于所得层堆栈的总介电系数大有助益。在后续用来图样化对应介电材料(可直接形成于阻挡层106上)的制程期间,例如在释出铝物种后,该对应介电材料的材料可用来作为蚀刻终止材料或至少为蚀刻指针材料(etchindicator material),藉此基于阻挡层106,能可靠地控制对应的图样化制程(patterning process)。在其它的情形下,如果阻挡层106有经减少的厚度106T或无法提供有效的蚀刻控制能力,则基于暴露区域103的材料,可控制对应的蚀刻制程。
图1g示意图标微结构器件100的另一示范具体实施例。就此情形而言,可进行沉积制程108以提供另一介电材料107,介电材料107可具有各自的阻挡特性及/或蚀刻终止特性用以协助器件100的后续加工。例如,层107可为公认有效之阻挡/蚀刻终止材料(例如,氮化硅、碳化硅、富氮碳化硅、或该等材料的任一合适混合物),以便进一步提高铜材料在区域103之中的完整性及/或在后续的加工期间提供更优良的蚀刻终止能力。不过,与习知策略相反,可依据蚀刻终止的特定特性来选择层107的组合物(例如,就各个子层而言)、材料及其类似物,而不需要更优良的阻挡扩散性和蚀刻终止能力两者。因此,在选择各个材料方面,可提高弹性。此外,由于设有阻挡层106,与习知策略相比,可将材料107的厚度调整成明显更低的数值,从而可显著减少中高介电系数的材料,这有助于减少所得层堆栈的整体寄生电容。例如,如果需要增强的蚀刻终止能力,可用任何适当材料来形成层107,其中,甚至可使用已知允许铜扩散的二氧化硅,因为阻挡层106可提供必要的铜阻挡扩散性。在一些示范具体实施例中,在原位用先前在描述图1a至图1f时提及的制程顺序(process sequence)来完成沉积制程108,从而可显著减少制程复杂度以及提高工具利用率和整体制程通量。在此一方面,原位制程(in situ process)应被视为是一序列的制程,彼等是在制程工具的同一处理室中完成而中间没有任何输送作业。例如,可在适当的CVD室中进行沉积制程108,该室也能产生适当的电浆环境而在前面的似ALD沉积顺序期间可提供对应的活化能。在其它的示范具体实施例中,层106与107可在个别专用的制程工具中形成。
此外,任一其它用于后续金属化层之介电材料(例如,低k介电材料)的沉积可基于任一合适技术(例如,CVD、PECVD、旋涂式(spin-on)技术及其类似者),其中,在CVD沉积的情形下,也可以原位制程顺序来完成用于形成阻挡层106的制程顺序以及低k介电材料的对应沉积。
图1h示意图标微结构器件100的另一示范具体实施例。如图标,器件100可经受沉积制程109,它可为基于合适材料的似ALD制程以便根据器件要求来提供形式为数个子层的阻挡层106。例如,层106可由各个子层106A、106B、106C构成,其中该等子层中之一个子层可为用上述制程顺序制成的氮化铝层。例如,可提供形式为氮化铝层的层106C。之后,可完成制程109以基于自限制程顺序来提供不同的材料组合物以便特别设计阻挡层106的整体特性。例如,可个别选择前驱物气体以各自形成与层106C中之对应氮氢基团键合的键,从而可特别设计层106的性质。例如,可根据器件要求来个别设计前驱物气体的官能基团(functional group),例如通过加入各个物种(例如,硅、氮及其类似物),以便具体调整阻挡扩散性、蚀刻终止能力及其类似者。如有必要,随后,可继续基于不同前驱物气体的制程顺序109以便形成层106A。结果,基于自限制程109,可个别产生各种的阻挡材料,其中通过提供多个子层可具体调整对应的特性。在一些示范具体实施例中,在进行如先前在描述图1a至图1f时提及的制程109或自限制程顺序之前,可进行有空间选择性的制程以便在表面103S的上方加上覆盖层110,其中覆盖层110可由介电材料或导电材料构成,这取决于加工策略。例如,可在表面103S上选择性地个别加上金属合金,其中对应的材料有增强的界面特性,然而对先进微结构器件而言,对应的蚀刻终止能力和对铜有局限性的特性可能不足。就此情形而言,例如如先前所示或与制程109结合的,可形成层106以提供整体合意的特性,亦即,有高度界面完整性和低总介电系数。
结果,至少利用用于在金属区之暴露表面上形成实质绝缘材料的似ALD沉积顺序,可实现提高选择合适材料的弹性以及增加制程可控性,同时可增加微结构器件的效能与可靠性,特别是在考量含铜金属化结构的情形下。在一些示范具体实施例中,可省略例如形式为公认有效之材料(例如,氮化硅、碳化硅、富氮碳化硅及其类似物)的附加阻挡层而且在用似ALD沉积技术提供的实质绝缘阻挡材料上可直接形成对应的低k介电材料。至于含铜金属区,更优良的化学、机械特性和提高的黏性可利用阻挡层与底下材料的化学键合来达成,其中,例如,可使用与铜及多种低k介电材料结合的氮化铝层。此外,在用于制备含铜表面供后续自限沉积制程用的对应预处理中,通过进行在没有任何电浆环境下的对应制程可避免表面的明显损伤。此外,如前述,可将用于形成阻挡层106的对应顺序具体实作成原位顺序,其中,在一些图解说明方面中,也可以原位制程完成任何其它材料(例如,低k介电材料、附加阻挡材料及其类似物)的沉积。
此时以参照图2a至图2c来描述在用似ALD沉积顺序形成阻挡层之前可个别进行预处理的其它示范具体实施例。
图2a示意图标的微结构器件200是在器件200包含衬底201的制造阶段,如先前在参照衬底101时所描述的,衬底201可在其中已形成任何电路组件。此外,器件200可包含介电层202,它可为待形成金属区(例如,含铜区)之金属化层或任一其它器件层(device level)的介电材料。在图标的具体实施例中,介电层202可为低k介电材料,它可具有依照器件要求的任何适当材料组合物。例如,介电层202可由任何材料形成而该材料可以不提供后续自限地沉积阻挡材料所需要的对应表面特性。例如,层202可由多孔硅、多孔硅土及其类似物或任何其它低k介电质构成。结果,器件200可暴露于处理210以提供包含适当化学组合物的对应表面层202S(图2b)以便允许随后与对应的物种(例如,含铝及氮之物种)键合。例如,处理210可包含适当材料(例如,含硅、氧及氢材料)的沉积,因此可用本技艺公认有效的组合物。因此,处理210可像CVD制程那样用来完成形成所需厚度的表面层202S。在其它的情形下,处理210可包含沉积含氮及氢的材料,或可包含基于电浆的对应处理用以加入氧或氮于介电层202的表面部份内以形成表面层202S。
之后,可基于公认有效的处方及光学微影技术,通过适当地图样化介电层202来继续器件200的下一个加工。在一些示范具体实施例中,首先可图样化介电层202,随后在制程210期间加以处理以便形成各个在任一暴露表面上的表面层202S。
图2b示意图标下一个制造阶段的器件200,其系于介电层202内形成含铜区203,其中区域203可包含导电阻挡层203A与暴露表面203S,如先前在描述器件100时提及的。因此,各个制造顺序也可用于器件200。亦即,在形成含铜区203后,暴露表面203S可包含大量氧化铜,而表面层202S可具有随后可黏附前驱物气体的对应组构,如前述。因此,如图2b所示的器件200可经受在描述图1a至图1e时提及的制程顺序以便形成各个基于自限制程的阻挡层,其中已被修改的表面202S在区域203与介电层202上方提供连续的沉积,而该表面本身可能不适合自限沉积顺序。
图2c示意图标器件200的另一示范具体实施例,其中器件200可经受设计成可制备暴露表面203S供后续制程顺序用来如前述形成阻挡层于其上的处理211。在一些示范具体实施例中,处理211可包含例如基于湿式化学法、电浆辅助法、热氧化法及其类似者而实施的氧化制程以在暴露表面203S上形成实质连续的氧化铜层。就此情形而言,可形成实质连续且明确界定的氧化铜层,在依照前述自限顺序来实际沉积阻挡材料之前,在进一步处理衬底200期间,该氧化铜层也可用作钝化层(passivation layer)。结果,就此情形而言,可基于均匀的表面状态来完成在描述图1a时提及的处理(亦即,用于把氧化铜转换成含氢氮化铜的处理104),其中在后续的衬底处理作业期间,对应的表面203S由于有钝化特性而可呈现提高的完整性。结果,例如在对应CMP制程后可立即形成高度均匀的表面203S,同时由于表面203S有钝化氧化铜层以致后续的制程作业不会使任何表面不规则性明显增加。
在其它的示范具体实施例中,处理211可包含用基于适当前驱材料的湿式化学处方来选择性加入氮,藉此,就此情形而言,可省略如图1a所示的处理104,而且对应形成阻挡层的自限制程顺序可以引入含铝气体来开始,如图1c所示。
此时以参考图3a至图3b来描述其它的示范具体实施例,其中可形成蚀刻终止层与阻挡层(例如,阻挡层106),该蚀刻终止层可加以图样化以显著减少所得层堆栈的总介电系数。
图3示意图标的微结构器件300系包含衬底301、形成于衬底301上的介电层302、嵌入介电层302的金属区303、及绝缘阻挡层306。至于到目前为止所描述的组件,可应用如先前在参考器件100及200时所描述的准则。因此,阻挡层306可为例如用如前述之自限制程顺序形成的氮化铝层。此外,器件300可包含蚀刻终止层307,其系由在后续蚀刻制程期间呈现想要高蚀刻选择性的材料构成,该蚀刻制程系用以图样化要在后面用来在器件300中形成另一金属化层之制造阶段形成的介电材料。因此,可使用任一合适的材料(例如,氮化硅及其类似物),而与它的相对介电系数无关,因为可用基于对应阻剂屏蔽312的对应蚀刻制程313来图样化层307。亦即,可去除层307中任一在后续加工期间不需蚀刻终止能力之区域的材料,从而可显著减少各自对于层堆栈之总介电系数的影响,同时仍可使后续制程有可靠的图样化顺序。制程313可施行为基于适当蚀刻化学作用的湿式化学蚀刻制程、干式蚀刻制程及其类似者,其中阻挡层306可用作蚀刻终止。
图3b的上视图示意图标在蚀刻制程313及去除阻剂屏蔽312之后的器件300。在此一示范具体实施例中,也可去除蚀刻终止层307在金属区303上方的部份,以及可只保留与贯通孔314(以虚线表示)对应的部份,而贯通孔314需要在图样化对应介电材料用以连接至区域303的后续制造阶段中形成。结果,可以高可靠性完成对应的蚀刻制程,同时阻挡层306在金属区303上方可提供想要的机械强度和阻挡扩散性。
在此以参考图4a至图4b分别描述可用自限阻挡材料(self-limitingbarrier material)于金属化层堆栈的半导体器件。图4a示意图标包含衬底401之半导体器件400的横截面图,其中衬底401上方形成已加入导电区403的介电层402。例如,与导电区403结合的介电层402可为器件400的金属化层,而在其它的情形下,导电区403可为电路组件中形成于衬底401中及上方的任何接触区。在一个示范具体实施例中,导电区403可包含例如形式为金属线的含铜区,其中对应阻挡层406在区域403内可提供有必要完整性的铜材料。在一个示范具体实施例中,阻挡材料406可为氮化铝层,亦即,用自限制程顺序形成的含铝及氮层,如前述。此外,器件400包含介电材料422,介电材料422至少有一部份可提供作为让金属线423(例如,用对应贯通孔423A连接至金属区403的含铜线)嵌入的低k介电材料。此外,对应阻挡层426可覆盖金属线423,其中可以含铝及氮材料(可基于如前述之自限制程顺序来形成)的形式提供阻挡层426。
可用公认有效的技术来形成组件401、402及403,接着是层406之材料的沉积技术,如前述。之后,可在层406上直接沉积介电材料422,随后用公认有效的图样化方法来做图样。之后,可形成层426,如前述。
图4b示意图标器件400的另一个示范具体实施例,其中在阻挡层406上方至少局部设有蚀刻终止层407以便增强个别图样化制程427(其系基于经设计成可提供贯通孔423A之开口的对应蚀刻屏蔽428来完成)的控制。由于可将蚀刻终止层407特别设计成来控制制程427,因此可使用厚度比习知策略明显减少的任何适当材料,因为可用阻挡层406来提供对应的界面特性。
至于用于形成介电层422的任何制程策略,不管是否提供蚀刻终止层407,系应用如先前所解释的准则。亦即,可以原位顺序来完成用于形成阻挡层406的顺序以及层422之介电材料的沉积(可能结合蚀刻终止层407的沉积),从而可提高工具利用率与制程通量。
结果,本文所揭示的专利标的可提供一种技术用来增强先进半导体器件中之金属化结构的效能同时使中间层介电材料的总介电系数维持在较低的位准。为此目的,可进行似ALD沉积制程以便用有高度可控性的方式沉积有效的阻挡材料,从而提供省去任何其它阻挡材料的可能性,或至少显著减少它的数量。在数个示范具体实施例中,氮化铝层是用自限制程顺序形成,其中氮化铝提供铜阻挡扩散性而且对于氧及水分也有更优良的获取性能。
以上所揭示的特定具体实施例均仅供图解说明,因为熟谙此艺者在受益于本文的教导后显然可以不同但等价的方式来修改及实施本发明。例如,可用不同的顺序完成以上所提出的制程步骤。此外,除非在以下申请专利范围有提及,否则不希望本发明受限于本文所示之构造或设计的细节。因此,显然可改变或修改以上所揭示的特定具体实施例而所有此类变体都被认为仍然是在本发明的范畴与精神内。因此,本文提出以下的申请专利范围寻求保护。

Claims (8)

1.一种增加铜基金属化结构的可靠性的方法,包括:
在形成于介电层的金属区的暴露表面上形成含氮层;
暴露该含氮层于基于含铝气体所建立的环境,以在该金属区上形成含铝及氮的第一阻挡层;以及
在该第一阻挡层上形成第二介电阻挡层以及在该第二介电阻挡层之上形成低k介电材料;
其中,该金属区包括铜,且其中,形成该含氮层是在没有电浆的情形下基于与氦结合的含氮气体来进行,并且包括:处理该暴露表面以使氧化铜转换成氮化铜,且其中,处理该暴露表面包括:建立含氨环境。
2.如权利要求1所述的方法,其中,该含铝气体包括Al(CH3)3
3.如权利要求1所述的方法,进一步包括:在该含铝及氮的第一阻挡层上形成低k介电材料。
4.如权利要求1所述的方法,其中,该含铝及氮的第一阻挡层是在该介电层上形成,且进一步包括:形成该介电层以致于至少该介电层的表面具有氢氧基团。
5.一种增加铜基金属化结构的可靠性的方法,包括下列步骤:
提供衬底,在该衬底上具有已形成于该衬底上的暴露含铜金属区,该暴露含铜金属区形成于介电层;
在该暴露含铜金属区上形成含氮层;
在该暴露含铜金属区与该介电层上通过使用在气态环境中进行的自限反应机制而形成含铝及氮的介电阻挡层;以及
在该含铝及氮的介电阻挡层上形成低k介电材料,
其中,形成该含氮层是在没有电浆的情形下基于与氦结合的含氮气体来进行。
6.如权利要求5所述的方法,其中,该介电阻挡层包括铝与氮,且其中,形成该介电阻挡层包括:在该暴露含铜金属区上形成氮化铜层,以及用含铝气体处理该氮化铜层,且其中,形成该介电阻挡层进一步包括:在用该含铝气体处理后,暴露该衬底于含氨环境。
7.如权利要求5所述的方法,进一步包括:在该介电阻挡层上形成蚀刻终止层,在该蚀刻终止层上形成第二介电层,以及使用该蚀刻终止层来图样化该第二介电层,其中,至少原位形成该蚀刻终止层与该介电阻挡层。
8.一种半导体器件,包括:
形成于介电层的含铜区;
形成于该含铜区上的氮化铜层;
形成于该氮化铜层及该介电层上的氮化铝层;
形成于该氮化铝层之上的第二介电层;
形成于该第二介电层中且连接至该含铜区的金属贯通孔;以及
形成于该氮化铜层之上的覆盖层。
CN2008800059050A 2007-01-31 2008-01-31 通过使用氮化铝增加微结构中的铜基金属化结构的可靠性 Active CN101681873B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102007004867.1 2007-01-31
DE102007004867A DE102007004867B4 (de) 2007-01-31 2007-01-31 Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US11/948,245 US7829460B2 (en) 2007-01-31 2007-11-30 Method of manufracturing increasing reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
US11/948,245 2007-11-30
PCT/US2008/001316 WO2008094669A1 (en) 2007-01-31 2008-01-31 Increasing reliability of copper-based metallization structures in a microstructure device by using aluminum nitride

Publications (2)

Publication Number Publication Date
CN101681873A CN101681873A (zh) 2010-03-24
CN101681873B true CN101681873B (zh) 2012-12-26

Family

ID=39597380

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800059050A Active CN101681873B (zh) 2007-01-31 2008-01-31 通过使用氮化铝增加微结构中的铜基金属化结构的可靠性

Country Status (8)

Country Link
US (3) US7829460B2 (zh)
JP (1) JP2010517325A (zh)
KR (1) KR101385709B1 (zh)
CN (1) CN101681873B (zh)
DE (1) DE102007004867B4 (zh)
GB (1) GB2459232A (zh)
TW (1) TWI446487B (zh)
WO (1) WO2008094669A1 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0436185A (ja) * 1990-03-28 1992-02-06 Kyowa Hakko Kogyo Co Ltd 融合抗原ポリペプチド
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5773306B2 (ja) * 2010-01-15 2015-09-02 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 半導体素子構造を形成する方法および装置
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
JP2012054306A (ja) * 2010-08-31 2012-03-15 Tokyo Electron Ltd 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014066740A1 (en) 2012-10-26 2014-05-01 Element Six Technologies Us Corporation Semiconductor devices with improved reliability and operating life and methods of manufacturing the same
CN103928389B (zh) * 2013-01-10 2017-02-22 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104022068B (zh) * 2013-02-28 2017-03-29 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
CN104835778B (zh) * 2014-02-08 2017-12-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105575881B (zh) * 2014-10-11 2018-09-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
CN105489548B (zh) * 2014-10-13 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9502263B2 (en) * 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102462134B1 (ko) 2015-05-19 2022-11-02 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) * 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN106876324A (zh) * 2015-12-10 2017-06-20 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
CN106876325B (zh) * 2015-12-11 2020-04-03 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CN108122821B (zh) * 2016-11-29 2021-05-04 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10276505B2 (en) 2017-03-08 2019-04-30 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
KR102217242B1 (ko) 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
CN108695237B (zh) * 2017-04-05 2020-12-15 中芯国际集成电路制造(北京)有限公司 一种半导体器件及其制作方法
US10373906B2 (en) * 2017-04-20 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
CN108933100B (zh) * 2017-05-24 2021-04-30 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的制造方法
CN108962875B (zh) * 2017-05-27 2021-01-29 中芯国际集成电路制造(上海)有限公司 介质阻挡层及其制造方法、互连结构及其制造方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10535816B2 (en) 2017-11-22 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure, MRAM device using the via structure and method for fabricating the MRAM device
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10468297B1 (en) * 2018-04-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-based etch-stop layer
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11315828B2 (en) 2018-08-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide composite as etch stop layer
KR102329022B1 (ko) * 2018-08-15 2021-11-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에칭 정지 층으로서의 금속 산화물 복합체
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11699618B2 (en) * 2020-01-24 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric damage prevention
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11658064B2 (en) * 2020-09-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure with dielectric cap layer and etch stop layer stack
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11670546B2 (en) * 2021-03-04 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1241812A (zh) * 1998-06-25 2000-01-19 摩托罗拉公司 半导体器件的形成方法
US6617173B1 (en) * 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6153523A (en) * 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US7276441B1 (en) * 2003-04-15 2007-10-02 Lsi Logic Corporation Dielectric barrier layer for increasing electromigration lifetimes in copper interconnect structures
US20050227003A1 (en) * 2004-04-08 2005-10-13 Carlson Chris M Methods of forming material over substrates
US7253501B2 (en) 2004-08-03 2007-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. High performance metallization cap layer
US7217648B2 (en) * 2004-12-22 2007-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Post-ESL porogen burn-out for copper ELK integration
DE102005052052B4 (de) * 2005-10-31 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
TW200802703A (en) 2005-11-28 2008-01-01 Nxp Bv Method of forming a self aligned copper capping layer
JP5014632B2 (ja) * 2006-01-13 2012-08-29 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
WO2009129353A1 (en) * 2008-04-15 2009-10-22 Purdue Research Foundation Metallized silicon substrate for indium gallium nitride light-emitting diode

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1241812A (zh) * 1998-06-25 2000-01-19 摩托罗拉公司 半导体器件的形成方法
US6617173B1 (en) * 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same

Also Published As

Publication number Publication date
DE102007004867B4 (de) 2009-07-30
US8222135B2 (en) 2012-07-17
CN101681873A (zh) 2010-03-24
WO2008094669A1 (en) 2008-08-07
TWI446487B (zh) 2014-07-21
US20110018134A1 (en) 2011-01-27
DE102007004867A1 (de) 2008-08-14
GB2459232A (en) 2009-10-21
US7829460B2 (en) 2010-11-09
GB0914619D0 (en) 2009-09-30
KR20090115190A (ko) 2009-11-04
US20080179741A1 (en) 2008-07-31
JP2010517325A (ja) 2010-05-20
KR101385709B1 (ko) 2014-04-17
TW200837882A (en) 2008-09-16
US8384217B2 (en) 2013-02-26
US20120241958A1 (en) 2012-09-27

Similar Documents

Publication Publication Date Title
CN101681873B (zh) 通过使用氮化铝增加微结构中的铜基金属化结构的可靠性
CN101431047B (zh) 用于在多级互连结构中形成空气间隙的方法
CN106486418B (zh) 半导体装置及其制造方法
CN101017808B (zh) 半导体器件及其制造方法
JP2007067107A (ja) 半導体装置の製造方法
CN107658289A (zh) 半导体器件及其制造方法
JP2007096241A (ja) 半導体装置の製造方法及び半導体装置
CN101661900A (zh) 半导体器件及其制造方法
US7413985B2 (en) Method for forming a self-aligned nitrogen-containing copper silicide capping layer in a microstructure device
CN100550318C (zh) 最小化湿法蚀刻底切度并提供极低k值(k<2.5)电介质封孔的方法
JP2008047719A (ja) 半導体装置の製造方法
JPH1116918A (ja) 銅配線構造およびその製造方法
CN105742233B (zh) 用于形成具有部件开口的半导体器件的方法
US20060154465A1 (en) Method for fabricating interconnection line in semiconductor device
JP2005005383A (ja) 半導体装置および半導体装置の製造方法
CN102082119B (zh) 一种选择性淀积钨接触孔或通孔的方法
CN107481995A (zh) 被金属覆盖层覆盖的钴互连
CN101304002B (zh) 半导体元件的制造方法
US9859219B1 (en) Copper wiring structures with copper titanium encapsulation
JP5230542B2 (ja) 半導体装置の製造方法
US8334204B2 (en) Semiconductor device and manufacturing method therefor
KR20080114056A (ko) 반도체 소자의 배선 및 그의 형성방법
US7250364B2 (en) Semiconductor devices with composite etch stop layers and methods of fabrication thereof
CN104701248B (zh) 用于半导体器件的互连结构
JP2010080607A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: GLOBALFOUNDRIES COMPANY

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES INC.

Effective date: 20100715

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: CALIFORNIA STATE, THE USA TO: GRAND CAYMAN, CAYMAN ISLANDS(BRITISH OVERSEAS TERRITORY)

TA01 Transfer of patent application right

Effective date of registration: 20100715

Address after: Grand Cayman, Cayman Islands

Applicant after: Globalfoundries Semiconductor Inc.

Address before: American California

Applicant before: Advanced Micro Devices Inc.

C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210308

Address after: California, USA

Patentee after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Patentee before: GLOBALFOUNDRIES Inc.